US20020074312A1 - High density plasma post-etch treatment for a dielectric etch process - Google Patents

High density plasma post-etch treatment for a dielectric etch process Download PDF

Info

Publication number
US20020074312A1
US20020074312A1 US10/080,882 US8088202A US2002074312A1 US 20020074312 A1 US20020074312 A1 US 20020074312A1 US 8088202 A US8088202 A US 8088202A US 2002074312 A1 US2002074312 A1 US 2002074312A1
Authority
US
United States
Prior art keywords
etch
post
gas
dielectric
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/080,882
Inventor
Eric Ou-Yang
Grace Yang
Lin Ye
Robert Wu
Ben Chen
Stefan Jenq
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/080,882 priority Critical patent/US20020074312A1/en
Publication of US20020074312A1 publication Critical patent/US20020074312A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention pertains to a semiconductor manufacturing process.
  • the present invention pertains to a post-etch treatment which is performed after etching of a dielectric surface, for the purpose of removing photoresist and byproducts remaining on that surface after the etch process.
  • a wiring layer of interconnections is typically composed primarily of a dielectric layer with the defined horizontal wiring members and downward-extended, vertical wiring members, often referred to as “plugs”.
  • a dielectric layer is first formed on a substrate, then defined with openings in order to provides spaces for depositing conductive materials, which will connect with the underlying substrate.
  • the conductive materials are deposited into openings, typically referred to as “contact vias”, to form the vertical wirings.
  • the horizontal wirings can be formed by depositing another conductive layer.
  • the horizontal wirings can be defined within the dielectric layer, such that the vertical and horizontal wirings can be formed simultaneously when the conductive materials are deposited into the vertical contact vias and horizontal channels which were previously defined in the dielectric layer.
  • an interconnection layer 12 is formed on a semiconductor substrate 10 , and an anti-reflection layer 14 is formed on the interconnection layer 12 for the purpose of improving the pattern-defining accuracy and resolution.
  • the anti-reflection layer 14 typically comprises a material such as titanium nitride.
  • a dielectric layer 16 overlies the interconnection layer 12 and the anti-reflection layer 14 .
  • a photoresist layer 18 is formed over the dielectric layer 16 , with the designed pattern exposed and developed. The dielectric layer 16 is then etched using the photoresist layer 18 as a mask in order to define interlayer contact vias 20 , as shown in FIG. 2.
  • the deposited polymer 22 may contain metallic ions or molecules.
  • the etch process for finishing contact via definition typically includes three post-etch treatment steps, as shown in FIG. 4.
  • a first phase post-etch treatment (PET) 32 is performed comprising a single step or a sequence of sub-steps to remove the photoresist 18 and residues or byproducts such as the deposited polymer 22 .
  • a second etch step for removal of residual anti-reflective layer 14 is carried out.
  • a second phase post-etch treatment 36 is performed to clean the residue remaining on the substrate 10 and the processing chamber walls after the anti-reflection etch step 34 .
  • the first phase post-etch treatment 32 typically includes three steps which comprise exposing the substrate to a high-flow oxygen plasma, followed by a low-flow oxygen plasma, followed by a cleaning step.
  • this method has several disadvantages.
  • the oxygen plasma has been found to be ineffective and inefficient at removing the deposited polymer 22 , particularly the metal-comprising polymer generated during the main etch step 30 .
  • the presence of such residual metal-comprising polymer in the contact vias damages the contact between subsequently deposited conductive materials and the underlying interconnection layer 12 .
  • the accumulation of metal-comprising polymer potentially interferes with the maintenance of a stable and predictable process chamber condition.
  • the controllability of the process is reduced under unstable chamber conditions, resulting in degraded process windows and product yields.
  • the traditional oxygen plasma treatment attacks the sidewalls of dielectric layer 16 , altering the shape of the contact via 20 .
  • FIG. 3 illustrates the kind of sputtering of an underlying aluminum interconnection layer 12 which frequently occurs during the anti-reflection etch step 34 .
  • the multi-step post-etch process following the main etch 30 also increases the processing time and significantly reduces the wafer throughput of the contact via etch process.
  • the present invention pertains to a post-etch treatment which is performed after a dielectric etch process for the purpose of removing residual photoresist and byproducts remaining after the etch process.
  • a post-etch treatment which is performed after a dielectric etch process for the purpose of removing residual photoresist and byproducts remaining after the etch process.
  • the contact vias formed by etching a dielectric layer can be provided with improved sidewall profile, and the process chamber conditions can be easily maintained, with less undesired residues and reduced polymer byproduct build-up both on contact via sidewalls and process chamber surfaces.
  • At least one post-etch treatment step is performed to remove residues remaining on the etched semiconductor structure surface.
  • this treatment is performed in the same processing chamber as the dielectric etch.
  • the post-etch treatment step both removes residual photoresist and cleans residues and polymer deposits from the walls of the contact via.
  • the semiconductor structure is exposed to a post-etch treatment step in which the structure is contacted with a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
  • a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
  • the reactive gas preferably comprises at least one hydrogen-containing fluorocarbon gas, which is preferably selected from the group consisting of CHF 3 , CH 2 F 2 , CH 3 F, C 3 H 2 F 6 , and combinations thereof.
  • the reactive gas comprises at least one fluorocarbon gas and hydrogen.
  • the fluorocarbon gas is preferably selected from the group consisting of C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , and combinations thereof.
  • the nitrogen-comprising gas is preferably N 2 .
  • the addition of nitrogen improves the dissociation of oxygen and other gas species and also enhances residue removal.
  • the residual sidewall polymer, and in particular any metal-comprising polymer remaining after the dielectric etch process can be cleanly removed.
  • An especially preferred embodiment method of the invention includes two additional steps: a flushing step, performed prior to the post-etch treatment step previously described, and a cleaning step, performed following the post-etch treatment step.
  • the flushing step employs a high-flow oxygen-based plasma for the purpose of flushing out fluorine species which may remain in the chamber after the dielectric etch process.
  • the cleaning step employs a medium-flow oxygen-based plasma which cleans the process chamber and provides a stabilized chamber condition free of undesired residual gases for subsequent processes. This latter cleaning step may alternatively be performed after removal of the semiconductor substrate from the process chamber.
  • FIG. 1 is a cross-sectional view of a prior art semiconductor structure, including the following layers, from top to bottom: photoresist masking layer 18 ; dielectric layer 16 ; anti-reflective material (e.g., titanium nitride) layer 14 ; conductive material (e.g. aluminum) layer 12 ; and semiconductor substrate 10 .
  • photoresist masking layer 18 dielectric layer 16 ; anti-reflective material (e.g., titanium nitride) layer 14 ; conductive material (e.g. aluminum) layer 12 ; and semiconductor substrate 10 .
  • anti-reflective material e.g., titanium nitride
  • conductive material e.g. aluminum
  • FIG. 2 is a cross-sectional view of the structure shown in FIG. 1, illustrating the build-up of etch byproducts 22 on the sidewalls of etched contact vias 20 .
  • FIG. 3 is a cross-sectional view of the structure shown in FIG. 2, illustrating the sputtering of an underlying aluminum layer 12 during a prior art anti-reflection layer etch process.
  • FIG. 4 is a process flow diagram of a prior art process for post-etch treatment following a dielectric etch process.
  • FIG. 5 is a process flow diagram of one embodiment of the present invention.
  • FIG. 6 is a cross-sectional view of a beginning semiconductor structure for performing the method of the invention.
  • the structure includes the following layers, from top to bottom: photoresist masking layer 58 ; dielectric layer 56 ; anti-reflective material (e.g., titanium nitride) layer 54 ; conductive material (e.g., aluminum) layer 52 ; and semiconductor substrate 50 .
  • photoresist masking layer 58 dielectric layer 56 ; anti-reflective material (e.g., titanium nitride) layer 54 ; conductive material (e.g., aluminum) layer 52 ; and semiconductor substrate 50 .
  • anti-reflective material e.g., titanium nitride
  • conductive material e.g., aluminum
  • FIG. 7 is a cross-sectional view of the structure shown in FIG. 6, illustrating the build-up of etch byproducts 62 on the sidewalls of etched contact vias 60 .
  • FIG. 8 is a cross-sectional view of the structure shown in FIG. 7, showing the etched contact via 60 after post-etch treatment according to the method of the present invention, and subsequent removal of the anti-reflection layer 54 .
  • FIG. 9 is a schematic illustration, partially in cross-sectional view, of an inductively coupled high density plasma reactor which is suitable for use in the practice of the present invention.
  • the present invention is a post-etch treatment method for a semiconductor structure following a dielectric etch process.
  • the method comprises exposing the semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
  • Sidewall byproducts such as polymer and/or metal-comprising polymer generated during the dielectric etch, can be removed efficiently using the present method and process chamber surface accumulation of such polymers can be reduced or avoided.
  • the chamber condition can be maintained with improved stability and controllability.
  • the post-etch treatment method of the present invention reduces or eliminates the prior art problem of contact via sidewall striation.
  • FIG. 9 is a schematic illustrating an inductively coupled high density plasma reactor which is suitable for use in the practice of the present invention.
  • the particular reactor shown in FIG. 9 is the IPS (inductive plasma source) oxide etch reactor available from Applied Materials, Inc., of Santa Clara, Calif., and described by Collins et al. in U.S. patent application, Ser. No. 09/733,544, filed Oct. 21, 1996.
  • a semiconductor substrate 80 to be processed is supported on a cathode pedestal 82 , which is supplied with RF power from a first RF power supply 84 .
  • a silicon ring 86 surrounds the pedestal 82 and is controllably heated by an array of heater lamps 88 .
  • a chamber 90 including a roof and wall of silicon or other silicon-comprising material, such as silicon carbide, surrounds the plasma processing area.
  • a silicon roof 92 overlies the plasma processing area. Lamps 94 and water cooling channels 96 control the temperature of the silicon roof 92 .
  • the temperature-controlled silicon ring 86 is used to scavenge fluorine from a fluorocarbon or other fluorine-based plasma.
  • the silicon (or silicon-comprising) chamber 90 may also be used to scavenge fluorine, but this is less preferred.
  • a processing gas is supplied from one or more bottom gas feeds 95 through a bank of mass flow controllers 97 .
  • a top gas feed may be formed as a small showerhead in the center of the silicon roof 92 .
  • a vacuum pumping system (not shown) connected to a pumping channel 98 around the lower portion of the chamber maintains the interior of the chamber at a preselected pressure.
  • a system controller 100 controls the operation of the reactor and its auxiliary equipment.
  • the material used in the roof portion of chamber 90 was doped to provide a pre-selected level of resistivity.
  • the resistivity level and thickness of the roof portion of chamber 90 was selected to enable the roof to pass RF magnetic fields while simultaneously functioning as an electrode.
  • the RF magnetic fields were generally axial and were produced by an inner inductive coil stack 106 and an outer inductive coil stack 108 powered by respective RF power supplies 110 , 112 .
  • a single RF power supply may be used in conjunction with a selectable power splitter.
  • pedestal 82 was powered by RF power supply 84 so that pedestal 82 could work in conjunction with grounded roof 92 to provide the desired plasma behavioral characteristics.
  • Other coil configurations are possible, such as, for example, the TCP (transformer coupled plasma) reactor, which has a flat, spiral inductive coil overlying the roof 92 .
  • the system controller 100 controls the mass flow controllers 97 , the heater lamps 88 , 94 , the supply of chilled water to the cooling channels 96 , the throttle valve to the vacuum pumps (not shown), and the power supplies 84 , 110 , 112 . All of these regulated functions control the etch chemistry in conformance with a particular process recipe.
  • the process recipe is stored in the controller 100 in magnetic, optical, or semiconductor memory, as known in the art, and the controller 100 reads the recipe from a recording medium inserted into the controller. It is typical for the equipment supplier to provide recipes on magnetic media, such as floppy disks, or optical media, such as CDROMs, which are then read into controller 100 .
  • a principal advantage of the inductively coupled plasma reactor shown in FIG. 9 is that controllably different amounts of power can be supplied to the inductive coils 106 , 108 and to the capacitive pedestal 82 .
  • FIG. 6 is a cross-sectional view of a beginning semiconductor structure for performing the method of the invention.
  • the structure includes the following layers, from top to bottom: photoresist masking layer 58 ; dielectric layer 56 ; anti-reflective material (e.g., titanium nitride) layer 54 ; conductive material (e.g., aluminum) layer 52 ; and semiconductor substrate 50 .
  • photoresist masking layer 58 dielectric layer 56 ; anti-reflective material (e.g., titanium nitride) layer 54 ; conductive material (e.g., aluminum) layer 52 ; and semiconductor substrate 50 .
  • anti-reflective material e.g., titanium nitride
  • conductive material e.g., aluminum
  • a dielectric etch process (main etch step) is performed, according to methods known in the art, using a patterned photoresist mask 58 to pattern a dielectric layer 56 which is formed on a semiconductor substrate 50 and which overlies an underlying structure which includes an interconnection layer 52 and an anti-reflection layer 54 overlying the substrate 50 .
  • the dielectric layer 56 is etched to form openings 60 , to provide connecting holes, typically contact vias, which are subsequently filled with conductive materials.
  • the dielectric layer 56 typically comprises a silicon-based oxide layer such as silicon dioxide or borophosphosilicate glass (BPSG).
  • the interconnection layer 52 typically comprises a conductive material, such as polysilicon or a metal, such as aluminum or an aluminum alloy (e.g., aluminum-copper or aluminum-silicon-copper).
  • An anti-reflection layer 54 comprising, for example, titanium nitride or silicon oxynitride, is typically formed to overlie the interconnection layer 52 for the purpose of improving the pattern-defining accuracy and resolution of the conductive material.
  • the dielectric etch process is typically performed, using techniques known in the art, in a plasma processing chamber using a fluorine-based plasma to etch contact vias 60 in the dielectric layer 56 , as illustrated in FIG. 7. As previously described, some residues may remain and some byproducts may be created during the dielectric etch process, such as the polymer and/or metal-comprising polymer 62 formed on the sidewall of the via holes 60 , as well as on the surrounding walls of the processing chamber.
  • the dielectric etch process is preferably performed using an inductively coupled high density plasma (HDP) etch reactor, an example of which is described above and illustrated in FIG. 9.
  • high density plasma refers to a plasma having an ionization density of at least 11 10 e ⁇ /cm 3 .
  • the reactor shown in FIG. 9 provides both selectivity and the process flexibility which are beneficial during a dielectric etch process. Such a reactor can also be advantageously used in the performance of the post-etch treatment method of the following invention.
  • reactors including remote plasma source (RPS) reactors, electroncyclotron resonance (ECR) reactors, and capacitively coupled parallel plate reactors of the kind well known in the art may also be used for the dielectric etch process and the post-etch treatment method.
  • RPS remote plasma source
  • ECR electroncyclotron resonance
  • capacitively coupled parallel plate reactors of the kind well known in the art may also be used for the dielectric etch process and the post-etch treatment method.
  • a post-etch treatment method including a single step treatment 44 or a sequence of steps 42 through 44 is then performed.
  • the post-etch treatment method is preferably performed in the same processing chamber as the dielectric etch process.
  • a flushing step 42 is performed after the dielectric etch process 40 and prior to the post-etch treatment step 44 in order to flush out fluorine species remaining in the chamber after the dielectric etch process 40 .
  • the flushing step 42 is preferably performed in the same processing chamber as the dielectric etch process 40 .
  • the flushing step 42 is performed using a high-flow oxygen-based plasma.
  • the flow rate of oxygen during this step is typically within the range of about 750 sccm and about 1250 sccm, most preferably, about 1000 sccm.
  • the source power is typically within the range of about 2500 W and about 3500 W, most preferably, about 3000 W.
  • the flushing step 42 of high-flow oxygen-based plasma is performed using an inner ring source power within the range of about 500 W and about 1000 W, most preferably, about 750 W, and an outer ring source power within the range of about 2000 W and about 2500 W, most preferably, about 2250 W.
  • the inner ring source power is the RF power supplied to the inner inductive coil stack 106
  • the outer ring source power is the RF power supplied to the outer inductive coil stack 108 .
  • the flushing step 42 flushes fluorine species which remain in the processing chamber after the dielectric etch process 40 out of the chamber using a high-flow oxygen-based plasma.
  • oxygen-comprising gases can be used to form or added to the oxygen-based plasma
  • the photoresist layer 58 can be totally, or at least partially, removed during the flushing step 42 .
  • the process chamber pressure can vary greatly with the release of fluorine species. The process chamber pressure typically ranges between about 30 mTorr and about 120 mTorr.
  • a post-etch treatment step comprising exposing the semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
  • a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
  • the flow rate of oxygen during this step is typically within the range of about 50 sccm and about 200 sccm, most preferably, about 100 sccm.
  • Other oxygen-comprising gases can be utilized or added to the oxygen-based plasma.
  • the reactive gas comprises at least one hydrogen-containing fluorocarbon gas, preferably selected from the group consisting of CHF 3 , CH 2 F 2 , CH 3 F, C 3 H 2 F 6 , and combinations thereof
  • the flow rate of the hydrogen-containing fluorocarbon gas or gases is typically within the range of about 30 sccm and about 60 sccm.
  • CH 2 F 2 a highly preferred hydrogen-containing fluorocarbon gas
  • the preferred flow rate is about 45 sccm.
  • the reactive gas comprises at least one fluorocarbon gas and hydrogen.
  • the fluorocarbon gas is preferably selected from the group consisting of C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , and combinations thereof.
  • the flow rate of the fluorocarbon gas or gases is within the range of about 10 sccm and about 30 sccm, most preferably, about 20 sccm.
  • the flow rate of hydrogen is between about 10 sccm and about 30 sccm, most preferably, about 20 sccm.
  • the nitrogen-comprising gas is preferably N 2 .
  • the addition of nitrogen to the plasma source gas improves the dissociation of oxygen and other gas species and also enhances residue removal.
  • the presence of nitrogen can further suppress metal-comprising polymer growth and reduce or prevent the sidewall striation encountered when conventional post-etch treatments are used.
  • the flow rate of the nitrogen is typically within the range of about 10 sccm and about 20 sccm, most preferably, about 15 sccm.
  • the post-etch treatment step 44 is typically performed using a source power within the range of about 2100 W and about 3100 W.
  • a bias power within the range of about 150 W and about 300 W is typically applied during this step.
  • the post-etch treatment step is performed using an inner ring source power within the range of about 400 W and about 900 W, most preferably, about 650 W, and an outer ring source power within the range of about 1700 W and about 2200 W, most preferably, about 1950 W.
  • a bias power within the range of about 150 W and about 300 W is typically applied.
  • the process chamber pressure typically ranges between about 20 mTorr and 50 mTorr.
  • the remaining sidewall polymer and/or metal-comprising polymer 62 is attacked further by the carbon-fluorine radical, and reaction products of this attack, in combination with hydrogen species, form volatile components which can be removed cleanly.
  • Any residual photoresist 58 remaining after the flushing step 42 may also be removed during the post-etch treatment step.
  • the high chamber pressure utilized in the preferred embodiments assists in the removal of polymer deposits on the sidewalls and bottom of the contact vias 60 .
  • the higher chamber pressure and the application of a bias power during this step allow a lower total gas flow and a longer residence time to be utilized, which further increases polymer-stripping efficiency.
  • a cleaning step 46 may be performed using a medium-flow oxygen-based plasma.
  • the flow rate of oxygen during this step is typically within the range of about 250 sccm and about 750 sccm, most preferably, about 500 sccm.
  • the flow rate of oxygen used for the cleaning step is typically about 50% of the flow rate of oxygen used for the flushing step.
  • Other oxygen-comprising gases can be utilized or added to the oxygen-based plasma.
  • cleaning step 46 is typically performed using a source power within the range of about 2500 W and about 3500 W, most preferably, about 3000 W.
  • the cleaning step is performed using an inner ring source power within the range of about 500 W and about 1000 W, most preferably, about 750 W, and an outer ring source power within the range of about 2000 W and about 2500 W, most preferably, about 250 W.
  • No bias power is typically applied during the cleaning step.
  • the process chamber pressure typically ranges between about 15 mTorr and 30 mTorr.
  • the cleaning step 46 assists in the removal of any gases remaining after the performance of prior steps from the reaction chamber using a medium-flow oxygen-based plasma, and providing a stabilized chamber condition for the performance of subsequent processes without the presence of undesired residual species.
  • the cleaning step 46 is preferably performed using a high-flow oxygen-based plasma.
  • the flow rate of oxygen during the cleaning step is typically within the range of about 750 sccm and about 1250 sccm, most preferably, about 1000 sccm, in order to enhance the cleaning effect when the flushing step 42 is not used.
  • the power conditions used in the performance of a high-flow oxygen-based plasma cleaning step are basically the same as described above for the medium-flow oxygen-based plasma cleaning step.
  • the temperature of the substrate 50 can vary greatly under different processing recipes and applied powers.
  • the substrate temperature is preferably maintained at less than about 120° C. during the dielectric etch process, and less than about 80° C. during performance of the post-etch treatment method.
  • an anti-reflection etch and second phase post-etch treatment are typically performed using methods known in the art following the completion of the post-etch treatment method of the invention.

Abstract

The present disclosure pertains to a post-etch treatment which is performed after a dielectric etch process. Using the method of the invention, byproducts formed on the sidewalls of contact vias during the dielectric etch process can be removed efficiently. The method of the invention also reduces or eliminates the problem of polymer accumulation on process chamber surfaces. Typically, after the etch of a dielectric material to define pattern or interconnect filling spaces, a series of post-etch treatment steps is performed to remove residues remaining on the wafer after the dielectric etch process. According to the method of the present invention, a post-etch treatment method including one or more steps is performed after the dielectric etch process, preferably within the same processing chamber in which the dielectric etch process was performed. The post-etch treatment method comprises exposing a semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine. Two optional steps, a flushing step prior to the post-etch treatment and a cleaning step subsequent to the post-etch treatment, can be performed, respectively, before and after the post-etch treatment for the purpose of enhancing the fluorine and byproduct removal and post-etch chamber cleaning.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention pertains to a semiconductor manufacturing process. In particular, the present invention pertains to a post-etch treatment which is performed after etching of a dielectric surface, for the purpose of removing photoresist and byproducts remaining on that surface after the etch process. [0002]
  • 2. Brief Description of the Background Art [0003]
  • Since the development of the first integrated circuit device, the technology of semiconductor fabrication has focused on minimizing the feature size of semiconductor devices. With the advancements made in processing technologies such as deposition, lithography, etching, and thermal treatment, the packing density of integrated circuit chips has greatly increased. A single chip manufactured using the present semiconductor fabrication technology may include millions or even billions of devices such as transistors and capacitors. Therefore, the feature size of integrated circuit devices has been scaled down to a submicron level in order to attain the high packing density of fabricated chips. [0004]
  • On a single integrated circuit chip, various devices are connected through conductive interconnections. Generally, several layers of conductive structures with horizontal and vertical wirings are applied to a substrate to form the designed circuit connections. The conductive layers are insulated from each other using dielectric materials. A wiring layer of interconnections is typically composed primarily of a dielectric layer with the defined horizontal wiring members and downward-extended, vertical wiring members, often referred to as “plugs”. [0005]
  • Using the present metallization technology for forming interconnections, a dielectric layer is first formed on a substrate, then defined with openings in order to provides spaces for depositing conductive materials, which will connect with the underlying substrate. The conductive materials are deposited into openings, typically referred to as “contact vias”, to form the vertical wirings. After a wiring pattern has been defined on the conductive layer, the horizontal wirings can be formed by depositing another conductive layer. When dual damascene technology is used, the horizontal wirings can be defined within the dielectric layer, such that the vertical and horizontal wirings can be formed simultaneously when the conductive materials are deposited into the vertical contact vias and horizontal channels which were previously defined in the dielectric layer. [0006]
  • Referring to FIG. 1, which shows a typical structure of the kind known in the art, an [0007] interconnection layer 12 is formed on a semiconductor substrate 10, and an anti-reflection layer 14 is formed on the interconnection layer 12 for the purpose of improving the pattern-defining accuracy and resolution. The anti-reflection layer 14 typically comprises a material such as titanium nitride. A dielectric layer 16 overlies the interconnection layer 12 and the anti-reflection layer 14. To define the necessary openings for making vertical connecting plugs, a photoresist layer 18 is formed over the dielectric layer 16, with the designed pattern exposed and developed. The dielectric layer 16 is then etched using the photoresist layer 18 as a mask in order to define interlayer contact vias 20, as shown in FIG. 2. Generally, some residues may remain and some byproducts may be created during the etch process, such as the polymer 22 formed on the sidewall of the contact vias 20, as well as on the surrounding walls of the processing chamber. With the presence of the underlying interconnection layer 12 and anti-reflection layer 14 in some of the etched regions, the deposited polymer 22 may contain metallic ions or molecules.
  • After the main etch step for defining the contact vias is completed, a series of processes are carried out to remove the [0008] remaining photoresist 18 and deposited residues and/or byproducts 22. This series of processes or process steps is frequently referred to as contact via definition finishing. The anti-reflection layer 14 underlying the base of the contact via 20 is also typically removed in order to improve contact conductivity. In the conventional fabrication process, the etch process for finishing contact via definition typically includes three post-etch treatment steps, as shown in FIG. 4. After the main etch 30 is performed, a first phase post-etch treatment (PET) 32 is performed comprising a single step or a sequence of sub-steps to remove the photoresist 18 and residues or byproducts such as the deposited polymer 22. Then a second etch step for removal of residual anti-reflective layer 14 is carried out. Finally, in order to stabilize chamber conditions, a second phase post-etch treatment 36 is performed to clean the residue remaining on the substrate 10 and the processing chamber walls after the anti-reflection etch step 34.
  • An earlier approach for post-etch treatment is described in copending U.S. application Ser. No. 09/183,778, filed Oct. 30, 1998, and titled: “Method Of Reducing Stop Layer Loss In A Photoresist Stripping Process Using Hydrogen As a Fluorine Scavenger”. The Ser. No. 09/183,778 application is assigned to the assignee of the present invention and is hereby incorporated by reference in its entirety. In the earlier approach, the first phase post-etch treatment [0009] 32 typically includes three steps which comprise exposing the substrate to a high-flow oxygen plasma, followed by a low-flow oxygen plasma, followed by a cleaning step. However, this method has several disadvantages. For example, in the first phase of the post-etch treatment, the oxygen plasma has been found to be ineffective and inefficient at removing the deposited polymer 22, particularly the metal-comprising polymer generated during the main etch step 30. The presence of such residual metal-comprising polymer in the contact vias damages the contact between subsequently deposited conductive materials and the underlying interconnection layer 12. The accumulation of metal-comprising polymer potentially interferes with the maintenance of a stable and predictable process chamber condition. The controllability of the process is reduced under unstable chamber conditions, resulting in degraded process windows and product yields. Furthermore, the traditional oxygen plasma treatment attacks the sidewalls of dielectric layer 16, altering the shape of the contact via 20.
  • During the [0010] anti-reflection etch 34 to remove the anti-reflection layer 14 (such as titanium nitride), the selectivity of etching the anti-reflection layer 14 relative to the dielectric layer 16 and the underlying aluminum interconnection material 12 may be poor, resulting in severe dielectric loss and/or aluminum sputtering. FIG. 3 illustrates the kind of sputtering of an underlying aluminum interconnection layer 12 which frequently occurs during the anti-reflection etch step 34. The multi-step post-etch process following the main etch 30 also increases the processing time and significantly reduces the wafer throughput of the contact via etch process.
  • SUMMARY OF THE INVENTION
  • The present invention pertains to a post-etch treatment which is performed after a dielectric etch process for the purpose of removing residual photoresist and byproducts remaining after the etch process. Through the process and chemistry of the present invention, the contact vias formed by etching a dielectric layer can be provided with improved sidewall profile, and the process chamber conditions can be easily maintained, with less undesired residues and reduced polymer byproduct build-up both on contact via sidewalls and process chamber surfaces. [0011]
  • According to the present invention, after the etch of dielectric material to define a pattern or spaces for filling, such as contact vias or interconnection channels, at least one post-etch treatment step is performed to remove residues remaining on the etched semiconductor structure surface. Preferably this treatment is performed in the same processing chamber as the dielectric etch. Preferably the post-etch treatment step both removes residual photoresist and cleans residues and polymer deposits from the walls of the contact via. [0012]
  • According to the method of the present invention, following the dielectric etch process, the semiconductor structure is exposed to a post-etch treatment step in which the structure is contacted with a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine. With the addition of the nitrogen-comprising gas and the reactive gas to an oxygen-containing plasma source gas, the etchant species generated effectively remove residues and polymers remaining in contact vias after dielectric etch. [0013]
  • The reactive gas preferably comprises at least one hydrogen-containing fluorocarbon gas, which is preferably selected from the group consisting of CHF[0014] 3, CH2F2, CH3F, C3H2F6, and combinations thereof. Alternatively, the reactive gas comprises at least one fluorocarbon gas and hydrogen. The fluorocarbon gas is preferably selected from the group consisting of C2F6, C3F6, C3F8, C4F6, C4F8, and combinations thereof.
  • The nitrogen-comprising gas is preferably N[0015] 2. The addition of nitrogen improves the dissociation of oxygen and other gas species and also enhances residue removal.
  • Using the method of the invention, the residual sidewall polymer, and in particular any metal-comprising polymer remaining after the dielectric etch process can be cleanly removed. [0016]
  • An especially preferred embodiment method of the invention includes two additional steps: a flushing step, performed prior to the post-etch treatment step previously described, and a cleaning step, performed following the post-etch treatment step. The flushing step employs a high-flow oxygen-based plasma for the purpose of flushing out fluorine species which may remain in the chamber after the dielectric etch process. The cleaning step employs a medium-flow oxygen-based plasma which cleans the process chamber and provides a stabilized chamber condition free of undesired residual gases for subsequent processes. This latter cleaning step may alternatively be performed after removal of the semiconductor substrate from the process chamber.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a prior art semiconductor structure, including the following layers, from top to bottom: [0018] photoresist masking layer 18; dielectric layer 16; anti-reflective material (e.g., titanium nitride) layer 14; conductive material (e.g. aluminum) layer 12; and semiconductor substrate 10.
  • FIG. 2 is a cross-sectional view of the structure shown in FIG. 1, illustrating the build-up of [0019] etch byproducts 22 on the sidewalls of etched contact vias 20.
  • FIG. 3 is a cross-sectional view of the structure shown in FIG. 2, illustrating the sputtering of an [0020] underlying aluminum layer 12 during a prior art anti-reflection layer etch process.
  • FIG. 4 is a process flow diagram of a prior art process for post-etch treatment following a dielectric etch process. [0021]
  • FIG. 5 is a process flow diagram of one embodiment of the present invention. [0022]
  • FIG. 6 is a cross-sectional view of a beginning semiconductor structure for performing the method of the invention. The structure includes the following layers, from top to bottom: [0023] photoresist masking layer 58; dielectric layer 56; anti-reflective material (e.g., titanium nitride) layer 54; conductive material (e.g., aluminum) layer 52; and semiconductor substrate 50.
  • FIG. 7 is a cross-sectional view of the structure shown in FIG. 6, illustrating the build-up of [0024] etch byproducts 62 on the sidewalls of etched contact vias 60.
  • FIG. 8 is a cross-sectional view of the structure shown in FIG. 7, showing the etched contact via [0025] 60 after post-etch treatment according to the method of the present invention, and subsequent removal of the anti-reflection layer 54.
  • FIG. 9 is a schematic illustration, partially in cross-sectional view, of an inductively coupled high density plasma reactor which is suitable for use in the practice of the present invention.[0026]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention is a post-etch treatment method for a semiconductor structure following a dielectric etch process. The method comprises exposing the semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine. Sidewall byproducts, such as polymer and/or metal-comprising polymer generated during the dielectric etch, can be removed efficiently using the present method and process chamber surface accumulation of such polymers can be reduced or avoided. The chamber condition can be maintained with improved stability and controllability. The post-etch treatment method of the present invention reduces or eliminates the prior art problem of contact via sidewall striation. [0027]
  • I. An Apparatus for Practicing the Invention [0028]
  • FIG. 9 is a schematic illustrating an inductively coupled high density plasma reactor which is suitable for use in the practice of the present invention. The particular reactor shown in FIG. 9 is the IPS (inductive plasma source) oxide etch reactor available from Applied Materials, Inc., of Santa Clara, Calif., and described by Collins et al. in U.S. patent application, Ser. No. 09/733,544, filed Oct. 21, 1996. [0029]
  • The general reactor structure and particular auxiliary equipment are illustrated in the schematic which is shown in partial cross-section in FIG. 9. A [0030] semiconductor substrate 80 to be processed is supported on a cathode pedestal 82, which is supplied with RF power from a first RF power supply 84. A silicon ring 86 surrounds the pedestal 82 and is controllably heated by an array of heater lamps 88. A chamber 90, including a roof and wall of silicon or other silicon-comprising material, such as silicon carbide, surrounds the plasma processing area. A silicon roof 92 overlies the plasma processing area. Lamps 94 and water cooling channels 96 control the temperature of the silicon roof 92. The temperature-controlled silicon ring 86 is used to scavenge fluorine from a fluorocarbon or other fluorine-based plasma. The silicon (or silicon-comprising) chamber 90 may also be used to scavenge fluorine, but this is less preferred. A processing gas is supplied from one or more bottom gas feeds 95 through a bank of mass flow controllers 97. Alternatively, a top gas feed may be formed as a small showerhead in the center of the silicon roof 92. A vacuum pumping system (not shown) connected to a pumping channel 98 around the lower portion of the chamber maintains the interior of the chamber at a preselected pressure. A system controller 100 controls the operation of the reactor and its auxiliary equipment.
  • In the apparatus configuration used during the development of the method of the present invention, the material used in the roof portion of [0031] chamber 90 was doped to provide a pre-selected level of resistivity. The resistivity level and thickness of the roof portion of chamber 90 was selected to enable the roof to pass RF magnetic fields while simultaneously functioning as an electrode. In the apparatus shown in FIG. 9, the RF magnetic fields were generally axial and were produced by an inner inductive coil stack 106 and an outer inductive coil stack 108 powered by respective RF power supplies 110, 112. Alternatively, a single RF power supply may be used in conjunction with a selectable power splitter. In addition, pedestal 82 was powered by RF power supply 84 so that pedestal 82 could work in conjunction with grounded roof 92 to provide the desired plasma behavioral characteristics. Other coil configurations are possible, such as, for example, the TCP (transformer coupled plasma) reactor, which has a flat, spiral inductive coil overlying the roof 92.
  • The [0032] system controller 100 controls the mass flow controllers 97, the heater lamps 88, 94, the supply of chilled water to the cooling channels 96, the throttle valve to the vacuum pumps (not shown), and the power supplies 84, 110, 112. All of these regulated functions control the etch chemistry in conformance with a particular process recipe. The process recipe is stored in the controller 100 in magnetic, optical, or semiconductor memory, as known in the art, and the controller 100 reads the recipe from a recording medium inserted into the controller. It is typical for the equipment supplier to provide recipes on magnetic media, such as floppy disks, or optical media, such as CDROMs, which are then read into controller 100.
  • A principal advantage of the inductively coupled plasma reactor shown in FIG. 9 is that controllably different amounts of power can be supplied to the [0033] inductive coils 106, 108 and to the capacitive pedestal 82.
  • II. The Process for Post-Etch Treatment Following a Dielectric Etch Process [0034]
  • FIG. 6 is a cross-sectional view of a beginning semiconductor structure for performing the method of the invention. The structure includes the following layers, from top to bottom: [0035] photoresist masking layer 58; dielectric layer 56; anti-reflective material (e.g., titanium nitride) layer 54; conductive material (e.g., aluminum) layer 52; and semiconductor substrate 50.
  • Referring to FIGS. 6 and 7, a dielectric etch process (main etch step) is performed, according to methods known in the art, using a patterned [0036] photoresist mask 58 to pattern a dielectric layer 56 which is formed on a semiconductor substrate 50 and which overlies an underlying structure which includes an interconnection layer 52 and an anti-reflection layer 54 overlying the substrate 50. The dielectric layer 56 is etched to form openings 60, to provide connecting holes, typically contact vias, which are subsequently filled with conductive materials. The dielectric layer 56 typically comprises a silicon-based oxide layer such as silicon dioxide or borophosphosilicate glass (BPSG). The interconnection layer 52 typically comprises a conductive material, such as polysilicon or a metal, such as aluminum or an aluminum alloy (e.g., aluminum-copper or aluminum-silicon-copper). An anti-reflection layer 54, comprising, for example, titanium nitride or silicon oxynitride, is typically formed to overlie the interconnection layer 52 for the purpose of improving the pattern-defining accuracy and resolution of the conductive material.
  • The dielectric etch process is typically performed, using techniques known in the art, in a plasma processing chamber using a fluorine-based plasma to etch contact vias [0037] 60 in the dielectric layer 56, as illustrated in FIG. 7. As previously described, some residues may remain and some byproducts may be created during the dielectric etch process, such as the polymer and/or metal-comprising polymer 62 formed on the sidewall of the via holes 60, as well as on the surrounding walls of the processing chamber.
  • The dielectric etch process is preferably performed using an inductively coupled high density plasma (HDP) etch reactor, an example of which is described above and illustrated in FIG. 9. As used herein, the term “high density plasma” refers to a plasma having an ionization density of at least 11[0038] 10 e/cm3. The reactor shown in FIG. 9 provides both selectivity and the process flexibility which are beneficial during a dielectric etch process. Such a reactor can also be advantageously used in the performance of the post-etch treatment method of the following invention. Other types of reactors, including remote plasma source (RPS) reactors, electroncyclotron resonance (ECR) reactors, and capacitively coupled parallel plate reactors of the kind well known in the art may also be used for the dielectric etch process and the post-etch treatment method.
  • Referring to FIG. 5, after performance of the [0039] dielectric etch process 40, a post-etch treatment method including a single step treatment 44 or a sequence of steps 42 through 44 is then performed. The post-etch treatment method is preferably performed in the same processing chamber as the dielectric etch process.
  • In one preferred embodiment of the method of the invention, a flushing [0040] step 42 is performed after the dielectric etch process 40 and prior to the post-etch treatment step 44 in order to flush out fluorine species remaining in the chamber after the dielectric etch process 40. The flushing step 42 is preferably performed in the same processing chamber as the dielectric etch process 40. The flushing step 42 is performed using a high-flow oxygen-based plasma. When an inductively coupled high density plasma etch reactor is used, the flow rate of oxygen during this step is typically within the range of about 750 sccm and about 1250 sccm, most preferably, about 1000 sccm. The source power is typically within the range of about 2500 W and about 3500 W, most preferably, about 3000 W.
  • In a particularly preferred embodiment of the invention, when the Applied Materials' IPS oxide etch reactor illustrated in FIG. 9 is used, the flushing [0041] step 42 of high-flow oxygen-based plasma is performed using an inner ring source power within the range of about 500 W and about 1000 W, most preferably, about 750 W, and an outer ring source power within the range of about 2000 W and about 2500 W, most preferably, about 2250 W. No bias power is typically applied during the flushing step. As described above, the inner ring source power is the RF power supplied to the inner inductive coil stack 106, and the outer ring source power is the RF power supplied to the outer inductive coil stack 108.
  • The flushing [0042] step 42 flushes fluorine species which remain in the processing chamber after the dielectric etch process 40 out of the chamber using a high-flow oxygen-based plasma. Alternatively, oxygen-comprising gases can be used to form or added to the oxygen-based plasma The photoresist layer 58 can be totally, or at least partially, removed during the flushing step 42. During the flushing step 42, the process chamber pressure can vary greatly with the release of fluorine species. The process chamber pressure typically ranges between about 30 mTorr and about 120 mTorr.
  • Next, a post-etch treatment step is performed comprising exposing the semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine. With the addition of the nitrogen-comprising gas and the reactive gas, the oxygen-based plasma effectively removes residues and [0043] polymers 62 shown in FIG. 7 which remain.
  • When an inductively coupled high density plasma etch reactor is used, the flow rate of oxygen during this step is typically within the range of about 50 sccm and about 200 sccm, most preferably, about 100 sccm. Other oxygen-comprising gases can be utilized or added to the oxygen-based plasma. [0044]
  • The reactive gas comprises at least one hydrogen-containing fluorocarbon gas, preferably selected from the group consisting of CHF[0045] 3, CH2F2, CH3F, C3H2F6, and combinations thereof When an inductively coupled high density plasma etch reactor is used, the flow rate of the hydrogen-containing fluorocarbon gas or gases is typically within the range of about 30 sccm and about 60 sccm. When CH2F2 (a highly preferred hydrogen-containing fluorocarbon gas) is used, the preferred flow rate is about 45 sccm.
  • Alternatively, the reactive gas comprises at least one fluorocarbon gas and hydrogen. The fluorocarbon gas is preferably selected from the group consisting of C[0046] 2F6, C3F6, C3F8, C4F6, C4F8, and combinations thereof. When an inductively coupled high density plasma etch reactor is used, the flow rate of the fluorocarbon gas or gases is within the range of about 10 sccm and about 30 sccm, most preferably, about 20 sccm. The flow rate of hydrogen is between about 10 sccm and about 30 sccm, most preferably, about 20 sccm.
  • The nitrogen-comprising gas is preferably N[0047] 2. The addition of nitrogen to the plasma source gas improves the dissociation of oxygen and other gas species and also enhances residue removal. The presence of nitrogen can further suppress metal-comprising polymer growth and reduce or prevent the sidewall striation encountered when conventional post-etch treatments are used. When an inductively coupled high density plasma etch reactor is used, the flow rate of the nitrogen is typically within the range of about 10 sccm and about 20 sccm, most preferably, about 15 sccm.
  • When an inductively coupled high density plasma etch reactor is used, the [0048] post-etch treatment step 44 is typically performed using a source power within the range of about 2100 W and about 3100 W. A bias power within the range of about 150 W and about 300 W is typically applied during this step.
  • In a particularly preferred embodiment of the invention, when the Applied Materials' IPS oxide etch reactor is used, the post-etch treatment step is performed using an inner ring source power within the range of about 400 W and about 900 W, most preferably, about 650 W, and an outer ring source power within the range of about 1700 W and about 2200 W, most preferably, about 1950 W. A bias power within the range of about 150 W and about 300 W is typically applied. The process chamber pressure typically ranges between about 20 mTorr and 50 mTorr. [0049]
  • Referring to FIG. 7, during the post-etch treatment step, the remaining sidewall polymer and/or metal-comprising [0050] polymer 62 is attacked further by the carbon-fluorine radical, and reaction products of this attack, in combination with hydrogen species, form volatile components which can be removed cleanly. Any residual photoresist 58 remaining after the flushing step 42 may also be removed during the post-etch treatment step. Furthermore, the high chamber pressure utilized in the preferred embodiments assists in the removal of polymer deposits on the sidewalls and bottom of the contact vias 60. The higher chamber pressure and the application of a bias power during this step allow a lower total gas flow and a longer residence time to be utilized, which further increases polymer-stripping efficiency.
  • Following the [0051] post-etch treatment step 44, a cleaning step 46 may be performed using a medium-flow oxygen-based plasma. When an inductively coupled high density plasma etch reactor is used, the flow rate of oxygen during this step is typically within the range of about 250 sccm and about 750 sccm, most preferably, about 500 sccm. The flow rate of oxygen used for the cleaning step is typically about 50% of the flow rate of oxygen used for the flushing step. Other oxygen-comprising gases can be utilized or added to the oxygen-based plasma. When an inductively coupled high density plasma etch reactor is used, cleaning step 46 is typically performed using a source power within the range of about 2500 W and about 3500 W, most preferably, about 3000 W.
  • In a particularly preferred embodiment of the invention, when the Applied Materials' IPS oxide etch reactor is used, the cleaning step is performed using an inner ring source power within the range of about 500 W and about 1000 W, most preferably, about 750 W, and an outer ring source power within the range of about 2000 W and about 2500 W, most preferably, about 250 W. No bias power is typically applied during the cleaning step. The process chamber pressure typically ranges between about 15 mTorr and 30 mTorr. [0052]
  • The cleaning [0053] step 46 assists in the removal of any gases remaining after the performance of prior steps from the reaction chamber using a medium-flow oxygen-based plasma, and providing a stabilized chamber condition for the performance of subsequent processes without the presence of undesired residual species.
  • If the [0054] optional flushing step 42 is not performed, the cleaning step 46 is preferably performed using a high-flow oxygen-based plasma. In this case, the flow rate of oxygen during the cleaning step is typically within the range of about 750 sccm and about 1250 sccm, most preferably, about 1000 sccm, in order to enhance the cleaning effect when the flushing step 42 is not used. The power conditions used in the performance of a high-flow oxygen-based plasma cleaning step are basically the same as described above for the medium-flow oxygen-based plasma cleaning step.
  • Throughout the post-etch treatment method of the present invention, the temperature of the substrate [0055] 50 (typically, a silicon wafer) can vary greatly under different processing recipes and applied powers. However, in order to avoid undesired reactions or damage to the semiconductor structure, the substrate temperature is preferably maintained at less than about 120° C. during the dielectric etch process, and less than about 80° C. during performance of the post-etch treatment method.
  • In order to remove the [0056] anti-reflection layer 54 underlying the contact vias 60, as shown in FIG. 8, an anti-reflection etch and second phase post-etch treatment are typically performed using methods known in the art following the completion of the post-etch treatment method of the invention.
  • The above-described preferred embodiments are not intended to limit the scope of the present invention, as one skilled in the art can, in view of the present disclosure expand such embodiments to correspond with the subject matter of the invention claimed below. [0057]

Claims (20)

We claim:
1. A method for post-etch treatment of a semiconductor structure following a dielectric etch process, wherein said semiconductor structure includes an overlying dielectric layer into which openings have been etched, wherein the method comprises exposing said semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine.
2. The method of claim 1, wherein said reactive gas comprises at least one hydrogen-containing fluorocarbon gas.
3. The method of claim 2, wherein said hydrogen-containing fluorocarbon gas is selected from the group consisting of CHF3, CH2F2, CH3F, C3H2F6, and combinations thereof.
4. The method of claim 1, wherein said reactive gas comprises at least one fluorocarbon gas and hydrogen.
5. The method of claim 4, wherein said fluorocarbon gas is selected from the group consisting of C2F6, C3F6, C3F8, C4F6, C4F8, and combinations thereof.
6. The method of claim 1 or claim 2, or claim 4, wherein said nitrogen-comprising gas is N2.
7. The method of claim 1, wherein said method further comprises a flushing step performed prior to said post-etch treatment.
8. The method of claim 7, wherein said flushing step comprises exposing said semiconductor structure to a high-flow plasma comprising oxygen.
9. The method of claim 1 or claim 8, wherein said method further comprises a cleaning step subsequent to said post-etch treatment.
10. The method of claim 9, wherein said cleaning step is performed while said semiconductor structure is present in said process chamber.
11. The method of claim 1, wherein said cleaning step is performed after said semiconductor structure is removed from said process chamber.
12. The method of claim 1, wherein said post-etch treatment method removes a photoresist layer overlying said dielectric layer.
13. A method of post-etch treatment of a semiconductor structure following a dielectric etch process, wherein said semiconductor structure includes an overlying dielectric layer into which openings have been etched, wherein the method comprises the steps of:
a) a flushing step comprising exposing said semiconductor structure to a high-flow plasma comprising oxygen;
b) a post-etch treatment step comprising exposing said semiconductor structure to a plasma generated from a source gas comprising oxygen, a nitrogen-comprising gas, and a reactive gas comprising hydrogen, carbon, and fluorine; and
c) a cleaning step comprising exposing at least a process chamber in which said dielectric etch process was performed to a medium-flow plasma comprising oxygen.
14. The method of claim 13, wherein said reactive gas comprises at least one hydrogen-containing fluorocarbon gas.
15. The method of claim 14, wherein said hydrogen-containing fluorocarbon gas is selected from the group consisting of CHF3, CH2F2, CH3F, C3H2F6, and combinations thereof.
16. The method of claim 13, wherein said reactive gas comprises at least one fluorocarbon gas and hydrogen.
17. The method of claim 16, wherein said fluorocarbon gas is selected from the group consisting of C2F6, C3F6, C3F8, C4F6, C4F8, and combinations thereof.
18. The method of claim 13, wherein said nitrogen-comprising gas is N2.
19. A controller apparatus programmed to carry out the method of claim 1 or claim 13.
20. A medium containing data which enables a controller apparatus to carry out the method of claim 1 or claim 13.
US10/080,882 1999-05-03 2002-02-21 High density plasma post-etch treatment for a dielectric etch process Abandoned US20020074312A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/080,882 US20020074312A1 (en) 1999-05-03 2002-02-21 High density plasma post-etch treatment for a dielectric etch process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30444999A 1999-05-03 1999-05-03
US10/080,882 US20020074312A1 (en) 1999-05-03 2002-02-21 High density plasma post-etch treatment for a dielectric etch process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US30444999A Continuation 1999-05-03 1999-05-03

Publications (1)

Publication Number Publication Date
US20020074312A1 true US20020074312A1 (en) 2002-06-20

Family

ID=23176563

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/320,251 Expired - Fee Related US6379574B1 (en) 1999-05-03 1999-05-26 Integrated post-etch treatment for a dielectric etch process
US10/080,882 Abandoned US20020074312A1 (en) 1999-05-03 2002-02-21 High density plasma post-etch treatment for a dielectric etch process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/320,251 Expired - Fee Related US6379574B1 (en) 1999-05-03 1999-05-26 Integrated post-etch treatment for a dielectric etch process

Country Status (3)

Country Link
US (2) US6379574B1 (en)
JP (1) JP2001007093A (en)
TW (1) TW578235B (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020040885A1 (en) * 1999-07-22 2002-04-11 Sujit Sharan Plasma etching process and semiconductor plasma etching process
US20030137055A1 (en) * 2002-01-23 2003-07-24 Trivedi Jigish D. Semiconductor processing methods, and semiconductor constructions
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040011762A1 (en) * 2001-06-11 2004-01-22 Motohiro Furuki Method for manufacturing master disk for manufacturing optical recording medium havingpits and projections, stamper, and optical recording medium
US20050079706A1 (en) * 2003-10-14 2005-04-14 Kaushik Kumar Dual damascene structure and method
US20080102646A1 (en) * 2006-10-26 2008-05-01 Mark Naoshi Kawaguchi Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080099040A1 (en) * 2006-10-26 2008-05-01 Bahng Kenneth J Integrated method for removal of halogen residues from etched substrates in a processing system
US20080198895A1 (en) * 2007-02-16 2008-08-21 Matthew Fenton Davis Substrate temperature measurement by infrared transmission
CN102148191A (en) * 2010-02-10 2011-08-10 上海宏力半导体制造有限公司 Formation method for contact hole
CN102376636A (en) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
CN102496561A (en) * 2011-11-29 2012-06-13 上海宏力半导体制造有限公司 Method for carrying out micro etching by utilizing chemical dry etching device
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
CN104425355A (en) * 2013-08-27 2015-03-18 中芯国际集成电路制造(上海)有限公司 Formation method of conductive plug
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method
US9177816B2 (en) 2011-09-29 2015-11-03 Tokyo Electron Limited Deposit removal method
CN106148960A (en) * 2016-08-24 2016-11-23 武汉华星光电技术有限公司 The method of aluminum etching
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4382926B2 (en) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 Plasma processing method
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US7179751B2 (en) * 2001-10-11 2007-02-20 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
JP2002158213A (en) * 2000-11-21 2002-05-31 Sharp Corp Method of manufacturing semiconductor device
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
JP4838464B2 (en) * 2001-09-26 2011-12-14 東京エレクトロン株式会社 Processing method
KR100500932B1 (en) * 2001-09-28 2005-07-14 주식회사 하이닉스반도체 Method of dry cleaning and photoresist strip after via contact etching
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US6818566B2 (en) * 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
CN101211753B (en) * 2006-12-29 2011-03-16 联华电子股份有限公司 Semiconductor technology
US20100240220A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for stripping photoresist and removing dielectric liner
CN104550132B (en) * 2013-10-29 2016-12-07 中芯国际集成电路制造(上海)有限公司 The conforming control method of critical size after superdeep holes plasma etching industrial
KR101745686B1 (en) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 Methods for high precision etching of substrates
US10134878B2 (en) * 2016-01-14 2018-11-20 Applied Materials, Inc. Oxygen vacancy of IGZO passivation by fluorine treatment
US10586696B2 (en) 2017-05-12 2020-03-10 Applied Materials, Inc. Halogen abatement for high aspect ratio channel device damage layer removal for EPI growth
CN112435923B (en) * 2020-11-27 2022-08-16 华虹半导体(无锡)有限公司 Etching process method for multi-product mixed production

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
US5721090A (en) * 1994-09-22 1998-02-24 Tokyo Electron Limited Method of etching a substrate
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484979A (en) * 1984-04-16 1984-11-27 At&T Bell Laboratories Two-step anisotropic etching process for patterning a layer without penetrating through an underlying thinner layer
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5721090A (en) * 1994-09-22 1998-02-24 Tokyo Electron Limited Method of etching a substrate
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020040885A1 (en) * 1999-07-22 2002-04-11 Sujit Sharan Plasma etching process and semiconductor plasma etching process
US20020040886A1 (en) * 1999-07-22 2002-04-11 Sujit Sharan Chemical vapor deposition process of depositing a material over a semiconductor substrate and method of forming a conductive contact
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US20040063314A1 (en) * 1999-07-22 2004-04-01 Sujit Sharan Method of forming a conductive contact
US7022618B2 (en) 1999-07-22 2006-04-04 Micron Technology, Inc. Method of forming a conductive contact
US20040011762A1 (en) * 2001-06-11 2004-01-22 Motohiro Furuki Method for manufacturing master disk for manufacturing optical recording medium havingpits and projections, stamper, and optical recording medium
US20030137055A1 (en) * 2002-01-23 2003-07-24 Trivedi Jigish D. Semiconductor processing methods, and semiconductor constructions
US6818997B2 (en) * 2002-01-23 2004-11-16 Micron Technology, Inc. Semiconductor constructions
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20050079706A1 (en) * 2003-10-14 2005-04-14 Kaushik Kumar Dual damascene structure and method
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US7846845B2 (en) 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US20080099040A1 (en) * 2006-10-26 2008-05-01 Bahng Kenneth J Integrated method for removal of halogen residues from etched substrates in a processing system
US9735002B2 (en) 2006-10-26 2017-08-15 Applied Materials, Inc. Integrated apparatus for efficient removal of halogen residues from etched substrates
US20090014324A1 (en) * 2006-10-26 2009-01-15 Mark Naoshi Kawaguchi Integrated apparatus for efficient removal of halogen residues from etched substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080102646A1 (en) * 2006-10-26 2008-05-01 Mark Naoshi Kawaguchi Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7946759B2 (en) 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US20080198895A1 (en) * 2007-02-16 2008-08-21 Matthew Fenton Davis Substrate temperature measurement by infrared transmission
CN102148191A (en) * 2010-02-10 2011-08-10 上海宏力半导体制造有限公司 Formation method for contact hole
CN102376636A (en) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US11177136B2 (en) 2011-03-01 2021-11-16 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US11574831B2 (en) 2011-03-01 2023-02-07 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US9126229B2 (en) 2011-05-11 2015-09-08 Tokyo Electron Limited Deposit removal method
US9177816B2 (en) 2011-09-29 2015-11-03 Tokyo Electron Limited Deposit removal method
CN102496561A (en) * 2011-11-29 2012-06-13 上海宏力半导体制造有限公司 Method for carrying out micro etching by utilizing chemical dry etching device
US10566205B2 (en) 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
CN104425355A (en) * 2013-08-27 2015-03-18 中芯国际集成电路制造(上海)有限公司 Formation method of conductive plug
CN106148960A (en) * 2016-08-24 2016-11-23 武汉华星光电技术有限公司 The method of aluminum etching

Also Published As

Publication number Publication date
JP2001007093A (en) 2001-01-12
TW578235B (en) 2004-03-01
US6379574B1 (en) 2002-04-30

Similar Documents

Publication Publication Date Title
US6379574B1 (en) Integrated post-etch treatment for a dielectric etch process
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US7981812B2 (en) Methods for forming ultra thin structures on a substrate
KR100738850B1 (en) Multiple stage cleaning for plasma etching chambers
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
EP2056341A2 (en) Methods for forming high aspect ratio features on a substrate
US20080057729A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20090302002A1 (en) Method and apparatus for removing polymer from a substrate
US7790047B2 (en) Method for removing masking materials with reduced low-k dielectric material damage
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
KR100743873B1 (en) Techniques for improving etching in a plasma processing chamber
US7202177B2 (en) Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) Etch back process using nitrous oxide
TW558765B (en) Integrated post-etch treatment for dielectric etch process
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE