US20020092763A1 - Method for forming a barrier layer for use in a copper interconnect - Google Patents

Method for forming a barrier layer for use in a copper interconnect Download PDF

Info

Publication number
US20020092763A1
US20020092763A1 US10/081,796 US8179602A US2002092763A1 US 20020092763 A1 US20020092763 A1 US 20020092763A1 US 8179602 A US8179602 A US 8179602A US 2002092763 A1 US2002092763 A1 US 2002092763A1
Authority
US
United States
Prior art keywords
wafer
copper
coil
barrier layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/081,796
Inventor
Dean Denning
Sam Garcia
Bradley Smith
Daniel Loop
Gregory Hamilton
Md. Islam
Brian Anthony
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Denning Dean J.
Garcia Sam S.
Smith Bradley P.
Loop Daniel J.
Hamilton Gregory Norman
Islam Md. Rabiul
Anthony Brian G.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Denning Dean J., Garcia Sam S., Smith Bradley P., Loop Daniel J., Hamilton Gregory Norman, Islam Md. Rabiul, Anthony Brian G. filed Critical Denning Dean J.
Priority to US10/081,796 priority Critical patent/US20020092763A1/en
Publication of US20020092763A1 publication Critical patent/US20020092763A1/en
Assigned to FREESCALE SEMICONDUCTOR, INC. reassignment FREESCALE SEMICONDUCTOR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOTOROLA, INC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/66Regeneration of the filtering material or filter elements inside the filter
    • B01D46/68Regeneration of the filtering material or filter elements inside the filter by means acting on the cake side involving movement with regard to the filter elements
    • B01D46/681Regeneration of the filtering material or filter elements inside the filter by means acting on the cake side involving movement with regard to the filter elements by scrapers, brushes or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/0002Casings; Housings; Frame constructions
    • B01D46/0005Mounting of filtering elements within casings, housings or frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D46/00Filters or filtering processes specially modified for separating dispersed particles from gases or vapours
    • B01D46/10Particle separators, e.g. dust precipitators, using filter plates, sheets or pads having plane surfaces
    • B01D46/12Particle separators, e.g. dust precipitators, using filter plates, sheets or pads having plane surfaces in multiple arrangements
    • B01D46/121V-type arrangements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

A method for forming an improved copper inlaid interconnect (FIG. 11) begins by performing an RF preclean operation (408) on the inlaid structure in a chamber (10). The RF preclean rounds corners (210 a and 206 a) of the structure to reduce voiding and improve step coverage while not significantly removing copper atoms from the underlying exposed copper interconnects surfaces (202 a). A tantalum barrier (220) is then deposited where one portion of the tantalum barrier is more tensile than another portion of the tantalum barrier. After formation of the barrier layer (220), a copper seed layer (222) is formed over a top of the barrier layer. The copper layer is formed while clamping the wafer with an improved clamp (85) which reduces copper peeling and contamination at wafer edges. Copper electroplating and chemical mechanical polishing (CMP) processes are then used to complete the copper interconnect structure.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor manufacturing, and more particularly to barrier/seed deposition processes for copper interconnects. [0001]
  • BACKGROUND OF THE INVENTION
  • In the integrated circuit (IC) industry, copper is currently being developed as a replacement material for aluminum in interconnects. Copper interconnects are generally improved over aluminum interconnects because the manufacturing of copper is less expensive. In addition, copper interconnects are less resistive than aluminum interconnects and, therefore, generate less heat. Also, the reduced resistance of copper improves the ability of the IC to operate at higher operational frequencies, whereby performance is improved. In addition, copper also has improved electromigration resistance as compared to aluminum. [0002]
  • However, in spite of these advantages, copper has a number of disadvantages which must be overcome if it is to become a viable alternative. One disadvantage of copper includes its potential as a source of mobile ion contamination. Copper ions readily diffuse through conventional dielectric materials used in fabricating semiconductors. If not properly contained, copper can diffuse into active areas of the device and thereby impact device reliability. In addition, copper is not easily etched. It therefore requires that interconnects be formed as inlaid structures, which are more complicated and which require using chemical mechanical polishing (CMP) processes. Further, copper processing requires using new materials and new processes which, if not properly integrated into the manufacturing process, can present a variety of problems and complications. [0003]
  • For example, barrier layers are typically required when using copper interconnects. The barrier layer is formed around the copper to contain it, thereby preventing it from contaminating adjacent layers and active regions. These barrier layers, which are generally not required for aluminum, are creating new manufacturing and integration issues which must be addressed. The materials and processes used to form these barriers are currently not well understood. Therefore, further improvements in these materials and the processes for forming them have the potential to significantly enhance wafer yield, device reliability, and equipment uptime. [0004]
  • Many of the materials (e.g., refractory metals) used for barriers in copper processing also have an ability to negatively impact device reliability. These reliability issues stem, in part, from the stress of the barrier layer relative to adjacent films. Therefore, barrier stress control also has the potential to improve the overall IC yield and reliability. [0005]
  • Furthermore, processes and chambers currently used to deposit copper in the interconnects are not optimized in terms of thickness and uniformity control. The lack of control is problematic. If uniformity of the deposited copper film varies enough, yield can be adversely affected and/or subsequent processes may be further complicated by requiring that adjustments be made to compensate for the nonuniformly deposited film. [0006]
  • Additionally, the lack of adhesion of copper and copper barrier materials to chamber components can present problems during deposition as well as during wafer transport. These materials are a potential particle source. Optimizing the deposition process to improve adhesion of these materials would be advantageous to improving yield and reducing particulate contamination in processing chambers. [0007]
  • Many copper processes have step coverage problems wherein the via and trench sidewalls are covered to a lesser extent by the copper film than are planer surfaces. In addition, copper voiding problems can also result if the deposited film at the upper portions of the openings is deposited at too high of a rate. This can cause the film to be pinched off, at the top, before completely filling the opening and result in voids being formed within the opening. A process which improves step coverage and minimizes voiding has the potential to enhance yield and reliability for devices having copper interconnects. [0008]
  • Further, back-sputtering of material during pre-metal deposition processes, which is not necessarily a problem with aluminum, is a concern with copper because of the mobile ion concerns cited previously. If aluminum is back-sputtered onto exposed wafer surfaces, chemicals and processes exist to remove it. In addition, this aluminum does not readily diffuse through the various layers. Contrarily, back-sputtered copper is not easily removed, either chemically or otherwise. Unless it is contained with a barrier, it will likely diffuse through adjacent films and impact yield and reliability. Therefore, any interconnect processes which expose underlying copper layers should be engineered to ensure minimal removal of copper from the exposed regions. [0009]
  • Therefore, a need exists in the industry for improved metallization processing whereby copper interconnects can be manufactured in high volumes, with reduced cost, and improved yield and reliability. [0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features and advantages of the present invention will be more clearly understood from the following detailed description taken in conjunction with the accompanying FIGURES where like numerals refer to like and corresponding parts and in which: [0011]
  • FIG. 1 illustrates, in a top-perspective view, a multichamber integrated circuit deposition system in accordance with the present specification. [0012]
  • FIG. 2 illustrates, in a cross-sectional diagram, the radio frequency (RF) preclean chamber illustrated in FIG. 1 in accordance with the present specification. [0013]
  • FIG. 3 illustrates, in a cross-sectional diagram, a barrier layer deposition chamber as shown in FIG. 1 and in accordance with the present specification. [0014]
  • FIG. 4 illustrates, in a cross-sectional diagram, a copper seed layer deposition chamber as shown in FIG. 1 and in accordance with the present specification. [0015]
  • FIG. 5 illustrates, in a cross-sectional diagram, a magnified clamp portion of FIG. 4 which shows, in greater detail, the specific clamp of FIG. 4 in accordance with the present specification. [0016]
  • FIG. 6 illustrates, in a top-perspective view, the clamp of FIG. 5 in accordance with the present specification. [0017]
  • FIG. 7 illustrates, in a cross-sectional diagram, the unfortunate repercussions of using a clamp with improper geometries. [0018]
  • FIGS. [0019] 8-11 illustrate, in cross-sectional diagrams, a method for forming a copper interconnect using the system illustrated in FIGS. 1-6 in accordance with the present specification.
  • FIG. 12 illustrates, in a flow chart, a method for forming a barrier layer and seed layer for use in a copper interconnect in accordance with the present specification. [0020]
  • FIG. 13 illustrates, in a table, a comparison of prior art aluminum preclean methods with new copper preclean techniques used in accordance with the present specification. [0021]
  • FIG. 14 illustrates, in an XY plot, the powering sequence used for the coil, target, and wafer in a barrier layer deposition chamber so that the barrier layer may be formed in accordance with the present specification. [0022]
  • Skilled artisans appreciate that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of embodiments of the present invention. [0023]
  • DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT
  • In general, FIGS. [0024] 1-14 herein teach an improved method for forming a copper inlaid interconnect structure. Generally, the method teaches improved pre-metal deposition processing of an inlaid or dual inlaid structure, improved deposition processing of a copper barrier within the inlaid structure, and improved deposition processing of a seed layer over the barrier layer.
  • Specifically, in prior art barrier deposition chambers, electrically biased components are commonly placed in close proximity to other electrically conductive components. In some cases, they unintentionally short circuit and cause the applied bias to change, resulting in inconsistent processing. To prevent this from occurring between an electrically biased wafer pedestal (support member) and other conductive chamber portions, a dielectric or ceramic isolating ring is placed between the pedestal and other conductive chamber components. In addition to protecting the wafer from arcs and short circuits, the isolation ring also helps to insure that the applied bias is directed to the wafer, and not to other conductive portions of the chamber in close proximity to the wafer. Thereby further insuring that the wafer is correctly processed efficiently and with consistent results. [0025]
  • When using tantalum (Ta) to form the barrier, it was found that it did not adhere well to the ceramic isolation ring. This resulted in tantalum particles flaking off of the ceramic isolation ring and onto the wafer. These particles significantly impacted integrated circuit yield. To reduce the level of particles, a design was incorporated which flame sprayed or arc sprayed an aluminum coating over the ceramic isolation ring. The aluminum coating was found to improve adhesion of the tantalum to the isolation ring and significantly reduce the number of particles. [0026]
  • In addition, conventional clamps, used to secure wafers during copper seed layer deposition, were found to be inadequate in the art. The elevated shadow region of the clamp was designed to be too high above the surface of the wafer, whereby copper could deposit on the wafer, in significant quantities, under the shadow region. This produced copper nodules and/or also resulted in areas on the wafer where the thickness of copper decreased in a graded fashion as it extended toward the periphery of the wafer (graded copper regions). During subsequent plating and/or chemical mechanical polishing (CMP) operations, these copper nodules and graded copper regions were found to be a source of particles and reduced die yield because of their propensity to delaminate from the surface of the wafer. Additionally, if the clamp is allowed to contact the wafer in areas that are sputtered with copper, the sputtered copper can adhere to both the clamp surface and the wafer surface, whereupon this sputtered copper can be torn or ripped when the clamp and the wafer are separated from each other. To avoid these problems, an improved clamp has been developed and is described herein that noticeably improves yield by preventing the above adverse phenomena. [0027]
  • In addition, it was found that applying a tantalum nitride (TaN) coating over the barrier deposition chamber's components, after chamber maintenance, greatly reduced chamber down time due to particulates. When the chamber was not coated with TaN, residual tantalum sputtered on the chamber's internal components readily flaked and created particles in the chamber and on the wafer. This resulted in a need to increase the frequency of chamber cleans and a corresponding increase in equipment downtime. It was discovered that a periodic TaN chamber coat/seasoning improved adhesion of the tantalum to internal chamber components and reduced particles, thereby reducing chamber down time and improving semiconductor device die yield. [0028]
  • In prior art processing, via etch and pre-metal deposition processes were typically not optimized to ensure reduced removal of exposed underlying aluminum. Reduced aluminum removal was not typically a concern because re-deposited aluminum did not readily diffuse through adjacent layers, and the aluminum was easy to remove with subsequent chemical processing. However, copper films are different. In their case, it is advantageous to develop etch and pre-metal deposition processes which, while accomplishing their intended tasks, do not remove a significant amount of exposed copper because of the copper's potential for producing mobile ion contamination. Therefore, a new via processing technique is taught, herein, which is intended to reduce the problems with copper-related contamination resulting from back-sputtering and removal of copper from exposed interconnect regions, whereby yield and reliability are improved. In addition, although the amount of copper removed during the pre-metal deposition processing is significantly reduced, sufficient precleaning and contouring or rounding of the opening's corners is still accomplished, whereby contact resistance is improved, step coverage is improved, and void formation is reduced during subsequent metal deposition processing. [0029]
  • Additionally, the film stress characteristics of many of the refractory metals used for forming copper barrier layers can vary significantly with respect to the overlying and underlying conductive and dielectric layers. This stress differential can cause significant reliability and yield problems. A method is described, herein, that teaches the deposition of a composite tantalum barrier layer, wherein, relative to each other, one portion of the layer is more tensile and another portion of the layer is less tensile, and whereby stress related complications are reduced. This tensile-engineered composite layer is formed by changing a duty cycle of the power supplied to the barrier chamber's coil with respect to the power supplied to the barrier chamber's target. In addition, the coil can also be utilized, in conjunction with the target, as a source for sputtering material onto the wafer, in order to form the composite film (e.g., one material from coil, another material from target) and/or improve the overall uniformity of a deposited layer across the wafer. [0030]
  • Therefore, the integration of the above improvements has resulted in much improved barrier and seed layer processes for use in copper interconnections. The above integration improvements can be further understood with specific references to FIGS. [0031] 1-14 herein.
  • FIG. 1 illustrates a multi-chamber integrated [0032] circuit deposition system 1. System 1 includes two robotically-controlled transfer chambers designed to move wafers from one point to another. The first robotic chamber is a buffer chamber 3, and a second robotic chamber is the transfer chamber 2.
  • Wafers enter the [0033] system 1 by being placed into one of the load locks 7, shown in FIG. 1. After the load lock 7 stabilizes at the appropriate temperature, pressure, etc., the buffer chamber 3 moves the wafer from the load lock 7 into the degas and alignment chamber 5. The degas and alignment chamber 5 will use a flat or notch formed within the semiconductor wafer to rotationally align the wafer for processing within system 1. In addition, the degas and alignment chamber 5 applies heat or energy to the wafer to remove organic contamination, water, or other undesirable material from the wafer prior to placing the wafer into to one of the various processing chambers. Such removal is done to reduce the likelihood that these materials will contaminate any of the chambers in the system 1.
  • After processing in [0034] chamber 5, the wafer is moved via the buffer chamber 3 to one of the radio frequency (RF) preclean chambers 10, shown in FIG. 1 (see also FIG. 2). The RF preclean chambers 10 are used to round corner portions of inlaid via and/or trench openings. In addition, the preclean chamber cleans exposed conductive surfaces of the semiconductor wafer in preparation for subsequent copper barrier and copper seed layer formation.
  • After being processed through the [0035] chamber 10, the wafer is transferred through transfer chamber 9 and into transfer chamber 2. Transfer chamber 2 then places the wafer into a barrier deposition chamber 40 (see also FIG. 3). The wafer's transfer between processing chambers via transfer chamber 2 is done in a controlled environment under controlled conditions whereby contaminants on the wafer during wafer transport are reduced. The barrier deposition chamber 40 deposits a copper barrier layer on the semiconductor wafer prior to depositing copper on the wafer. The barrier is preferably tantalum, or some other refractory metal or refractory metal nitride. Alternatively, it may be formed using other types of single or composite materials useful for forming barrier layers.
  • After forming the barrier layer, the wafer is transported to a seed layer deposition chamber [0036] 70 (see also FIG. 4). In chamber 70, a copper seed layer is formed on which copper can be electroplated, electroless plated, deposited, sputtered and/or the like. After forming the copper seed layer, the wafer is transported through chamber 9 into an optional cool down chamber (not shown) to cool the wafer before moving it into buffer chamber 3. The buffer chamber 3 then transfers the wafer from the chamber 9 back into the load lock 7, whereby the wafer is removed from system 1. Upon removal, the processed semiconductor wafer has a conductive barrier layer and copper seed layer formed over its exposed surfaces, and it is ready for bulk copper deposition and CMP.
  • The specific chambers within the [0037] multi-chamber deposition system 1 and their sub-components are discussed in more detail in FIGS. 3-7. The effect that system 1 has on a semiconductor wafer transported through the sequence discussed above is further illustrated in FIGS. 8-11, herein. In addition, the steps performed by the system 1, of FIG. 1, on a semiconductor wafer are further illustrated and discussed with reference to FIGS. 12-14, herein. Therefore, the discussion of the FIGS. 2-14 allow for a greater understanding of the process discussed above.
  • FIG. 2 illustrates in greater detail the [0038] RF preclean chamber 10, illustrated in FIG. 1. Chamber 10 includes a dome 12 that used to contain an RF preclean environment within the RF preclean chamber 10. Generally, the dome 12 is made of bead blasted quartz, to promote particle adherence. In addition, quartz is a dielectric material that allows external electric fields (e.g., fields from coil 16 discussed layer) to influence the preclean processing environment and effect the processing of the wafer. Therefore, while quartz is a preferred material, other materials that do not inhibit the passage of external electric fields may also be used.
  • The [0039] dome 12 is encased along the sides and top by a shield 14 and along the bottom by a base plate 18. The elements 14 and 18 are generally made of aluminum, or a like metallic material, capable of shielding radio frequencies (RFs). Located between the shield 14 and the dome 12 is coil 16. Coil 16 is cylindrical in shape and surrounds the quartz dome 12. The coil 16 is supplied with low frequency RF power via a coil power supply 26.
  • As illustrated in FIG. 2, a [0040] semiconductor wafer 22 is placed on a wafer pedestal 20 (wafer chuck) where it is subsequently processed. The wafer pedestal 20 is provided with high frequency RF power via a pedestal power supply 24. The wafer 22 can be secured to the pedestal 20 using a vacuum, mechanical clamps, electrostatic force, or the like. Alternatively, in some systems, the wafer may be left unsecured. FIG. 2 illustrates a gas supply line 28 which supplies gas to the internal chamber environment contained by the quartz dome 12. The gasses supplied the internal chamber environment are generally inert sputtering gasses and typically include argon, nitrogen, or xenon. In addition, FIG. 2 illustrates an exhaust port 30 which removes reacted and unreacted by-products from the chamber 10 and maintains pressure during wafer processing. Generally, the chamber 10 pre-processes and precleans the wafer 22 to round corners of the inlaid openings and to clean exposed conductive surfaces within the openings before depositing the barrier and seed layers. The precleaning process will be described in more detail with reference to FIGS. 9 and 12.
  • FIG. 3 illustrates in greater detail the [0041] barrier deposition chamber 40, illustrated in FIG. 1. The barrier deposition chamber 40, of FIG. 3, includes a shield 42 made from aluminum or an aluminum arc sprayed stainless steel. On top of the shield 42 is a top plate 44. Top plate 44 contains or supports a rotating magnetic assembly 46. The rotating magnetic assembly 46 directs atoms towards a sputtering target 48 while sputtering barrier material from the target 48 onto the wafer 22. The sputtering target 48 is affixed to the bottom of the top plate 44 and is preferably made of tantalum (Ta). Alternatively, the barrier layer target can consist of other materials, such as tantalum nitride (TaN), titanium nitride (TiN), titanium tungsten (TiW), or the like. The target 48 is typically powered with direct current (DC) provided by a target power supply 50, as shown in FIG. 3.
  • An inner periphery of the [0042] housing 42 supports a coil 52. The coil 52 is connected to a coil power supply 54 whereby it can be biased during wafer processing. Wafer 22 is placed in chamber 40 atop a wafer pedestal 56 (wafer chuck). Wafers can be secured to the wafer pedestal 56 using a vacuum, mechanical clamps, electrostatic force, or the like. However, in the embodiment described herein, the wafer is unsecured to the wafer pedestal 56. The pedestal 56 is biased by a pedestal power supply 58. Specific bias conditions will be subsequently discussed in detail with reference to FIG. 14.
  • Within a [0043] base plate 60 are opening(s) through which an input gas source 62 is provided into the chamber, as illustrated in FIG. 3. The input gas source port 62 allows for various gases such as nitrogen, argon, and/or xenon to be introduced into the processing chamber 40 in order to more effectively perform the sputtering operation. In addition, FIG. 3 illustrates an exhaust port 64 which is used to remove residual by-products of the sputtering operation as well as to regulate pressure within the chamber during the sputter processing of the wafer 22.
  • To ensure that [0044] wafer 22 is efficiently biased by the pedestal power supply 58, a dielectric isolation ring 53, illustrated in FIG. 3, is used to prevent electrical contact between wafer pedestal and other conductive chamber components. The dielectric isolation ring 53 is preferably made of a ceramic material. However, it has been found that tantalum (Ta) which is inherently sputtered from the tantalum target 48, onto the ceramic isolation ring 53, does not adhere well, whereby tantalum peeling from the ceramic isolation ring 53 occurs frequently and increases particle contamination on the wafer 22. This particle contamination noticeably reduces die yield. Therefore, in accordance with one embodiment of the present invention, the top, exposed, surface of the ceramic or dielectric isolation ring 53 is coated with a layer of arc sprayed aluminum or flame sprayed aluminum. This added surface on the ceramic isolation ring 53 improves the adhesion of tantalum to the isolation ring 53 during tantalum deposition, whereby particle control in the chamber is significantly improved over isolation rings used in the prior art.
  • In addition, it has been found that tantalum (Ta) sputtered from the [0045] target 48 will also readily deposit on other components within the chamber 40. As an example, tantalum can deposit upon the shield 42, the clamps 55, or other components within the chamber 40. Generally, the tantalum does not adequately adhere directly to these components, over time. If the sputtered tantalum does not adhere well to these components, it will flake causing particle count increases that adversely affect yield and increase system downtime.
  • To avoid these problems, it has been found that, upon cleaning the [0046] chamber 40, a conditioning step should be performed on the chamber 40 prior to reintroducing wafers into chamber 40. This conditioning step involves introducing nitrogen into the chamber 40, through the gas input line 62, while reactively sputtering Ta from the target 48 in the nitrogen ambient. As an example, in one embodiment, this is accomplished in a reactive sputtering deposition system by powering the target 48 in a range of approximately 1300-1700 watts (W), powering the coil 52 in a range of approximately 1300-1700 W, and/or powering the pedestal 56, via the power supplies 50, 54, and/or 58, such that deposition of a tantalum nitride film is formed over portions of the inner surface of chamber 40 and its components. The tantalum nitride film is deposited to a thickness in a range of approximately 0.25-0.75 microns. During the conditioning step, a metal disk is placed over the pedestal to prevent sputtered material from depositing on the heater. This conditioning step coats critical internal chamber components with TaN, whereby adhesion of subsequently deposited tantalum onto the chamber components during wafer processing is improved. After a specified number of wafers have been processed through the chamber 40, another chamber maintenance cleaning procedure and another conditioning operation to deposit tantalum nitride (TaN) over the internal chamber components are again performed. In an alternate embodiment, a composite TaN target may alternatively be used to deposit the tantalum nitride layer over the internal chamber components, instead of sputtering Ta off of a target in a nitrogen environment to form the TaN layer.
  • FIG. 4 illustrates in greater detail the [0047] barrier deposition chamber 70, illustrated in FIG. 1. In a manner similar to FIG. 3, the chamber 70 comprises a shield 72, a top plate 74, a rotating magnet assembly 76, a copper target 78, a target power supply 80, coils 82, a coil power supply 84, a wafer pedestal 86, a pedestal power supply 88, a bottom plate 90, an input gas source 92, and an exhaust port 94 similar to the elements previously discussed. However, the wafer support and clamping structure, of FIG. 4, differs from that shown in chamber 40, of FIG. 3. Where the wafer in FIG. 3 was free standing in chamber 40, the wafer in FIG. 4 is clamped to the wafer pedestal 86, of FIG. 4, using improved clamp 85.
  • During operation, the power supplies [0048] 80, 84 and 88 power the system while an argon (Ar) or like inert gas is provided through input 92 to the chamber 70. This results in copper being sputtered from target 78 and onto the wafer 22. The improvement herein described is not so much in the process as it is in the specific improved clamp 85, used in FIG. 4. Therefore, a detailed discussion of the clamps 85 is provided via FIGS. 5-7.
  • The [0049] clamp 85, shown in FIG. 4, has been redesigned to reduce copper peeling at peripheral regions of the wafer, thereby reducing particulates and particulate related yield problems during IC manufacture. FIG. 5 illustrates a magnified cross-section of an inner periphery portion of the clamp shown in FIG. 4 and further illustrates its position and function relative to the wafer 22. The contact portion 100, of the clamp 85, is used to secure the wafer to the underlying wafer pedestal, or support member (not shown). The elevated region, which is positioned at the inner diameter of the clamp, is referred to as a shadow portion. It is located above a region 102, at a peripheral portion of the wafer 22. FIG. 5 shows that the shadow portion of the clamp 85 is positioned at a distance 104 above the surface of wafer 22. An important point in the design of the clamp, shown in FIG. 5, is point 105 which is where the clamp 85 contacts, or is in close proximity to, the wafer 22. Generally, if the point 105 is not properly engineered relative to other dimensions of the clamp, it will be subject to unwanted deposition of sputtered copper. This can be a problem when unclamping the wafer. When the wafer is unclamped, copper formed continuously over both the wafer and the clamp, near point 105, has a tendency to tear from both of these surfaces. This can produce particles, and it can also be the source of subsequent peeling of the copper film, from the wafer, during later processing.
  • The improvement(s) in the design of FIG. 5 can be best understood and discussed with respect to the [0050] prior art clamp 99, illustrated in FIG. 7. While the clamp 99 secures wafer 22, a copper or copper seed layer 108 is sputtered from an overlying target. If the height 114, of the shadow portion, is too high, then copper can be sputtered along a path, such as path 116, and formed on the wafer in regions under the shadow portion. The copper formed in these regions can have a graded thickness (from thick to thin) and can eventually terminate as copper nodules at the outermost areas under the shadow portion 115. This shadow portion is located toward a peripheral portion of the wafer 22. The copper nodules 110 and graded copper portions 112 are problematic in that they will plate in subsequent copper plating operations in a nonuniform manner. Additionally, copper plating and chemical mechanical polishing (CMP) operations performed on copper nodules 110 and graded copper portions 112 have a potential to significantly and adversely peel from the wafer over time. Therefore, a need exists in the art to reduce formation of copper nodules 110 and graded copper regions 112 whenever possible.
  • In addition, prior art clamps, as in FIG. 7, sometimes create a shadow region with [0051] 115 that is not wide enough. If this region 115 is too narrow and/or the height 114 is too great, a point 117 that typically contacts the clamp 99 to the wafer 22, also has a potential to have copper deposited over and around it. Eventually, enough copper will form on the point 117 to result in tearing or ripping of the copper layer 108 at point 117 when separating the clamp from the wafer. This has the potential to generate particles from the edges of the wafer 22, whereby yield can be affected. Therefore, in order to develop an improved and functional clamp, for use with formation of a copper or copper seed layer, the dimensions 115 and 114 must be carefully engineered beyond those currently existing in the art.
  • FIG. 5 illustrates such an [0052] improved clamp 85 whereby the dimension 104 is less than the height dimension 114 of FIG. 7. Specifically, the prior art has not made a clamp having a shadow portion with a dimension 114 less than 8 mils. Such “high” shadow portion standoff from the wafer 22 is at least partially responsible for the problems discussed above for clamp 99. In FIG. 5, the dimension 104 of clamp 85 is engineered to be less than 8 mils. In a preferred embodiment, the dimension 104 is less than 5 mils and in some cases is made less than 3 mils. Generally, dimension 104, of FIG. 5, is some value within a range of roughly 2 to 5 mils, in most cases. Decreasing the dimension 104 reduces the amount of copper formed under the shadow portion which correspondingly reduces the problems with the graded copper and copper nodules under the shadow portion. It is important not to allow the wafer to come into contact with the inner periphery 107 of the clamp of FIG. 5. This is important, else the tearing problem previously discussed with respect to point 117 of FIG. 7 will occur, except this time such copper buildup and tearing will occur at point 107 as opposed to point 105 of FIG. 5. Therefore, there is good reason not to lower the standoff dimension 104 to a point less than some threshold that varies depending upon the wafer topography and the thickness of the deposited barrier, seed and/or copper layer.
  • In addition, the [0053] dimension 102, in FIG. 5, is generally set at 20 mils or greater to ensure that diagonal deposition path 116 (as illustrated in FIG. 7) will not result in adverse buildup of copper material on the point 105. This lengthening of the relative dimension of 102 versus the dimension 104 further ensures that tearing of peripheral portions of the seed layer is avoided, or substantially reduced. In summary, the improved clamp 85 reduces the copper grading 112 and copper nodules 110, illustrated in FIG. 7, whereby peripheral copper peeling and particles have been substantially reduced. In addition, the newly designed clamp 85 ensures that copper build-up near surfaces of the clamp 85 contacting the wafer does not occur, whereby copper is not adversely torn from the surface of the wafer 22 during copper seed layer formation.
  • In essence, the problem illustrated in FIG. 7 is due to the rectangular two-dimensional shape defined by the [0054] dimensions 115 and 114. By changing the shape of the rectangular region defined in FIG. 5, via distances 102 and 104, improved copper seed layer formation will result. Therefore, instead of describing the clamp improvement via the specific dimensions 102 and 104 described previously, it can alternatively be stated that the dimension 102, or overhang, should be at least 2.5 times the dimension 104, or height, of the shadow portion above the surface of the wafer. Conservatively, the distance 102 should be at least 4.0 times the distance 104. Such geometric relationship will ensure that the formation of graded copper 112 and nodules 110, of FIG. 7, is reduced or avoided, while simultaneously ensuring that the copper seed layer is not torn from the wafer at contact point 105 or perimeter point 107, of FIG. 5.
  • FIG. 6 illustrates the [0055] clamp 85, as used in the chamber 70 of FIG. 4, from a top perspective view. Most semiconductor wafers 22 contain an alphanumeric identification region 106 which typically contains laser scribed characters across the surface of the wafer. In the chamber 40, of FIG. 3, these alphanumeric characters were processed and covered with the Ta barrier layer. The barrier layer is so thin and the alphanumeric characters are so deeply formed, by comparison, that the alphanumeric characters are not distorted, filled, or effectively erased by the deposition of the barrier layer within the alphanumeric character's topography. However, the copper seed layer, which is subsequently formed to a thicknesses of potentially greater than 0.4 micron, could completely obscure or substantially distort the alphanumeric identification region 106. Therefore, the clamp 85, illustrated in FIGS. 4 and 5, is machined in FIG. 6 in a ring shape which has a portion that covers the alphanumeric identification region 106 when the clamp is positioned over the wafer. By doing so, the copper seed layer is formed in regions exclusive of the alpha numeric identification region, whereby these identification symbols are preserved even after copper plating has occurred.
  • Therefore, collectively, FIGS. [0056] 5-6 illustrate an improved clamp structure which is used within the seed layer deposition chamber 70 of FIG. 4 to improve copper interconnect processing.
  • FIGS. [0057] 8-11 illustrate, in cross-sectional diagrams, a method for forming a dual inlaid copper interconnect structure using the system previously illustrated in FIGS. 1-6.
  • FIG. 8 illustrates a [0058] dielectric region 200 formed over a substrate. In a preferred form, the substrate is a silicon wafer. However, other substrates may be used in accordance with the teachings herein, such as silicon carbide, germanium silicon, germanium, gallium arsenide, other III-V compounds, silicon on insulator (SOI) substrates, and like semiconductive materials. On top of this substrate are formed various conductive and dielectric layers. These layer include, but are not limited to materials such as metals, refractory metals, silicides, polysilicon, nitrides, oxides, and/or the like. These layers on top of the substrate form various active devices, passive devices, and interconnect regions between electrical devices on the substrate surface.
  • One such interconnect region is illustrated as [0059] interconnect 202 in FIG. 8. In a preferred form, interconnect 202 is made of a copper material and is preferably a dual inlaid or single inlaid structure having the appropriate barrier layers (not specifically illustrated in FIG. 8). On top of the interconnect 202 is formed an etch stop layer 204 such as silicon nitride, silicon-rich silicon nitride, silicon oxynitride, plasma enhanced nitride, and/or like materials or composites. Over the etch stop layer 204 is formed one or more dielectric layers 206. The dielectric layers 206 include one or more tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), phosphosilicate glass (PSG), fluorine doped TEOS, low-k dielectrics, oxynitrides, and/or like dielectrics or composites thereof. Over the layer 206 is formed a second etch stop and anti reflective coating (ARC) layer 208. The materials used to form layer 208 are similar to the materials used to form layer 204. On top of the layer 208 is another dielectric layer 210 which is formed with materials and processing similar to that previously discussed for dielectric layer 206.
  • After formation of the layers [0060] 204-210, a photolithographic process is used in conjunction with an etch process to form a single inlaid or dual inlaid opening through layers 204-210, as shown in FIG. 8. In FIG. 8, a dual inlaid opening is illustrated having a trench portion 212 a and a via portion 212 b. The opening 212 may be formed “via first/trench last”, “trench first/via last”, or in any other manner which creates the resulting overall structure shown in FIG. 8.
  • After formation of the structure illustrated in FIG. 8, the [0061] wafer 22 is placed into the load lock 7 of system 1, illustrated in FIG. 1. The wafer is transferred into the RF preclean chamber 10, as taught herein. Within RF preclean chamber 10, wafer 22 is etched by ionizing an inert gas, such as argon or xenon, in the presence of an electric field that directs the ionized particles 214 to the wafer surface, as illustrated in FIG. 9. The ionized particles 214 impinge upon the surface of layers 210, 206, and 202, as shown in FIG. 9. However, the ionized particles 214 are powered in a manner such that rounded corners 210 a and 206 a are formed without significantly sputtering, or removing, portions of exposed copper layer 202 from the exposed surface 202 a. Such selective removal, based on depth, is done by powering a coil 16, using coil power supply 26, to a high level of RF power and powering the wafer pedestal, using pedestal power supply 24, to a relatively low level of RF power (see also FIG. 13). This power differential ensures that a higher etch rate from ion bombardment occurs along upper exposed surface portions relative to lower exposed surface portions (e.g., corners 210 a are rounded to a greater degree than the corners 206 a because the surface 210 is etched at a higher rate than is surface 206). Further, the exposed surface 202, at the bottom the opening, is subject to the least amount of ion bombardment, and is therefore the portion of the opening from which the minimum amount of material is removed or sputtered. Further, the amount of material removed from each of the corners 210 a and 206 a is greater than the amount of material removed from the surface 202 a. The rounding of the corners improves the step coverage of subsequently deposited barrier and conductive films and helps to reduce voiding in the bottom of the opening by allowing these films to be deposited more uniformly within the opening.
  • In the prior art, the coil power and the wafer pedestal power (wafer power) were typically set to equal levels such as 200 watts (see FIG. 13 for an example). Such equal power levels were used since removal or sputtering of exposed aluminum in an aluminum interconnect is not adverse to integrated circuit yield and reliability. However, copper that is back-sputtered and removed from [0062] surface 202 a, in FIG. 9, and then re-deposited over dielectric layers 210 and 206 can adversely affect yield, unlike prior art aluminum. Any deposited copper on layers 210 and 206 will readily diffuse through layers 210 and 206, potentially causing device contamination and yield loss. In addition, copper contaminants cannot readily be removed by chemical processing or etching, as was the case with aluminum. Therefore, it is advantageous to device reliability that the process of FIG. 9 reduce the removal rate of copper from the surface 202 a, of interconnect 202.
  • In summary, the process of FIG. 9, performed in the [0063] chamber 10 of FIG. 2, forms rounded corners 210 a and 206 a that improve step coverage and reduce subsequent voiding of copper interconnects while simultaneously reducing the possibility of producing yield-reducing copper contamination by reducing rates of sputtering of copper off the surface 202 a.
  • After performing the preclean processing described using FIG. 9, the [0064] wafer 22 is moved from the chamber 10, in FIG. 1, to the barrier deposition chamber 40, in FIG. 1. Note that the chamber 40, of FIG. 1, is also illustrated in greater detail in FIG. 3. FIG. 10 illustrates that a barrier layer 220 is deposited overlying the surface of the wafer previously illustrated in FIG. 9 using the chamber 40, illustrated in FIGS. 1 and 3. Typically, the layer 220 is formed between approximately 200 angstroms in thickness and 750 angstroms in thickness and is preferably a tantalum (Ta) layer. In a preferred form, portions of the layer 210 are incrementally deposited as less tensile layers while other portions of the layer 210 are deposited as more tensile layers to engineer the stress of the composite layer 220. In other words, at least one higher tensile portion of layer 220 is formed and at least one lower tensile portion of layer 220 is formed in FIG. 10 to form a complete Ta barrier layer. Such formation of the barrier layer 220 reduces stress-related reliability concerns in IC manufacturing, and generally improves IC yield. A specific manner which may be used to form the composite higher-tensile and lower-tensile layer 220 is more specifically set forth with following references to FIGS. 12 and 14.
  • After formation of the [0065] barrier layer 220 in chamber 40, of FIG. 1, the wafer 22 is moved from chamber 40 to chamber 70. Note that the chamber 70 is illustrated in further detail in FIG. 4, herein. In FIG. 4, the improved clamp shown in FIGS. 5 and 6 and discussed above is used to form an improved copper seed layer 222 over the barrier layer 220 in FIG. 10. Generally, the layer 222 is formed as a copper layer and generally is formed between 100 angstroms and 2000 angstroms in thickness. Sometimes, especially with near vertical via sidewalls, the thickness of the seed layer is greater on planar surfaces that on sidewall surfaces. However, the rounded corners 210 a and 206 a, illustrated previously in FIG. 9, can improve upon such step coverage. In addition, the use of the improved clamp of FIGS. 5 and 6 in the process of FIG. 10 greatly improves yield, especially at the periphery of the wafer, over the hardware and processes used in the prior art.
  • Furthermore, it was found that sputtering the seed layer and/or the barrier layer from both the chamber coil and the chamber target greatly improved uniformity. In addition, a copper seed layer coil having a grain size of less than 50 microns was found to improve the quality of the copper seed layer as well as potentially providing benefits with respect to electromigration and reliability. Generally, in the prior art, it was believed that the grain size of the coil had little affect on the quality of the copper seed layer. [0066]
  • In addition, uniformity of the copper seed layer is further controlled and improved by intentionally sputtering material from both the coil and the target. This is not the same as residual sputtering unintentionally occurring from the coil. In the art, it is undesirable for material to be sputtered from the coil since the art saw no advantage in such sputtering and such sputtering only limited the lifetime of the coil. However, in any sputtering system, there will always be some infinitesimal and inconsequential sputtering of material from chamber components. The process taught herein teaches a larger scale and more deliberate sputter rate from the coil, whereby a substantial portion of the deposited layer is material removed from the coil. For example, embodiments of the present invention provide that at least a minimum of 5% of the overall material in the copper seed layer originate from the coil,whereas typically, in the prior art, no more than 1-2% of the material in the copper seed layer originates from the coil. Additionally, the coil and the target may either be formed of copper, copper alloys, or dissimilar materials in order to form a composite layer on the wafer. In summary, intentionally powering and sputtering from the coil provides an unexpected benefit of providing additional control of the uniformity of the deposited layer. [0067]
  • FIG. 11 further illustrates the dual inlaid opening of FIG. 10 after depositing a copper fill material and forming a dual inlaid interconnect structure. In FIG. 11, an electroless, electroplating, or CVD process has been used to deposit a [0068] copper film 224 over the seed layer 222 and within the dual inlaid opening. Therefore, a copper layer 224, which substantially fills the opening and has reduced or no voiding, is formed over the seed layer 222. Typically, the thickness of the copper layer 224 is between 5000 angstroms and 1.2 microns. After formation of the layer 224, a chemical mechanical polishing (CMP) process is performed to remove portions of the copper layer 224, the seed layer 222, and the barrier 220 not contained within the dual inlaid opening. This polishing process creates the dual inlaid interconnect structure, as shown in FIG. 10.
  • FIG. 12 illustrates, in a flow chart, an integrated process from the point a wafer is placed into [0069] system 1, of FIG. 1, to the point where the wafer is removed from system 1, of FIG. 1. In a first step 400, the wafer 22 is placed into the load lock 7, of FIG. 1. In a step 402, the wafer is transferred from the load lock 7 to the degas chamber 5, via the buffer chamber 3. In chamber 5, the wafer is heated to remove organics and moisture from the surface of the wafer to prepare it for subsequent processing in other chambers. In addition, chamber 5 spatially aligns the wafer to a wafer notch or wafer flat.
  • In [0070] step 406, the wafer is transferred from chamber 5 to chamber 10, via the buffer chamber 3. Chamber 10 is used to RF preclean the wafer 22. The specific preclean conditions of step 408, are compared to the prior art preclean conditions in FIG. 13. The process and its effects are further illustrated in the cross-sectional diagram of FIG. 9. In FIG. 13, the prior art preclean process would power the coil and the wafer to roughly the same power level. These power setting were done for throughput reasons. When the coil and wafer power were both set to a high power (e.g., 200 watts) the preclean process would removal material from all surfaces of the wafer at a high rate. Therefore, the high power process was desired for throughput reasons, whereby a significant amount of underlying exposed aluminum, within the opening, was also inadvertently sputtered onto the surfaces of the wafer. However, the prior art process was not concerned with aluminum sputtering since sputtered aluminum could be removed and aluminum was not a contamination concern.
  • However, [0071] step 408, of FIG. 12, is a preclean process in the presence of exposed copper on the surface 202 a, shown in FIG. 9. In accordance with one embodiment of the present invention, the coil power for this process is increased to 300 watts or greater while the wafer power is decreased to 100 watts or less to create a power gradient. These powers are conservative numbers, and it is simply necessary to maintain roughly a 2:1 power ratio from the coil power to the wafer power. This power gradient ensures that sputtering or removal of material from the exposed top surfaces of layer 210 is greater than the removal or sputtering of material from the exposed surfaces of layer 206, which in turn are both greater than the amount of material sputtered from the exposed copper surface 202 a, at the bottom of the opening, in FIG. 9. Therefore, the advantageous rounding of corners 210 a and 206 a in FIG. 9 will still occur (with corner 210 a being slightly more rounded than corner 206 a) where such rounding will improve step coverage and reduce voiding. In addition to providing the advantageous rounded profile, the power gradient minimizes copper removal from surface 202 a, of FIG. 9, whereby contamination, which was never a concern in prior art aluminum processing, is minimized for copper interconnects.
  • Returning from FIG. 13 to FIG. 12, a [0072] step 410 follows step 408. Step 410 transfers the wafer 22 from the preclean chamber 10, of FIGS. 1-2, to the chamber 40, of FIG. 1 and FIG. 3. In a step 412, the chamber 40, of FIG. 3, deposits the barrier layer 220, shown in FIG. 10. The barrier film deposition is performed in accordance with the process sequence illustrated and described in FIG. 14.
  • In FIG. 14, after the wafer is placed within the [0073] chamber 40 and the chamber is allowed to stabilize, a power of 1000 watts (target bias) is applied to the target 48, of FIG. 3. Power is applied continuously during the deposition of the barrier layer 220, of FIG. 10. Although the target power is shown as specifically having an applied power of 1000 watts, any other power setting can be used depending upon the desired processing results and the type of deposition equipment used. During an initial period of time during the barrier deposition process, the power applied to the target bias is 1000 watts and the power applied to the wafer 22 (wafer bias), via pedestal power supply 58 of FIG. 3, is set to a low value or zero watts. After the initial period of time, the wafer bias is changed from approximately zero watts to 450 watts to back-sputter barrier material from the bottom of the interconnect opening onto the sidewalls of the opening and improve the overall coverage of the barrier film within the opening. The shape of the waveform applied to the wafer bias can be different than that illustrated in FIG. 14. Furthermore it can ramp to other power levels, other than 450 watts, depending on the desired process results and the type of equipment used. Some systems may not bias the wafer at all during the barrier deposition process.
  • FIG. 14 illustrates that, in conjunction with the preferred target bias power waveform and the wafer bias power waveform, one of three possible [0074] coil power waveforms 600, 602, and 604 may be used. The first coil power waveform 600 illustrates that the coil 52, of FIG. 3, is powered on at approximately the same time as the target 48. Therefore, waveform 600 indicates that the coil is powered to approximately 1500 watts at approximately the same time that the target 48 is initially powered to approximately 1000 watts. Although approximately 1500 watts is indicated by waveform 600, other power levels may be used, if necessary, to accommodate various processes and equipment. After a specified period of time has passed, as illustrated by waveform 600 in FIG. 14, coil power is removed or reduced before terminating the barrier deposition process. In other words, an initial portion of the barrier film 220, in FIG. 10, is deposited during a high coil power processing sequence and another portion of the film 220 is deposited during a low or zero coil power processing sequence. Those portions of the tantalum barrier formed during the initial time period while the coil is being powered have different stress properties as compared to those portions of the tantalum barrier formed when relatively lower amounts of power are applied to the coil. During the time the coil is powered, a less tensile tantalum barrier film is deposited. During the time coil power is removed or reduced, a more tensile tantalum barrier film is deposited. Therefore by selectively controlling the power to the coil during deposition of the barrier, the stress of the barrier can be engineered to accommodate the respective stresses of overlying and underlying layers, whereby adhesion and overall IC yield is improved.
  • The difference in the stress characteristics of the different barrier portions discussed above is believed to result from different rates of Argon (or like inert gases) incorporation into the deposited barrier portions occurring as a result of the increased power applied to the coil. Specifically, when the coil is powered, the Argon in the chamber is ionized to a greater extent and may be deposited in larger quantities within the barrier film. When the coil is not powered, less Argon is ionized in the chamber [0075] 40 (see FIG. 3), whereby less Argon is incorporated into the barrier film. This difference/gradient of Argon through the depth of the film will therefore be proportional to the coil duty cycle curve (one of curves 600, 602, or 604 in FIG. 14). It is believed that these gradients of Argon in the final barrier film contribute to the improvement in the stress characteristics of the barrier layer 220, of FIG. 10.
  • FIG. 14 illustrates another possible [0076] coil power waveform 602 whereby the coil 52, of FIG. 3, is initially turned off while the target power is enabled. After an initial barrier deposition period where no coil power is applied, the coil power is enabled, as shown for curve 602, of FIG. 14. Therefore, waveform 602 is basically the inverse of waveform 600, whereby the waveform 602 produces a barrier film having an inverted stress profile as compared to the film formed via curve 600. When using the waveform 602 processing sequence, a more tensile tantalum film is initially deposited during the first phase of the deposition process and a less tensile tantalum film is deposited during the second phase of the deposition process.
  • FIG. 14 illustrates yet a third [0077] possible waveform 604 which may be used to form the barrier layer 220, of FIG. 10. Waveform 604 shows that pulsed power (either periodic or nonperiodic) is applied to the coil 52. When using a pulsed coil power waveform as in FIG. 14, alternating layers or portions of less tensile and more tensile tantalum can be incrementally deposited on the wafer 22 to form the barrier layer 220, of FIG. 10. Therefore, it has been determined that selectively powering on and off the coil at least once during the deposition process can be used to tune the stress of the barrier layer to accommodate a plurality of differing constraints or conditions. In addition, although FIG. 14 shows primarily step coverage wave forms, the waveforms that can be used on the coil, target, and/or wafer need not be step function curves over time. For example, it is possible to use triangle-shaped (sawtooth) waveforms, sinusoidal waveforms, logarithmic power curves, exponential power curves, combination thereof, or any other types of analog, continuous, or quantized wave forms to produce different types of stress characteristics of the tantalum (or refractory metal based) barrier layer 220 of FIG. 10. Alternatively, this processing methodology can be used with a variety of other conductive films, such metals, refractive metals, and refractive metal nitrides which may be prone to stress related problems. In addition, while less tensile and more tensile are used to described the relative stress of materials throughout this specification, those skilled in the art will appreciate that the terms less tensile and more compressive can be used interchangeably.
  • Returning to FIG. 12, once the [0078] step 412 is completed as illustrated in FIG. 14 and discussed above, the wafer 22 is transferred in a step 414 from chamber 40 to chamber 70. Chamber 70 is illustrated in FIG. 1, and it also illustrated in greater detail in FIG. 4. After transferring the wafer 22 into chamber 70 via step 414, a step 416 is used to deposit the copper seed layer 222 of FIG. 10 over the wafer 22. This deposition process utilizes the improved clamp 85 discussed with respect to FIGS. 4-6. Therefore, the copper seed layer is deposited, and the semiconductor device potentially experiences improved yield due to a reduction in peeling of subsequent copper layers near the periphery of the wafer during CMP and/or copper plating operations.
  • In a [0079] step 418, after deposition of the copper seed layer 416, the wafer 22 is transferred from chamber 70, through chamber 2, to chamber 3, then back to the load lock 7 in FIG. 1. At this point, the load lock 7 is stabilized to atmospheric conditions and the wafer 22 is removed from the system 1. The wafer is then transferred to a copper electroplating, electroless plating, or CVD chamber (not shown herein) whereby the copper interconnect metallurgy is deposited. After such metallurgical processing is complete, a chemical mechanical polishing (CMP) process is used to form the inlaid or dual inlaid interconnect structure, as shown in FIG. 11.
  • Although the present invention has been described with reference to a specific embodiment, further modifications and improvements will occur to those skilled in the art. It is to be understood therefore, that the invention encompasses all such modifications that do not depart from the spirit and scope of the invention as defined in the appended claims. [0080]

Claims (44)

What is claimed is:
1. A method for forming a barrier layer on a wafer, the method comprising:
placing the wafer in a processing chamber;
powering a sputtering target for a first time period;
powering a coil for a second time period, wherein the second time period is different from the first time period; and
controlling power to both the sputtering target and to the coil during a deposition of the barrier layer.
2. The method of claim 1, wherein powering the sputtering target occurs before powering the coil and a first portion of the barrier layer formed during a time between powering the sputtering target and powering the coil is less tensile than a second portion of the barrier layer formed during a time after powering the sputtering target and powering the coil.
3. The method of claim 1, wherein powering the sputtering target and powering the coil initially occurs at approximately a same time, and wherein a power applied to the coil is reduced prior to reducing a power applied to the sputtering target, and wherein a first portion of the barrier layer formed before reducing power to the coil is more tensile than a second portion of the barrier layer formed after reducing power to the coil.
4. The method of claim 1, further comprising powering the wafer during the deposition of the barrier layer.
5. The method of claim 1, further comprising alternately applying power and reducing power to the coil during the deposition of the barrier layer.
6. The method of claim 1, wherein a first amount of an inert sputtering gas incorporated into the barrier layer before powering the coil and a second amount of inert sputtering gas incorporated into the barrier layer after powering the coil are different.
7. The method of claim 6, wherein the inert sputtering gas includes argon.
8. The method of claim 1, wherein material is sputtered from both the coil and the sputtering target to form the barrier layer.
9. A method for forming a tantalum barrier layer on a wafer, the method comprising:
forming a first portion of the tantalum barrier layer having a first tensile stress;
forming a second portion of the tantalum barrier layer having a second tensile stress, wherein the second tensile stress is different from the first tensile stress; and
forming a conductive material over the tantalum barrier layer wherein the conductive material comprises mostly copper.
10. The method of claim 9, wherein a first concentration of an inert sputtering gas incorporated into the first portion is less than a second concentration of the inert sputtering gas incorporated into the second portion.
11. A method for forming a barrier layer on at least one wafer, the method comprising:
coating surfaces of a processing chamber with a refractory metal nitride film;
forming a barrier layer on the at least one wafer, wherein the barrier layer consists of a refractory metal; and
after a time period, recoating the surfaces of the processing chamber with another refractory metal nitride film.
12. The method of claim 11, wherein the refractory metal nitride film includes tantalum nitride and the refractory metal includes tantalum.
13. The method of claim 11, wherein the refractory metal nitride film is formed by sputtering tantalum in a nitrogen-containing ambient.
14. The method of claim 13, wherein sputtering tantalum in a nitrogen-containing ambient is further characterized as a reactive sputtering deposition process.
15. The method of claim 11, wherein the refractory metal nitride film is formed by sputtering from a refractory metal nitride target, and the refractory metal is sputtered from a refractory metal target.
16. A method for forming a layer on a wafer, the method comprising:
placing the wafer into a chamber, the chamber having a target and a coil; and
removing a first material from the target and a second material from the coil and depositing the first material and the second material on the wafer.
17. The method of claim 16, wherein a grain size of the second material is less than approximately 50 microns.
18. The method of claim 17, wherein the first material and the second material are further characterized as a copper-containing materials.
19. The method of claim 16 wherein the first material and the second material are selected from a group consisting of copper and a copper alloy.
20. The method of claim 16, wherein a removal rate of the second material from the coil is controlled to effect a uniformity of a composite layer formed by depositing the first material and the second material on the wafer.
21. The method of claim 16, wherein the layer is a copper seed layer, and wherein the copper seed layer is formed within a dual inlaid interconnect opening.
22. A method for forming a layer on a wafer, the method comprising:
forming an opening in a dielectric layer, wherein the opening exposes an underlying interconnect, and wherein the opening has corner portions formed in regions where sidewall portions of the opening intersect surfaces of the dielectric layer that are substantially perpendicular to the sidewall portions; and
etching the opening, wherein a first power applied to a coil is at least two times greater than a second power applied to a wafer pedestal, and wherein etching the opening rounds the corner portions.
23. The method of claim 22, wherein the first power applied to the coil is approximately three times greater than the second power applied to the wafer pedestal.
24. The method of claim 22, wherein the first power applied to the coil is approximately five times greater than the second power applied to the wafer pedestal.
25. The method of claim 22, further comprising:
forming a barrier layer in the opening after etching the opening; and
forming a copper-containing layer overlying the barrier layer.
26. The method of claim 22, wherein the opening is a dual inlaid interconnect opening.
27. A method for forming a layer on a wafer, the method comprising:
placing the wafer having an exposed barrier region into a chamber; and
securing the wafer to an underlying support member wherein securing the wafer includes using a clamp, the clamp having a contact portion that contacts the wafer and a shadow portion positioned above the wafer and adjacent the contact portion, the shadow portion being positioned at a distance less than approximately 8 mils from a surface of the wafer.
28. The method of claim 27, wherein the shadow portion is positioned less than approximately 5 mils from the surface of the wafer.
29. The method of claim 27, wherein the shadow portion is positioned less than approximately 3 mils from the surface of the wafer.
30. The method of claim 27, wherein an overhang of the shadow portion is at least 2.5 time greater than a distance of the shadow portion above the surface of the wafer.
31. The method of claim 27, wherein an overhang of the shadow portion is at least 4.0 times greater than a distance of the shadow portion above the surface of the wafer.
32. The method of claim 27, wherein the clamp prevents formation of the layer over an alphanumeric identification region of the wafer.
33. A method for forming a layer on a wafer, the method comprising the steps of:
placing the wafer onto a pedestal within a chamber, wherein the chamber includes an isolation ring positioned around a periphery of the pedestal, and wherein the pedestal is biased to a first bias power; and
biasing a second region of the chamber to a second bias power, wherein the isolation ring electrically decouples the first bias power from the second bias power, and wherein portions of the isolation ring exposed to a chamber environment during forming the layer are coated with a conductive material prior to forming the layer on the wafer.
34. The method of claim 33, wherein the conductive material is aluminum.
35. The method of claim 34, wherein the aluminum is flame sprayed onto the isolation ring.
36. The method of claim 33, wherein the isolation ring includes a ceramic material.
37. The method of claim 33, wherein the second bias power is a ground potential.
38. A method for forming a copper interconnect, the method comprising the steps of:
placing a wafer into a first processing chamber, the wafer containing a dual inlaid interconnect opening having corners;
performing a preclean operation on the wafer, wherein a power applied to a coil of the first processing chamber is at least two times a power applied to the wafer, and wherein the corners of the dual inlaid interconnect opening are rounded;
transferring the wafer from the first processing chamber to a second processing chamber;
depositing a barrier layer over the dual inlaid interconnect opening, whereby a first portion of the barrier layer is formed having a first tensile stress and a second portion of the barrier layer is formed having a second tensile stress, wherein the first tensile stress is different from the second tensile stress;
transferring the wafer from the second processing chamber to a third processing chamber; and
depositing a copper seed layer onto the barrier layer, wherein during depositing the copper seed layer, the wafer is clamped within the third processing chamber via a clamp, the clamp having a shadow portion with a height that is less than 8 mils above a top surface of the wafer.
39. The method of claim 38 wherein the clamp covers an alphanumeric identification region of the wafer, wherein no copper seed layer is formed over the alphanumeric identification region.
40. The method of claim 38, wherein the first portion and the second portion of the barrier layer are formed by altering a power provided to a coil within the second processing chamber over time.
41. The method of claim 38, wherein the copper seed layer is formed in the third processing chamber by sputtering material from both a coil within the third processing chamber and a target within the third processing chamber.
42. The method of claim 41, wherein the coil within the third processing chamber comprises copper having a grain size of less than 50 microns.
43. The method of claim 38, wherein the second processing chamber contains a dielectric isolation ring that has been at least partially coated with an adhesion layer which improves adhesion of the barrier layer to the dielectric isolation ring.
44. The method of claim 38 wherein, prior to the step of depositing the barrier layer, the second processing chamber is coated at least partially with a refractory metal nitride layer.
US10/081,796 1999-03-02 2002-02-22 Method for forming a barrier layer for use in a copper interconnect Abandoned US20020092763A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/081,796 US20020092763A1 (en) 1999-03-02 2002-02-22 Method for forming a barrier layer for use in a copper interconnect

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/261,879 US6451181B1 (en) 1999-03-02 1999-03-02 Method of forming a semiconductor device barrier layer
US10/081,796 US20020092763A1 (en) 1999-03-02 2002-02-22 Method for forming a barrier layer for use in a copper interconnect

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/261,879 Division US6451181B1 (en) 1999-03-02 1999-03-02 Method of forming a semiconductor device barrier layer

Publications (1)

Publication Number Publication Date
US20020092763A1 true US20020092763A1 (en) 2002-07-18

Family

ID=22995276

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/261,879 Expired - Lifetime US6451181B1 (en) 1999-03-02 1999-03-02 Method of forming a semiconductor device barrier layer
US10/081,796 Abandoned US20020092763A1 (en) 1999-03-02 2002-02-22 Method for forming a barrier layer for use in a copper interconnect

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/261,879 Expired - Lifetime US6451181B1 (en) 1999-03-02 1999-03-02 Method of forming a semiconductor device barrier layer

Country Status (8)

Country Link
US (2) US6451181B1 (en)
EP (1) EP1033745B1 (en)
JP (1) JP4909454B2 (en)
KR (1) KR100761226B1 (en)
CN (1) CN1169199C (en)
AT (1) ATE342580T1 (en)
DE (1) DE60031191T2 (en)
TW (1) TW465016B (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6828235B2 (en) * 2000-03-29 2004-12-07 Hitachi Kokusai Electric Inc. Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
US20050264791A1 (en) * 2001-10-19 2005-12-01 Asml Holding N.V. Wafer handling method for use in lithography patterning
US7045454B1 (en) * 1999-05-11 2006-05-16 Micron Technology, Inc. Chemical mechanical planarization of conductive material
US20060213769A1 (en) * 2005-03-22 2006-09-28 Eal Lee Coils utilized in vapor deposition applications and methods of production
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20070007653A1 (en) * 2005-07-07 2007-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US20090020766A1 (en) * 2006-03-22 2009-01-22 Mitsubishi Electric Corporation Power semiconductor device
US20090079076A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US20090081418A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20090079075A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20100295182A1 (en) * 2008-02-15 2010-11-25 Panasonic Corporation Semiconductor device and method for manufacturing the same
US20120322000A1 (en) * 2010-03-02 2012-12-20 Asahi Glass Company, Limited Reflective mask blank for euv lithography and process for producing the same
US20140103027A1 (en) * 2012-10-17 2014-04-17 Applied Materials, Inc. Heated substrate support ring
US20140377948A1 (en) * 2006-12-19 2014-12-25 Spansion Llc Method of depositing copper using physical vapor deposition
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
JP4419237B2 (en) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 Film forming apparatus and processing method for object to be processed
JP4856308B2 (en) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 Substrate processing apparatus and via chamber
JP2002203885A (en) * 2000-12-27 2002-07-19 Anelva Corp Inter-back type apparatus for processing substrate
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
KR100413481B1 (en) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 Cu film deposition equipment of semiconductor device
GB2393189B (en) 2001-07-19 2005-06-15 Trikon Holdings Ltd Depositing a tantalum film
US6620727B2 (en) 2001-08-23 2003-09-16 Texas Instruments Incorporated Aluminum hardmask for dielectric etch
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
KR100440261B1 (en) * 2001-12-22 2004-07-15 주식회사 하이닉스반도체 Method of manufacturing a metal line in semiconductor device
US6989579B2 (en) * 2001-12-26 2006-01-24 Lucent Technologies Inc. Adhering layers to metals with dielectric adhesive layers
JP2003218201A (en) * 2002-01-24 2003-07-31 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
US6664166B1 (en) * 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6926390B2 (en) 2003-02-05 2005-08-09 Hewlett-Packard Development Company, L.P. Method of forming mixed-phase compressive tantalum thin films using nitrogen residual gas, thin films and fluid ejection devices including same
US6893116B2 (en) 2003-04-29 2005-05-17 Hewlett-Packard Development Company, L.P. Fluid ejection device with compressive alpha-tantalum layer
US6955835B2 (en) * 2003-04-30 2005-10-18 Hewlett-Packard Development Company, L.P. Method for forming compressive alpha-tantalum on substrates and devices including the same
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
DE102004015865B4 (en) * 2004-03-31 2006-05-04 Advanced Micro Devices, Inc., Sunnyvale Method for cleaning the surface of a substrate
CN100345276C (en) * 2004-05-19 2007-10-24 上海宏力半导体制造有限公司 Method for reducing leakage current between copper double inlaying processing lines
US7091088B1 (en) * 2004-06-03 2006-08-15 Spansion Llc UV-blocking etch stop layer for reducing UV-induced charging of charge storage layer in memory devices in BEOL processing
US20060014378A1 (en) * 2004-07-14 2006-01-19 Sanjeev Aggarwal System and method to form improved seed layer
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7723012B2 (en) * 2007-06-28 2010-05-25 Eastman Kodak Company Radiation-sensitive compositions and elements with solvent resistant poly(vinyl acetal)s
WO2015146516A1 (en) * 2014-03-27 2015-10-01 Jx日鉱日石金属株式会社 Tantalum sputtering target and production method therefor
CN105990227B (en) * 2015-02-27 2019-11-08 中芯国际集成电路制造(上海)有限公司 The production method and semiconductor devices of metal connecting line
CN106158732B (en) * 2015-04-16 2019-02-12 中芯国际集成电路制造(上海)有限公司 The metallization process of metal interconnecting layer
CN111421426A (en) * 2020-04-20 2020-07-17 台州市椒江南屯电子有限公司 Metal conductor electroplating device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139699A (en) * 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5832229B2 (en) 1978-09-22 1983-07-12 日本真空技術株式会社 Vacuum containers and vacuum equipment parts coated with metal nitride
JPS59208071A (en) 1983-05-13 1984-11-26 Hitachi Ltd Method and device for forming film
JPS63303064A (en) 1987-05-30 1988-12-09 Matsushita Electric Ind Co Ltd Sputtering device
JP2602276B2 (en) * 1987-06-30 1997-04-23 株式会社日立製作所 Sputtering method and apparatus
US5175608A (en) 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
JPH06196437A (en) * 1992-12-25 1994-07-15 Sumitomo Metal Ind Ltd Titanium or titanium compound thin film forming device
US5707498A (en) * 1996-07-12 1998-01-13 Applied Materials, Inc. Avoiding contamination from induction coil in ionized sputtering
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
JP3624628B2 (en) 1997-05-20 2005-03-02 東京エレクトロン株式会社 Film forming method and film forming apparatus
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139699A (en) * 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045454B1 (en) * 1999-05-11 2006-05-16 Micron Technology, Inc. Chemical mechanical planarization of conductive material
US6828235B2 (en) * 2000-03-29 2004-12-07 Hitachi Kokusai Electric Inc. Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
US7298459B2 (en) * 2001-10-19 2007-11-20 Asml Holding N.V. Wafer handling method for use in lithography patterning
US20050264791A1 (en) * 2001-10-19 2005-12-01 Asml Holding N.V. Wafer handling method for use in lithography patterning
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060213769A1 (en) * 2005-03-22 2006-09-28 Eal Lee Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20070007653A1 (en) * 2005-07-07 2007-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
US7348672B2 (en) 2005-07-07 2008-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
US20090020766A1 (en) * 2006-03-22 2009-01-22 Mitsubishi Electric Corporation Power semiconductor device
US8093598B2 (en) 2006-03-22 2012-01-10 Mitsubishi Electric Corporation Power semiconductor device
KR101025438B1 (en) * 2006-03-22 2011-03-28 미쓰비시덴키 가부시키가이샤 Power semiconductor device
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US20140377948A1 (en) * 2006-12-19 2014-12-25 Spansion Llc Method of depositing copper using physical vapor deposition
US9728414B2 (en) * 2006-12-19 2017-08-08 Cypress Semiconductor Corporation Method of depositing copper using physical vapor deposition
US20090081418A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20100283157A1 (en) * 2007-09-20 2010-11-11 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US7944055B2 (en) 2007-09-20 2011-05-17 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20100207276A1 (en) * 2007-09-20 2010-08-19 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US20090079075A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8450854B2 (en) 2007-09-20 2013-05-28 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US9484248B2 (en) 2007-09-20 2016-11-01 Globalfoundries Inc. Patternable dielectric film structure with improved lithography and method of fabricating same
US20090079076A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US20100295182A1 (en) * 2008-02-15 2010-11-25 Panasonic Corporation Semiconductor device and method for manufacturing the same
US8956787B2 (en) * 2010-03-02 2015-02-17 Asahi Glass Company, Limited Reflective mask blank for EUV lithography and process for producing the same
US20120322000A1 (en) * 2010-03-02 2012-12-20 Asahi Glass Company, Limited Reflective mask blank for euv lithography and process for producing the same
US20140103027A1 (en) * 2012-10-17 2014-04-17 Applied Materials, Inc. Heated substrate support ring
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making

Also Published As

Publication number Publication date
ATE342580T1 (en) 2006-11-15
CN1169199C (en) 2004-09-29
JP2000323436A (en) 2000-11-24
EP1033745A2 (en) 2000-09-06
DE60031191D1 (en) 2006-11-23
CN1266279A (en) 2000-09-13
EP1033745B1 (en) 2006-10-11
TW465016B (en) 2001-11-21
KR100761226B1 (en) 2007-09-28
KR20000062671A (en) 2000-10-25
US6451181B1 (en) 2002-09-17
DE60031191T2 (en) 2007-08-23
EP1033745A3 (en) 2001-12-19
JP4909454B2 (en) 2012-04-04

Similar Documents

Publication Publication Date Title
US6451181B1 (en) Method of forming a semiconductor device barrier layer
US9991157B2 (en) Method for depositing a diffusion barrier layer and a metal conductive layer
US7186648B1 (en) Barrier first method for single damascene trench applications
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
JP4901004B2 (en) Method for removing copper oxide on a substrate surface
US6217721B1 (en) Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US6596133B1 (en) Method and system for physically-assisted chemical-vapor deposition
KR20010051101A (en) Pvd-imp tungsten and tungsten nitride as a liner, barrier, and/or seed layer for tungsten, aluminum and copper applications
US5227337A (en) Interconnection forming method
US6908865B2 (en) Method and apparatus for cleaning substrates
US20020093101A1 (en) Method of metallization using a nickel-vanadium layer
KR20180117575A (en) Cu WIRING MANUFACTURING METHOD AND Cu WIRING MANUFACTURING SYSTEM
WO2009117494A2 (en) Methods for forming a titanium nitride layer
US6607640B2 (en) Temperature control of a substrate
EP1225621B1 (en) Method of etching
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure
WO2022240704A1 (en) Pulsing plasma treatment for film densification

Legal Events

Date Code Title Description
AS Assignment

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOTOROLA, INC;REEL/FRAME:015360/0718

Effective date: 20040404

Owner name: FREESCALE SEMICONDUCTOR, INC.,TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MOTOROLA, INC;REEL/FRAME:015360/0718

Effective date: 20040404

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION