US20020156998A1 - Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs - Google Patents

Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs Download PDF

Info

Publication number
US20020156998A1
US20020156998A1 US09/949,161 US94916101A US2002156998A1 US 20020156998 A1 US20020156998 A1 US 20020156998A1 US 94916101 A US94916101 A US 94916101A US 2002156998 A1 US2002156998 A1 US 2002156998A1
Authority
US
United States
Prior art keywords
fpga
array
fpgas
network
computer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/949,161
Inventor
Steven Casselman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Callahan Cellular LLC
Original Assignee
Virtual Computer Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/353,522 external-priority patent/US6289440B1/en
Application filed by Virtual Computer Corp filed Critical Virtual Computer Corp
Priority to US09/949,161 priority Critical patent/US20020156998A1/en
Assigned to VIRTUAL COMPUTER CORPORATION reassignment VIRTUAL COMPUTER CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CASSELMAN, STEVEN
Publication of US20020156998A1 publication Critical patent/US20020156998A1/en
Assigned to DRC COMPUTER CORPORATION reassignment DRC COMPUTER CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VIRTUAL COMPUTER CORPORATION
Assigned to TAROFISS DATA LIMITED LIABILITY COMPANY reassignment TAROFISS DATA LIMITED LIABILITY COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DRC COMPUTER CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30181Instruction operation extension or modification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]

Definitions

  • the above-referenced co-pending parent application discloses a virtual computer consisting of a reconfigurable control section and a reconfigurable computation array.
  • the reconfigurable control section is a relatively small array of interconnected field programmable gate arrays (FPGAs), while the reconfigurable computation array is a relatively large array of interconnected FPGAs whose configurations are governed by the control section.
  • FPGAs field programmable gate arrays
  • the control section automatically configures itself to emulate a microprocessor suitable for rapidly re-configuring the computation array in response to each new instruction to be carried out or executed.
  • the control section compiles each new instruction (e.g., an individual instruction of a program, a group of instructions, an algorithm, a sub-routine or a program) by generating therefrom respective sets of configuration bits for respective ones of the FPGAs in the computation array, and then causing those computation array FPGAs to be reconfigured accordingly.
  • each new instruction e.g., an individual instruction of a program, a group of instructions, an algorithm, a sub-routine or a program
  • each new instruction e.g., an individual instruction of a program, a group of instructions, an algorithm, a sub-routine or a program
  • LANs Computer networks of the type usually referred to as “local area networks” or LANs are well-known in the art, one of the best known LANs being the EthernetTM LAN.
  • Such networks have many uses such as, for example, permitting instant communication among co-workers at respective terminals or nodes of the network.
  • Each terminal or node may be a personal computer or a work station.
  • Another use of an LAN is to emulate a supercomputer by joining many work stations over an LAN.
  • a fundamental problem with such a network is that the node or terminal (a personal computer, work station or the like) must act as a host and perform a number of required tasks, which necessarily consumes the resources of the host, or postpones such tasks while the host completes higher-priority tasks.
  • the required tasks can include performing the network protocol tasks, converting data on the network (typically serial error correction encoded compressed data blocks) into parallel 16-bit words for processing in the host, and vice-versa, decoding data packet headers, and so forth. Because of the demand on the host's limited processing resources, these tasks are necessarily performed at a limited speed, so that the rate at which data can be communicated over the LAN is limited. Moreover, from the point of view of the host's user, participation in the network requires some sacrifice of the host's resources to network-related tasks.
  • the invention is embodied in a virtual network consisting of many distributed virtual computers interconnected over a communication network of individual links, such as optical fibers or electrical conductors, for example.
  • Each distributed virtual computer has at least two ports connected over respective links to other respective distributed virtual computers on the network.
  • Each distributed virtual computer is connected to or resident within its own host, each host typically being a conventional computer such as a personal computer or a work station, for example, although at least one of the hosts may itself be another virtual computer.
  • Each distributed virtual computer has reconfigurable logic elements such as an FPGA or an array of FPGAs.
  • At power-up at least one of the FPGAs in at least one of the distributed virtual computers is automatically configured (e.g., from instructions stored in a non-volatile read-only memory or from instructions from a host) into a microprocessor-like device which then configures one or some “control” FPGAs or “control” portions of single FPGAs in the various distributed virtual computers to give them control or “compiling” capability over the remaining FPGA resources, which act as a computation FPGA array.
  • control or compiling capability means that the “control” FPGA (or the “control” portion of a single FPGA) so configured can react to instructions received from a host or from other nodes on the network to re-configure FPGA elements in the computation array to carry out a required task.
  • control FPGA in the distributed virtual computer can function in the manner of the control section of the virtual computer described in the above-referenced co-pending application to compile received instructions or algorithms into configuration bit files and reconfigure the computation array FPGA elements in accordance with the configuration bit files to optimally carry out each instruction or algorithm.
  • the host computer can assume some of the reconfiguring or compiling tasks.
  • a network of distributed virtual computers is referred to herein as a virtual network.
  • each host is connected to a node of a conventional LAN as well as being connected to a distributed virtual computer or node of the virtual network, so that there are two networks interconnecting the same set of host computers.
  • Each distributed virtual computer can be configured to perform all of the network node tasks for the virtual network, which are the same type of tasks discussed above concerning the conventional network or LAN, including decompression, decoding and so forth.
  • the virtual computer network does not consume the resources of the host computer for such tasks, a significant advantage over conventional networks.
  • Another advantage is that the FPGAs of the distributed virtual computers can be optimally configured to perform specific difficult tasks at extremely high speeds, such as translation of packet headers at gigabit rates, something a conventional computer is generally incapable of doing.
  • each distributed virtual computer can be reconfigured at any time for specific tasks, the virtual network can rapidly transition between various operating modes as needed. For example, in one mode at least some of the host computers of the network can be slaved to one or more of the distributed virtual computers to solve a large problem, so that the resources (e.g., memory and processing capability) of all hosts are employed in solving the problem. In other cases, the distributed virtual computers themselves can be reconfigured to perform certain computational (as contrasted with the required node tasks).
  • Each distributed virtual computer can be reconfigured in response to requests from either the host computer or from other nodes (distributed virtual computers) on the virtual network. Moreover, the compiling and reconfiguring of a given distributed virtual computer may be carried out either by its own FPGA(s) or by other distributed virtual computers in the virtual network or by a host.
  • FIG. 1 is a schematic block diagram of a virtual computer network consisting of distributed virtual computer nodes interconnected by optical fiber links.
  • FIG. 2 is a simplified schematic block diagram of a distributed virtual computer in the network of FIG. 1.
  • FIG. 3 is a schematic block diagram of a preferred embodiment of the distributed virtual computer of FIG. 2.
  • FIG. 4 is a block diagram of a method of operating the embodiment of FIG. 3.
  • FIG. 5 is a flow diagram corresponding to FIG. 4 illustrating the flow of control and data signals through the embodiment of FIG. 3.
  • FIG. 6 is a block diagram of an alternative method of operating the embodiment of FIG. 3.
  • FIG. 7 is a flow diagram corresponding to FIG. 6 illustrating the flow of control and data signals through the embodiment of FIG. 3.
  • FIG. 8 is a schematic block diagram of one implementation of the embodiment of FIG. 3.
  • FIG. 9 is a block schematic representation of a typical 8-bit buffer employed in the implementation of FIG. 8.
  • FIG. 10 is a block flow diagram illustrating the general method of the invention disclosed in the co-pending parent application.
  • FIG. 11 is a simplified block diagram of an elementary cell of an array of FPGA's and FPIN's in accordance with the invention.
  • FIG. 12 is a block diagram of a virtual computer embodying the invention, including an array of FPGAs and FPINs comprising many cells of the type illustrated in FIG. 11.
  • FIG. 13 is a block diagram illustrating pin connections between an FPGA chip and adjacent FPIN chip in the cell of FIG. 11;
  • FIG. 14 is a block diagram of a reconfigurable control section of the virtual computer of FIG. 12;
  • FIG. 15 is a block diagram of the VME interface section of the virtual computer of FIG. 12;
  • FIG. 16 is a block diagram of a virtual computing system, including a host computer temporarily connected to the system bus for initially programming the virtual computer;
  • FIG. 17 is a flow diagram of a process employing configuration software applicable to the FPGAs and the FPINs for configuring the virtual computer of FIG. 12;
  • FIGS. 18 a and 18 b are pin diagrams illustrating one implementation of the elementary cell of FIG. 11;
  • FIG. 19 is a pin diagram of the interconnection between the edge columns of FGPAs and the dual port RAMs in the virtual computer of FIG. 12;
  • FIG. 20 is a block diagram of an alternative embodiment of an array of FPGAs and FPINs
  • FIG. 21 is a circuit diagram of a general routing cell of the invention.
  • FIG. 22 is a circuit diagram of a CMOS version of the interconnect switch employed in the GRC cell of FIG. 21;
  • FIG. 23 is a block diagram illustrating horizontal and vertical interconnections in an array of GRC cells of the type corresponding to FIG. 21;
  • FIG. 24 illustrates the propagation of configuration data throughout the array of FIG. 23.
  • FIG. 1 illustrates a virtual computer network 10 in accordance with the invention.
  • the virtual computer network consists of plural distributed virtual computers 11 interconnected by communication links 15 .
  • each communication link 15 is a fiber optic link.
  • Each distributed virtual computer is resident in or connected to a corresponding host 12 .
  • Each host 12 can be a computer, such as a work station or a personal computer or the like, or another device such as a bus controller or a distributed input/output device or a peripheral device, such as a printer for example.
  • each host 12 is a computer.
  • the hosts 12 may be interconnected by a conventional local area network 13 including communication links 14 .
  • the local area network 13 is independent of the virtual network 10 .
  • FIG. 2 illustrates a preferred architecture of a typical distributed virtual computer 11 .
  • the distributed virtual computer 11 includes a reconfigurable computation array 20 of FPGA elements under the control of a reconfigurable control section 21 of FPGA elements.
  • the control section 21 has an input port 22 to receive communications from its host and an output port 24 to send communications to its host.
  • a configuration control output link 26 carries communications from the control section 21 to the computation array 20 while an optional configuration control reply link 28 can carry communications from the computation array 20 back to the control section 21 .
  • a reconfigurable computation array corresponding to the reconfigurable computation array 20 of FIG. 2 consists of an even larger number of interconnected FPGAs.
  • the computation array 20 of FIG. 2 consists of a number of interconnected FPGAs while the control section 21 of FIG. 2 consists of a smaller number of interconnected FPGAs.
  • the reconfigurable control section 21 has a single FPGA while the reconfigurable computation array 20 has a single FPGA, as will be described later in this specification.
  • each port 24 , 25 is connected via a separate link 15 to a different one of the other distributed virtual computers 11 in the virtual network 10 , as indicated in FIG. 1.
  • a simple use of the virtual network 10 is to simply communicate data between different host computers 12 without requiring any of the hosts to perform tasks related to network communication or protocol.
  • a slightly more sophisticated use of the virtual network 10 is for each distributed virtual computer to perform some pre-processing or screening of incoming data on behalf of the host computer 12 .
  • the computation array 20 can be configured so as to be ready to perform selected tasks on demand or can be reconfigured “on the fly” to perform tasks as the need arises.
  • different nodes or distributed virtual computers 11 in the network 10 can be dedicated to perform different computational or problem-solving tasks or processes without necessarily consuming the resources of the respective hosts 12 .
  • operand data data to be operated upon by certain ones of the different processes stored on the different nodes
  • Each node or distributed virtual computer 11 would be configured so as to be able to perform packet header translation.
  • the packet header can designate its destination as a particular node or type of node. For example, from its translation of the packet header, each node or distributed virtual computer 11 would determine whether the data in the packet is to be operated upon by a process which that particular node has been configured to perform. If not, the node 110 simply passes the received packet along to the next node in the network. If so, the node or distributed virtual computer 11 stores the packet as received from the network 10 and then operates upon it with the process which that node has been configured to perform or execute to produce result data. The distributed virtual computer can then form a new data packet from the result data with an appropriate packet header designating the nature of the result data (and any process which is to be performed thereon) and output the new data packet onto the virtual network 10 .
  • each node or distributed virtual computer 11 is versatile and reconfigurable, its configuration can be changed in response to requests or instructions received not only from the host 120 but also received over the virtual network 10 .
  • a packet of data received from the network 10 may contain not only operand data to be processed in accordance with a particular process with which the node 11 has been configured to perform, but may also contain instructions for then reconfiguring that same node so as to be able to perform another process.
  • the different processes stored in different nodes 110 can change dynamically as data packets flow through the network 10 .
  • a large algorithm can be executed by the network as a whole by dividing it into sub-algorithms requiring different nodes 11 to perform different processes on different operand data packets at different times as different packets are received at different nodes 11 .
  • the data packets can contain operand data and instructions for generating new packet headers for the resultant data as well as instructions for reconfiguring the node itself.
  • the instructions can be conditioned upon the immediate outcome of the process executed in the node. For example, the instructions for reconfiguring the node (or for forming a new packet header) can be in the alternative, with different alternatives being conditioned upon different values being obtained in the resultant data. With each operation of a process by a node 11 , a result data packet is produced with the new packet header.
  • each node 11 can perform a given process without using any other resources
  • the node 11 also uses certain resources of its host 12 in carrying out a particular process.
  • the node 11 may store certain intermediate or final computation results in the memory of its host 12 , or it may use the results of computations performed by the host 12 .
  • a node 11 may request through other nodes to use the resources of their hosts 12 , such as memory or processing capabilities. The user accomplishes this by creating the instructions communicated on the virtual network which the control section 21 can respond to appropriately (by causing the node 11 to communicate the appropriate requests to its host 12 or to other nodes 11 on the network 10 ). In this manner selected ones of the hosts 12 can be slaved to one or more of the nodes or distributed virtual computers 110 to carry out a large algorithm.
  • the host 12 can send instructions down to the control section 21 of its resident distributed virtual computer 11 to use the distributed virtual computer as a slave to perform certain computations or tasks which the host 12 assigns to it, so that the distributed virtual computer 11 or node can be slaved to its own host 12 .
  • a given host 12 can request through its resident distributed virtual computer 11 for other distributed virtual computers 110 in the virtual network 10 to be slaved as well.
  • the computation array 20 may also be configured to translate packet headers at gigabit rates, a feat which a typical personal computer or even a work station is not capable of accomplishing. This advantage follows from the low latency with which the distributed virtual computer 11 operates: the computation array 200 can be configured to carry out the entire packet translation task, so that the process need not wait for individual instructions to be fetched one-by-one in the manner of a conventional computer.
  • FIG. 3 illustrates a preferred embodiment in which the control section 21 and computation array 20 of FIG. 2 is a single FPGA 31 , 32 , respectively. While the following description of FIG. 3 contemplates the use of the FPGA 31 as the control section and the FPGA 32 as the computation array, these uses may be reversed. Moreover, the control section need not necessarily consume an entire FPGA and instead may constitute only a portion of one of the FPGAs 31 , 32 , the remaining portion of the one FPGA and the entirety of the other being available for use as the computation array.
  • the distributed virtual computer 11 is divided into a mother board 33 on which the mother FPGA 31 resides and a daughter board 34 on which the daughter FPGA 32 resides.
  • the mother board 33 supports a bi-directional 32-bit S-bus connector 35 providing connection between the mother FPGA 31 and the host computer 12 .
  • the daughter board 34 supports a daughter board connector 36 .
  • the mother FPGA 31 is connected through the daughter board connector 36 to the daughter FPGA 32 via a bi-directional 32-bit bus 37 .
  • a nine-bit output port 31 - 2 of the mother FPGA 31 is connected to a nine-bit input port 32 - 2 of the daughter FPGA 32 via the daughter board 36 and through an output first-in-first-out (FIFO) buffer 38 on the daughter board 34 .
  • FIFO first-in-first-out
  • a nine-bit output port 32 - 4 of the daughter FPGA 32 is connected via the daughter board connector 36 to a nine-bit input port 31 - 4 of the mother FPGA 31 through an input FIFO buffer 38 - 2 on the daughter board 34 .
  • An encoder/decoder 40 on the daughter board 34 decodes data received from the network 10 on its input port 40 - 2 and sends it on an eight-bit input bus 41 - 1 to eight input pins of the daughter FPGA 32 .
  • the encoder/decoder 40 also encodes data output by the FPGA 32 on eight output pins thereof to an eight-bit output bus 41 - 2 and produces the encoded data at its output port 40 - 4 .
  • the input port 40 - 2 of the encoder/decoder 40 is connected to the output of a conventional receiver/demodulator 42 whose input is connected to the port or fiber optic connector 24 .
  • the output port 40 - 4 of the encoder/decoder 40 is connected through a Q-switch 43 to the input of a transmitter 44 whose output is connected to the port or fiber optic connector 25 .
  • a bypass Q-switch 45 is connected between the output of the receiver 42 and the input of the transmitter 44 .
  • the daughter FPGA 32 controls the encoder/decoder 40 , the Q-switch 43 and the bypass Q-switch 45 via control lines 46 - 2 , 46 - 4 , 46 - 6 , respectively.
  • Communication of data onto the network is enabled via the control lines 46 - 2 , 46 - 4 by enabling the encoding function and closing the Q-switch 43 .
  • the Q-switch 45 is closed via the control line 46 - 6 .
  • the daughter FPGA 32 can continue to monitor the data packets passing through the bypass Q-switch 45 since the encoder/decoder input port 40 - 2 remains connected to the output of the receiver 42 .
  • the two Q-switches are operated in tandem, so that when one is closed the other is open. Clock recovery of the incoming data packets is facilitated by a programmable oscillator 48 connected to a clock input of the daughter FPGA 32 .
  • Additional memory or scratch pad memory capability is provided by a one megabyte S-RAM 49 having its input and output ports connected to the 32-bit bidirectional bus 37 .
  • the host computer 12 configures the mother FPGA 31 so that the mother FPGA 31 can compile user instructions or requests into configuration bit files by which the daughter FPGA 32 (and/or portions of the mother FPGA 31 ) can be reconfigured to enable execution or performance of those instructions or requests. Thereafter, the mother FPGA 31 can receive such instructions or requests from either the host computer 12 or from the network 10 (via the receiver 42 and the daughter FPGA 320 and cause the daughter FPGA 32 to be reconfigured and carry out those instructions or requests as they arise.
  • data packets received via the virtual network 10 can contain instructions which configure the daughter FPGA 32 as a control section which actively reconfigures the mother FPGA 31 in response to received instructions, so that the roles of the two FPGAs can be reversed.
  • the mother FPGA can output the results of its computations to either the host 12 or return them to the virtual network 10 .
  • the encoder/decoder 40 is of the conventional type required for local area network communications in which 8-bit byte parallel data is encoded for transmission as 10-bit bit-serial error correction encoded blocks. For decoding, the encoder/decoder performs the reverse of the encoding process.
  • the transmitter 44 converts the 10-bit bit-serial encoded data into an optical signal for transmission on the fiber optic links 15
  • the receiver 42 converts a received optical signal into an electrical 10-bit bit-serial data for decoding.
  • the links 15 are electrical rather than optical and the transmitter and receiver 44 , 42 each produce an electrical rather than an optical signal.
  • the virtual network 10 is a ring type of network in which each node has a pair of ports, one port for receiving data from an “up-stream” node and the other port for transmitting data to a “down-stream” node of the network.
  • each node has a pair of ports, one port for receiving data from an “up-stream” node and the other port for transmitting data to a “down-stream” node of the network.
  • other network architectures not in general use may be employed in which at least some of the ports may be bi-directional and in which each node can have more than two ports.
  • a third port could be added to the daughter board 34 in addition to the ports 24 , 25 .
  • FIG. 4 is a block diagram of a method of operating the embodiment of FIG. 3 and FIG. 5 is a corresponding flow diagram illustrating the flow of control and data signals through the embodiment of FIG. 3.
  • the mother FPGA 31 is initially configured, either from instructions stored in a non-volatile memory or from instructions from the host 12 , to become a machine which can compile a program or algorithm into configuration bit files with which to dynamically reconfigure the daughter FPGA 32 with each new program or algorithm to be executed.
  • FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4.
  • FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4.
  • the host 12 (and possibly other nodes 11 of the virtual network 10 ) send to the mother FPGA 31 programs, algorithms or instructions defining processes to be carried out on operand data.
  • the mother FPGA 31 compiles such programs, algorithms or instructions into configuration bit files and causes the daughter FPGA 32 to be reconfigured in accordance therewith.
  • operand data is sent to the daughter FPGA 32 from the host 12 (via the mother FPGA 31 ) and/or from other nodes of the network 10 (via the network links).
  • the daughter FPGA 32 then carries out the desired process on the operand data to produce resultant data which it then sends to either or both the host 12 (via the mother FPGA 31 ) or to the other nodes of the network.
  • the host 12 may be slaved to the process carried out in the daughter FPGA 32 in that some of the resultant data sent to the host 12 may be intermediate results stored in the host 12 as scratch pad memory and which is returned to the daughter FPGA 32 as operand data when the daughter FPGA 32 is ready.
  • the mother FPGA 31 may receive from the other nodes (via the daughter FPGA 32 and the network links) packet headers requiring translation. As discussed herein above, such packet headers may define the type of process to be carried out next on operand data contained in the concurrent data packet.
  • the mother FPGA 31 translates the packet headers (or configures the daughter FPGA to do so) and can either configure the daughter FPGA 32 to carry out the process specified in the translation of the packet header or cause the corresponding data packet to be passed along in the network to the next node already configured to carry out the desired process.
  • FIG. 6 is a block diagram of a method of operating the embodiment of FIG. 3 and FIG. 7 is a corresponding flow diagram illustrating the flow of control and data signals through the embodiment of FIG. 3.
  • the daughter FPGA 32 is initially configured, either from instructions stored in a non-volatile memory or from instructions from the host 12 , to become a machine which can compile a program or algorithm into configuration bit files with which to dynamically reconfigure the mother FPGA 31 with each new program or algorithm to be executed.
  • FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4.
  • FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4.
  • the other nodes 11 of the virtual network 10 (and/or possibly the host 12 ) send to the daughter FPGA 32 programs, algorithms or instructions defining processes to be carried out on operand data.
  • the daughter FPGA 32 compiles such programs, algorithms or instructions into configuration bit files and causes the mother FPGA 31 to be reconfigured in accordance therewith.
  • operand data is sent to the mother FPGA 31 from the host 12 and/or from other nodes of the network 10 (via the network links and the daughter FPGA 32 ).
  • the mother FPGA 31 then carries out the desired process on the operand data to produce resultant data which it then sends to either or both the host 12 (via the daughter FPGA 32 ) or to the other nodes of the network.
  • the host 12 may be slaved to the process carried out in the mother FPGA 31 in that some of the resultant data sent to the host 12 may be intermediate results stored in the host 12 as scratch pad memory and which is returned to the mother FPGA 31 as operand data when the mother FPGA 31 is ready.
  • What the daughter FPGA 32 receives from the other nodes via the network links may be packet headers requiring translation. As discussed herein above, such packet headers may define the type of process to be carried out next on operand data contained in the concurrent data packet.
  • the daughter FPGA 32 translates the packet headers (or configures the mother FPGA 31 to do so) and can either configure the mother FPGA 31 to carry out the process specified in the packet header or cause the corresponding data packet to be passed along in the network to the next node already configured to carry out the desired process.
  • FIG. 3 may be implemented in the manner illustrated, with the mother FPGA 31 being connected directly to the S-bus connector 35 , provided the mother FPGA 31 is a high speed FPGA such as Xilinx FPGA part no. XC4028EX sold by Xilinx, Inc. However, if a slower FPGA is employed instead (such as Xilinx FPGA part no. XC4013E sold by Xilinx, Inc.), then data and address flow between the S-bus connector 35 and the mother FPGA 31 must be buffered in the manner illustrated in FIG. 8. While any appropriate one of various buffering schemes may be employed, in the buffering scheme of FIG.
  • Data direction control through the bidirectional buffers U 4 -U 7 is effected through an 8-bit buffer U 3 through which eight bits are connected from the FPGA 31 to the connector 35 , seven of the 8 bits being connected also to a programmable array logic (PAL) device 84 whose outputs are connected to the ENABLE and DIRECTION control inputs of the bidirectional buffers U 4 -U 7 .
  • the ENABLE and DIRECTION control inputs of a typical 8-bit bidirectional buffer are illustrated in FIG. 9.
  • this buffer also buffers certain one-bit S-bus control signals, including ADDRESS STROBE, CLOCK, BUS GRANT, BUS REQUEST and BUS SELECT.
  • the CLOCK bit of U 8 is connected to the output of a programmable oscillator 86 .
  • the invention has been described in the above-referenced co-pending application with reference to embodiments in which executable operations or instructions of a user program are compiled into configuration bit files by certain reconfiguration software packages run either by the host or by the control section of FPGAs.
  • the general concept disclosed in the above-referenced co-pending application is a method of operating as a real computer a reconfigurable logic array such as an FPGA (or plural FPGAs) of the type which are reconfigurable in accordance with a configuration bit file generated by the reconfiguration software package.
  • the general method (illustrated in FIG. 10) performs an executable code defining a sequence of operations to be executed in sequence by executing the executable code operation-by-operation in order of the sequence.
  • the execution is accomplished by computing exclusively from the portion of the executable code defining the one operation a corresponding configuration bit file representative of the one operation in accordance with the reconfiguration software package or algorithm. Thereafter, the reconfigurable logic is reconfigured in accordance with the corresponding configuration bit file.
  • the reconfigurable logic array operated in this manner becomes a real computer, a device capable of performing any previously undefined operation which a user may define to the computer at the time a corresponding instruction is to be executed in a sequence of instructions, and not before. That “any” such operation may be performed is limited only by the capability of the reconfiguration software package to represent any operation as an appropriate configuration bit file.
  • this method can use the complete set of all possible configuration bit files of which the reconfiguration software package is capable of generating, so that the resulting computer (or “virtual computer”) is as versatile as any conventional machine in carrying out any previously undefined process or operation.
  • the reconfiguration software is run for each operation or user instruction to compile it and thus obtain the requisite configuration bit file at the time of execution.
  • the reconfiguration software may be run in a host computer connected to the reconfigurable logic array or may be run in a processor-like configured control section of the reconfigurable logic array itself.
  • At least some of the compiling of the user-instruction into a configuration bit file or precursor image thereof may have already been accomplished by the user himself in creating his program to be executed, the results (e.g., a paritally or fully computed configuration bit file compiled from a particular instruction or operation) having been inserted by the user into the executable program itself in lieu of the usual code.
  • the user's executable program contains images of the successive operations to be performed, each image being a complete description in a programming language of the operation (e.g., a set of mathematical statements). The user may choose to compile at least one of these operation images into a corresponding configuration bit file for substitution into his program in lieu of the operation image.
  • the general method set forth above is just the same, including the step of “computing exclusively from the portion of the executable code defining the one operation a corresponding configuration bit file”, but in this mode entails extracting the partially (or fully) compiled instruction from the executable code as a precursor image of the desired configuration bit file, rather than computing it completely from scratch.
  • the configuration bit file is computed (compiled or extracted) at the time of execution exclusively from the contents of that portion of the user's executable code defining the particular instruction or operation to be executed.
  • the reconfigurable logic element or array After a fully downloadable configuration bit file has been computed (compiled or extracted) from the portion of the user's executable code defining the current instruction, it is downloaded to the reconfigurable logic element or array to bring about the requisite reconfiguring.
  • the advantage is the same in both modes of the method, in that the correct configuration bit file for the desired operation is computed from the user program at the time of execution of that operation, provided it exists in the complete set of all configuration bit files of which the reconfiguration software package is capable of generating.
  • whatever configuration bit file is sufficient for carrying out the operation is obtained at the time of execution without limiting the user's choice of operations.
  • the virtual computer function provided by the method has a versatility limited only by the capacity of the latest or best reconfiguration software package available at the time.
  • a heretofore unmeasured (possibly infinite) number of choices of different operations may be executed in sequential order by the reconfigurable logic array in the manner of a true computer.
  • the portion of the user's executable code representing a particular instruction or operation contains the results of running all components of the reconfiguration software package except the downloading routine.
  • the method requires running at least the downloading function of the reconfiguration software package, as disclosed by Casselman et al., “Hardware Object Programming on A Reconfigurable Computer, ” ______ 1994( ), pages ______.
  • a basic cell or building block of a an array of FPGAs includes a pair of FPGAs 101 , 103 , which may be thought of as being located on opposite corners of a square, and a pair of FPINs 105 , 107 , which may be thought of as being located on the other opposing corners of the square.
  • Each FPGA 101 , 103 is connected to the two FPINs 105 , 107 , while each FPIN 105 , 107 is connected to the two FPGAs 101 , 103 .
  • each FPGA is reconfigurable to implement a user-selected algorithm or a portion of such an algorithm. Such reconfiguring may be achieved by processing a logical definition of the algorithm with a software package such as LDG software package distributed by the Supercomputing Research Center (SRC) to generate a file of configuration bits for each FPGA.
  • SRC Supercomputing Research Center
  • Each FPGA is reconfigured by down-loading the corresponding configuration bit file into the FPGA, as will be described later in this specification.
  • Each FPIN is reconfigured by using the bits specifying FPGA-to-FPGA connections in each configuration bit file produced by the LDG software package as an input to a software package such as FPID Pro developed by I-Cube Systems, Inc., to generate a file of configuration bits for each FPIN.
  • Each FPIN is reconfigured by down-loading the corresponding configuration bit file into the FPIN, as will be described later in this specification. In this way, none of the internal logic resources of the FPGAs are wasted in effecting the FPGA-to-FPGA connections specified in the configuration bit files generated by the LDG software package.
  • each connection is between one bank of 32 user I/O pins of an FPGA and a corresponding bank of 32 user I/O pins of an FPIN, although the actual number of pins is a design choice.
  • each FPGA is a Xilinx XC 4000 programmable gate array sold by Xilinx, Inc. at 2001 Logic Drive, San Jose, Calif.
  • each FPIN is an I-Cube IQ160 field programmable interconnect device sold by I-Cube Systems, Inc. at 2328-J Walsh Avenue, Santa Clara, Calif.
  • FIG. 12 illustrates an array 200 of FPGAs 202 and FPINs 204 comprising many elementary cells of the type illustrated in FIG. 1.
  • the array 200 of FIG. 12 may be employed as a co-processor to enhance the performance of a host computer.
  • the array 200 is comprised within a virtual computer illustrated in FIG. 12.
  • the virtual computer of FIG. 12 is capable of successively reconfiguring the FPGAs and FPINs in the array 200 to perform successive algorithms, in analogy with a conventional microprocessor executing a set of successive instructions.
  • each one of the successive algorithms performed by the virtual computer of FIG. 12 may correspond to many conventional instructions to be executed by a conventional microprocessor.
  • rate at which the FPGAs and FPINs in the array 200 are successively reconfigured in performing a large computational task is less than the rate at which corresponding individual instructions would have to be loaded into a conventional microprocessor attempting to perform the same computational task at the same speed.
  • the array 200 can perform a large computational task much faster than a conventional microprocessor.
  • the virtual computer of FIG. 12 includes a reconfigurable control section 206 governing the reconfiguration of all of the FPGAs and FPINs in the array 200 and capable of running software packages such as the LDG and FPID Pro software packages to generate the configuration bit files.
  • Each configuration bit file thus generated is transmitted by the reconfigurable control section 206 to the corresponding FPGA or FPIN in the array 200 .
  • the array 200 is connected to its left and to its right to respective columns 210 , 212 of eight FPGAs (hereinafter, “column FPGAs”), alternate pairs of column FPGAs connected to pairs of dual port RAMs whose other ports are connected to the reconfigurable control section 206 .
  • a local bus 214 provides connection between the reconfigurable control section 206 , a bus (VME) interface 216 and a main memory 218 .
  • the bus interface 216 is connected to a system bus 220 .
  • the 32-pin banks of the FPGAs 202 and FPINs 204 in the top row of the array 200 corresponding to the upward pointing arrows are connected to the 32-pin banks of the FPGAs 202 and FPINs 204 in the bottom row of the array 200 corresponding to the downward pointing arrows.
  • each FPGA 202 in the array 200 has four banks 300 , 302 , 304 , 306 of thirty-two user I/O pins on its four sides connected to corresponding banks of thirty-two pins of four different FPINs 204 .
  • each FPGA 202 has a clock signal pin 308 and a configuration bit input pin 310 on which it receives the corresponding configuration file generated by the LDG software package executed by the reconfigurable control section 206 .
  • Each FPIN 204 in the array 200 has four banks 312 , 314 , 316 , 318 of thirty-two pins on its four sides connected to corresponding banks of thirty-two pins of four different FPGAs 202 .
  • each FPIN has a clock signal pin 320 and a configuration bit input pin 322 on which it receives the corresponding configuration file generated by the FPID Pro software package executed by the reconfigurable control section 206 .
  • FIG. 14 illustrates a preferred embodiment of the reconfigurable control section 206 .
  • the reconfigurable control section 206 of FIG. 14 has ten FPGAs 401 - 410 each identical to the FPGAs 202 in the array 200 .
  • the ten FPGAs 401 - 410 are connected directly together in this embodiment without the use of FPINs.
  • the ten FPGAs 401 - 410 are configured using the LDG software (run on an external host not shown in FIG. 14) to emulate a standard microprocessor (such as a Motorola 68000).
  • the reconfigurable control section 206 is then used to run the LDG and FPID Pro software packages to generate the configuration bit files for reconfiguring the FPGAs 202 and FPINs 204 of the array 200 in accordance with a process to be described later herein.
  • some of the user I/O pins 412 , 414 of each of the last two FPGAs 409 , 410 of the reconfigurable control section 206 serve as configuration bit output pins dedicated to transmitting the configuration bit files to different ones of the FPGAs 202 and FPINs 204 in the array 200 .
  • the configuration bit output pins 412 , 414 are individually connected to different configuration bit input pins 310 of the FPGAs 202 while others of the configuration bit output pins 412 , 414 are individually connected to different configuration bit input pins 322 of the FPINs 204 .
  • the reconfigurable control section 206 is programmed to output the various configuration bit output files it has generated for the various FPGAs 202 and FPINs 204 on corresponding ones of the configuration bit output pins 412 , 414 .
  • the array 200 consists of exactly 24 FPGAs and 24 FPINs.
  • the array 200 is bounded on its left and right (as viewed in FIG. 12) by left and right columns 210 , 212 , respectively, of consisting exclusively of exactly 8 FPGAs in each column, for a total of 16 additional FPGAs.
  • the configuration bit output bits 412 , 414 comprise one bank of thirty-two user I/O pins on each one of the last two FPGAs 409 , 410 of the reconfigurable control section 206 .
  • the FPGAs 401 in the top row and 406 in the bottom row are typical, but are rotated with respect to one another in the horizontal plane by 180 degrees. Each one has five banks (labelled OUT, IN, RIGHT, LEFT and INNER, respectively) of thirty-two pins each. In the reconfigurable control section 206 , each one of the five banks participates in one of five connections. Specifically, the top five FPGAs 401 , 402 , 403 , 404 , 405 have their OUT banks connected to a local bus 214 while the bottom five FPGAs 406 - 410 have their OUT banks connected to the array 200 .
  • the OUT banks of the FPGAs 409 and 410 are the configuration bit output pins 412 and 414 discussed above, while the connection of the OUT banks of the FPGAs 406 - 408 to the array 200 will be discussed later in this specification.
  • All ten FPGAs 401 - 410 have their IN banks of pairs of top and bottom FPGAs connected together, their INNER banks connected to an inner bus 418 and their LEFT and RIGHT banks connected to provide left-to-right pipeline connectivity.
  • the inner bus 418 facilitates the reconfiguration of the array of FPGAs 401 - 410 in a microprocessor architecture capable of running object code compiled for a particular microprocessor.
  • the LEFT bank of the FPGA 410 and the RIGHT bank of the FPGA 406 provide data ports, as do the local bus 214 and the inner bus 418 .
  • each FPGA 202 in the array 200 The five banks of 32 pins of each FPGA 202 in the array 200 are allocated in the manner illustrated in FIGS. 2 and 3. Specifically, the four banks 300 , 302 , 304 , 306 provide connection to the four adjacent FPINs 204 (i.e., to the top, bottom, left and right of each FPGA 202 ).
  • a fifth bank 324 of thirty-two pins is divided in half, one sixteen-pin half bank 324 a being used for interconnection to the fifth banks of all FPGAs 202 in the same column using a column global bus 222 .
  • each column global bus is a 16-bit bus.
  • the other sixteen-pin half bank 324 b is dedicated to control functions listed as “Permanently Dedicated Pins ” on page 34 of the Xilinx technical manual for the XC4000 FPGA entitled Xilinx Technical Data XC 4000 Logic Cell Array Family (1990).
  • each FPIN 204 in the array 200 The five banks of 32 pins of each FPIN 204 in the array 200 are allocated in the manner illustrated in FIGS. 2 and 3. Specifically, the four banks 312 , 314 , 316 , 318 provide connection to the four adjacent FPGAs 202 (i.e., to the top, bottom, left and right of each FPIN 204 ). A fifth bank 326 of thirty-two pins is used for interconnection to all fifth banks of all FPINs 204 in the same row using a row global bus 224 . Thus, each row global bus 224 is a 32-bit bus. There are four column busses 222 and four row busses 224 . The row and column busses 222 and 224 enable global communication among the FPGAs 202 and the FPINs 204 respectively.
  • data flow between the reconfigurable control section 206 and the array 200 occurs at the 32-pin OUT banks of the FPGAs 406 , 407 and 408 of the control section 206 .
  • array buses 226 , 228 are connected to the 32-pin OUT banks of the FPGAs 406 - 408 and to the left and right FPGA columns 210 and 212 via dual port RAMs 230 - 245 .
  • the dual port RAMs 230 - 245 provide buffering between the data bursts received on the system bus 220 and the synchronous operation of the array 200 .
  • each FPGA 202 in the left and right FPGA columns 210 and 212 may be labelled LEFT, RIGHT, OUT, IN and INNER in the same manner as the FPGA 406 of FIG. 14.
  • the connections between the dual port RAMs 230 - 245 and the left and right FPGA columns 210 and 212 are typified by the connection of the FPGA 202 a at the top of the right FPGA column 212 , as follows.
  • the FPGAs in each column 210 , 212 are paired, the IN and INNER banks of each FPGA within a pair being connected together and to a respective one of a pair of the dual port RAMs.
  • the FPGA 202 a is paired with the next FPGA down in the right FPGA column 212 , namely the FPGA 202 b .
  • the LEFT bank connects to the adjacent FPIN in the same row while the RIGHT bank is wrap-around connected to the left bank of the FPGA in the same row of the left FPGA column 210
  • the OUT bank is wrap-around connected to the OUT bank of the bottom FPGA of the right FPGA column 212 .
  • the OUT bank of the FPGA 202 b is connected to the OUT bank of the next FPGA down in the same column.
  • the right and left FPGA columns 210 and 212 are connected around the array 200 to each other from left to right, as indicated by the arrows extending away from the array 200 .
  • This latter feature, along with the top to bottom wrap around connection between the top and bottom rows of FPGAs and FPINs in the array 200 (described previously herein), connects the entire array 200 on a three-dimensional surface like a cube or sphere.
  • the system bus 220 is a VME bus in one implementation and the bus interface 216 includes a VME interface chip 500 , namely a Cypress VIC64 driven by a 64 MHz oscillator 502 , connected to the system bus 220 at one port and to the local bus 214 at another port.
  • a boot-up FPGA 504 has one set of its pins connected to the local bus 214 and another set of its pins connected to a boot EEPROM 506 .
  • the boot-up FPGA 504 has ten configuration bit output pins 508 connected to the configuration bit input pins (corresponding to pin 310 of FIG. 13) of the ten FPGAs 401 - 410 of the reconfigurable control section 206 .
  • the EEPROM 506 contains instructions which control and configure the boot-up FPGA 504 when power is first applied. These instructions cause the boot-up FPGA 504 to transmit via the ten output pins 508 ten configuration files to the configuration bit input pins of the ten FPGAs 401 - 410 of the reconfigurable output section 206 .
  • the information stored in the boot-up EEPROM 506 corresponds to the configuration files necessary to configure the FPGAs 410 - 410 in a microprocessor architecture.
  • the EEPROM also enables the boot-up FPGA 504 to control the VME interface chip 500 in conformance with the configuration of the VME system bus 220 .
  • FIG. 16 illustrates how to configure the virtual computer 600 of FIG. 12 immediately upon completion of manufacture.
  • a host computer 602 is connected to the system bus and a disk drive 604 is also connected to the bus 220 .
  • Software 606 such as the LDG program for configuring an array of Xilinx FPGAs is stored in the disk drive 604 .
  • information specifying the configuration bit output pins 504 a of the boot-up FPGA 504 and their assignment among the ten FPGAs of the reconfigurable control section 206 is stored in the disk drive 604 .
  • an array 610 of logic primitives corresponding to a selected microprocessor logic architecture (such as the logic architecture of the Motorola 68000 microprocessor) is stored on the disk drive 604 .
  • the host computer 602 is instructed to run the LDG software package to process the array of logic primitives and generate configuration bit files for each of the ten FPGAs of the reconfigurable control section 206 .
  • These configuration bit files are then stored on the disk drive 604 . Thereafter, they are used to program the boot-up EEPROM 506 so that the configuration files are downloaded into the corresponding control section FPGAs 401 - 410 and the system automatically configures the control section 206 to emulate the selected microprocessor each time it boots up. Thereafter, the system is a virtual computer ready to perform any user-defined algorithm.
  • the EEPROM 506 may store information enabling the system to boot up into one of several (e.g. eight) predetermined microprocessor configurations, depending upon a user-specified choice, enabling the user to instantly switch the system from one microprocessor architecture to another, as desired.
  • FIG. 17 illustrates one mode of operation of the virtual computer of the invention.
  • the step of block 700 of FIG. 17 is to analyze and define the current algorithm to be performed. This step requires dividing the algorithm into a number of sub-algorithms corresponding to the number of FPGAs in the array 200 , and defining logical connections between the sub-algorithms.
  • the step of block 702 of FIG. 17 is to use the FPID Pro software to produce the configuration file for the FPINs from the logical connections defined in the step of block 700 .
  • step 17 is to use the LDG software to produce an FPGA output in Xact Design System Format for each FPGA in the array 200 from each one of the sub-algorithms defined in the step of block 700 .
  • step of block 706 is to use the Xact Design System software to produce the configuration files for the FPGAs.
  • step of block 708 is to load the configuration files to the disk drive.
  • step of block 710 is to send the configuration files from disk to configuration FPGAs 409 , 410 in the control section 206 .
  • step of block 712 is to output the configuration file for each FPGA in the array on the corresponding pin in the two banks 412 , 414 of configuration bit output pins in the control section 206 .
  • step of block 714 is to output the configuration file for each FPIN in the array on the corresponding configuration bit output pin.
  • the array is then enabled to perform the algorithm.
  • Step 700 is then repeated for the next algorithm to be performed, and then the remaining steps 702 et seq. are repeated. In this manner a succession of algorithms are performed.
  • FIGS. 18 a and 18 b are pin diagrams corresponding to one implementation of the elementary cell of FIG. 1.
  • FIG. 19 is a pin diagram illustrating the connection of a pair of dual-port RAMs to a pair of FPGAs in the right FPGA column 212 .
  • FIG. 20 illustrates an alternative embodiment of the array 200 in which each FPGA is connected not only to the four neighboring FPINs (as in FIG. 12) but also to the four neighboring FPGAs, while each FPIN is similarly connected not only to the four neighboring FPGAs (as in FIG. 12) but also to the four neighboring FPINs.
  • the FPIN is a multi-pin device which connects any one of its pins with any one of its other pins.
  • the preferred embodiment of the FPIN is an array of two-channel general routing cells (GRCs) of the type illustrated in FIG. 21.
  • GRCs general routing cells
  • This type of FPIN permits one set of configuration files (corresponding to a first algorithm to be performed) to control the FPIN while a second set of configuration files (corresponding to a second algorithm to be performed next) is shifted in through all the cells of the FPIN.
  • the successive bits of the first configuration bit file arrive on data in A (DINA) line 1102
  • the successive bits of the second configuration bit file arrive on data in B (DINB) line 1104 .
  • a clock signal arrives on clock (CLK) line 1108 and the A/B channel select bit arrives on line 1108 .
  • the DINA and DINB bits are stored respectively in D flip flops 1110 and 1112 .
  • the clock line 1108 and the channel select line 1108 are connected to the data and select inputs, respectively of a demultiplexer, whose Y 0 and Y 1 outputs are applied to the clock inputs of the D flip flops 1110 and 1112 respectively.
  • the Q 0 outputs of the D flip flops 1110 and 1112 are routed on data out A (DOUTA) and data out B (DOUTB) lines 1116 and 1118 , respectively, to the next (DINA) and (DINB lines 1102 , 1104 of the next GRC cell in the array, and also to the D 0 and D 1 inputs, respectively, of a multiplexer 1120 .
  • the multiplexer 1120 applies one of its inputs, D 0 or D 1 , to its Y output, depending upon the state of the A/B signal applied to the select input of the multiplexer 1120 .
  • the Y output of the multiplexer 1120 is a bit which determines whether a bi-state switch 1122 (connecting two pins via horizontal and vertical interconnects 1124 , 1126 ) is on or off.
  • the GRC cell of FIG. 21 operates as follows: If the A/B bit is high, then the flip flop 1110 holding the A data (DINA) is clocked so that the A data propagates to the next GRC cell via the data A out (DOUTA) line 1116 , while simultaneously the flip flop 1112 holding the B data is not clocked so that the B data is held stationary and does not propagate. Instead, the B data bit held in the flip flop 1112 is applied through the multiplexer 1120 to the control input of the switch 1122 . This status is maintained as long is it takes one complete configuration bit file to serially propagate through all the GRC cells of the array, until the first bit arrives at the last GRC cell in the serial propagation path.
  • the A configuration data is in place and all the GRC cells in the array are ready to be switched over simultaneously to enable to A configuration data to reconfigure the array. This occurs whenever the A/B channel select signal reverses its state to a low logic state.
  • the multiplexer now applies the clock signal to the flip flop 1112 so that the B data propagates to the next cell on the DOUTA line 1118 while the A data is held stationary in the flip flop 1110 .
  • the A data stored in the flip flop 1110 is applied by the multiplexer 1120 to the control input of the switch 1122 .
  • the switch 1122 is preferably a CMOS switch of the type illustrated in FIG. 12 in which the output from the multiplexer 1120 is inverted at the gate of the PMOS device but not at the gate of the NMOS device.
  • FIG. 23 An array of individual GRC cells constituting an 8-pin FPIN in which any pin is programmably connectable to any other pin is illustrated in FIG. 23.
  • Each GRC cell is a rectangular substrate 1300 with top layer metal patterns providing the horizontal and vertical interconnects 1124 , 1126 and circuitry for the switch 1122 at the intersection of the horizontal and vertical interconnects and lower layer metal patterns providing the connections between the DOUTA, DOUTB lines of each cell to the DINA, DING lines of the next cell.
  • a pass-through (unswitched) horizontal interconnect 1302 is provided parallel to and below the horizontal interconnect 1124 .
  • FIG. 23 An array of individual GRC cells constituting an 8-pin FPIN in which any pin is programmably connectable to any other pin is illustrated in FIG. 23.
  • Each GRC cell is a rectangular substrate 1300 with top layer metal patterns providing the horizontal and vertical interconnects 1124 , 1126 and circuitry for the switch 1122 at the intersection of the horizontal and vertical interconnects and lower layer metal
  • a second type of cell is used to provide permanent connections, and is a square substrate 1304 with horizontal and vertical interconnect metal patterns permanently connected at their intersection at the center of the substrate 1304 .
  • Horizontal or vertical interconnects 1124 , 1126 or 1302 of adjacent substrates whose ends are in registration are electrically connected.
  • Each of the programmable substrates 1300 is directional in that data flow to the next cell in the lower layer metal patterns is along the direction of the vertical interconnect 1126 , of the top layer metal pattern with the end of the vertical interconnect 1126 nearest the switch 1122 being considered the “output” end of the substrate 1300 .
  • FIG. 23 the layout of the cells in FIG. 23 is in a serpentine pattern, with eight permanent interconnection substrates placed in a diagonal line (block dots denoting the permanent connections).
  • the lower layer metal patterns providing serial flow of configuration data bits through the array of FIG. 23 is illustrated in FIG. 24. It is the lower level metal pattern of FIG. 24 that connects the DOUTA and DOUTB lines 1116 , 1118 of one GRC to the DINA and DINB lines 1102 , 1104 of the next GRC.
  • FIGS. 13 & 14 also indicate the serpentine pattern in which the GRC cell substrates 1300 are laid out.
  • connection in the lower metal layer (FIG. 24) is provided to the right end of the next row down, and similarly at the bottom of alternate columns, connection in the lower level metal layer (FIG. 24) is provided to the bottom of the next column.

Abstract

A virtual network consists of many distributed virtual computers interconnected over a communication network of individual links, such as optical fibers or electrical conductors, for example. Each distributed virtual computer has at least two ports connected over respective links to other respective distributed virtual computers on the network. Each distributed virtual computer is connected to or resident within its own host, each host typically being a conventional computer such as a personal computer or a work station, for example, although at least one of the hosts may itself be another virtual computer. Each distributed virtual computer has reconfigurable logic elements such as an FPGA or an array of FPGAs.

Description

    RELATED APPLICATIONS
  • This application is a continuation-in-part of co-pending U.S. application Serial No. 08/685,158 filed Jul. 23, 1996 entitled “FPGA Virtual Computer for Executing a Sequence of Program Instructions by Successively Reconfiguring a Group of FPGA in Response to Those Instructions” by Steven M. Casselman, which is a continuation of Serial No. 08/357,059 filed Dec. 14, 1994 now abandoned which is a continuation of Serial No. 07/922,167 filed Jul. 29, 1992 now abandoned.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Disclosure of the Co-Pending Application [0002]
  • The above-referenced co-pending parent application discloses a virtual computer consisting of a reconfigurable control section and a reconfigurable computation array. Preferably, the reconfigurable control section is a relatively small array of interconnected field programmable gate arrays (FPGAs), while the reconfigurable computation array is a relatively large array of interconnected FPGAs whose configurations are governed by the control section. When power is first turned on, the control section automatically configures itself to emulate a microprocessor suitable for rapidly re-configuring the computation array in response to each new instruction to be carried out or executed. (The term “instruction” as understood herein is generic and can refer to either an individual instruction of a program, a group of instructions, an algorithm, a sub-routine or a program.) Preferably, the control section compiles each new instruction (e.g., an individual instruction of a program, a group of instructions, an algorithm, a sub-routine or a program) by generating therefrom respective sets of configuration bits for respective ones of the FPGAs in the computation array, and then causing those computation array FPGAs to be reconfigured accordingly. The advantage is that such a virtual computer has far greater speed than a conventional computer, as explained more fully in the above-referenced application. While the above-referenced application discloses an implementation employing many FPGAs in both the computation array and in the control section, other implementations may be carried out using a smaller number of FPGAs. For example, a limited application could suffice with only a single FPGA in the control section and a single FPGA in the computation array. [0003]
  • 2. Background Art [0004]
  • Computer networks of the type usually referred to as “local area networks” or LANs are well-known in the art, one of the best known LANs being the Ethernet™ LAN. Such networks have many uses such as, for example, permitting instant communication among co-workers at respective terminals or nodes of the network. Each terminal or node may be a personal computer or a work station. Another use of an LAN is to emulate a supercomputer by joining many work stations over an LAN. A fundamental problem with such a network is that the node or terminal (a personal computer, work station or the like) must act as a host and perform a number of required tasks, which necessarily consumes the resources of the host, or postpones such tasks while the host completes higher-priority tasks. The required tasks can include performing the network protocol tasks, converting data on the network (typically serial error correction encoded compressed data blocks) into parallel 16-bit words for processing in the host, and vice-versa, decoding data packet headers, and so forth. Because of the demand on the host's limited processing resources, these tasks are necessarily performed at a limited speed, so that the rate at which data can be communicated over the LAN is limited. Moreover, from the point of view of the host's user, participation in the network requires some sacrifice of the host's resources to network-related tasks. [0005]
  • SUMMARY OF THE INVENTION
  • The invention is embodied in a virtual network consisting of many distributed virtual computers interconnected over a communication network of individual links, such as optical fibers or electrical conductors, for example. Each distributed virtual computer has at least two ports connected over respective links to other respective distributed virtual computers on the network. Each distributed virtual computer is connected to or resident within its own host, each host typically being a conventional computer such as a personal computer or a work station, for example, although at least one of the hosts may itself be another virtual computer. Each distributed virtual computer has reconfigurable logic elements such as an FPGA or an array of FPGAs. At power-up, at least one of the FPGAs in at least one of the distributed virtual computers is automatically configured (e.g., from instructions stored in a non-volatile read-only memory or from instructions from a host) into a microprocessor-like device which then configures one or some “control” FPGAs or “control” portions of single FPGAs in the various distributed virtual computers to give them control or “compiling” capability over the remaining FPGA resources, which act as a computation FPGA array. Such control or compiling capability means that the “control” FPGA (or the “control” portion of a single FPGA) so configured can react to instructions received from a host or from other nodes on the network to re-configure FPGA elements in the computation array to carry out a required task. Thus, the control FPGA (or FPGAS) in the distributed virtual computer can function in the manner of the control section of the virtual computer described in the above-referenced co-pending application to compile received instructions or algorithms into configuration bit files and reconfigure the computation array FPGA elements in accordance with the configuration bit files to optimally carry out each instruction or algorithm. Alternatively, the host computer can assume some of the reconfiguring or compiling tasks. Such a network of distributed virtual computers is referred to herein as a virtual network. [0006]
  • In one embodiment, each host is connected to a node of a conventional LAN as well as being connected to a distributed virtual computer or node of the virtual network, so that there are two networks interconnecting the same set of host computers. [0007]
  • Each distributed virtual computer can be configured to perform all of the network node tasks for the virtual network, which are the same type of tasks discussed above concerning the conventional network or LAN, including decompression, decoding and so forth. Thus, the virtual computer network does not consume the resources of the host computer for such tasks, a significant advantage over conventional networks. Another advantage is that the FPGAs of the distributed virtual computers can be optimally configured to perform specific difficult tasks at extremely high speeds, such as translation of packet headers at gigabit rates, something a conventional computer is generally incapable of doing. [0008]
  • Since each distributed virtual computer can be reconfigured at any time for specific tasks, the virtual network can rapidly transition between various operating modes as needed. For example, in one mode at least some of the host computers of the network can be slaved to one or more of the distributed virtual computers to solve a large problem, so that the resources (e.g., memory and processing capability) of all hosts are employed in solving the problem. In other cases, the distributed virtual computers themselves can be reconfigured to perform certain computational (as contrasted with the required node tasks). [0009]
  • Each distributed virtual computer can be reconfigured in response to requests from either the host computer or from other nodes (distributed virtual computers) on the virtual network. Moreover, the compiling and reconfiguring of a given distributed virtual computer may be carried out either by its own FPGA(s) or by other distributed virtual computers in the virtual network or by a host.[0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic block diagram of a virtual computer network consisting of distributed virtual computer nodes interconnected by optical fiber links. [0011]
  • FIG. 2 is a simplified schematic block diagram of a distributed virtual computer in the network of FIG. 1. [0012]
  • FIG. 3 is a schematic block diagram of a preferred embodiment of the distributed virtual computer of FIG. 2. [0013]
  • FIG. 4 is a block diagram of a method of operating the embodiment of FIG. 3. [0014]
  • FIG. 5 is a flow diagram corresponding to FIG. 4 illustrating the flow of control and data signals through the embodiment of FIG. 3. [0015]
  • FIG. 6 is a block diagram of an alternative method of operating the embodiment of FIG. 3. [0016]
  • FIG. 7 is a flow diagram corresponding to FIG. 6 illustrating the flow of control and data signals through the embodiment of FIG. 3. [0017]
  • FIG. 8 is a schematic block diagram of one implementation of the embodiment of FIG. 3. [0018]
  • FIG. 9 is a block schematic representation of a typical 8-bit buffer employed in the implementation of FIG. 8. [0019]
  • FIG. 10 is a block flow diagram illustrating the general method of the invention disclosed in the co-pending parent application. [0020]
  • FIG. 11 is a simplified block diagram of an elementary cell of an array of FPGA's and FPIN's in accordance with the invention; [0021]
  • FIG. 12 is a block diagram of a virtual computer embodying the invention, including an array of FPGAs and FPINs comprising many cells of the type illustrated in FIG. 11. [0022]
  • FIG. 13 is a block diagram illustrating pin connections between an FPGA chip and adjacent FPIN chip in the cell of FIG. 11; [0023]
  • FIG. 14 is a block diagram of a reconfigurable control section of the virtual computer of FIG. 12; [0024]
  • FIG. 15 is a block diagram of the VME interface section of the virtual computer of FIG. 12; [0025]
  • FIG. 16 is a block diagram of a virtual computing system, including a host computer temporarily connected to the system bus for initially programming the virtual computer; [0026]
  • FIG. 17 is a flow diagram of a process employing configuration software applicable to the FPGAs and the FPINs for configuring the virtual computer of FIG. 12; [0027]
  • FIGS. 18[0028] a and 18 b are pin diagrams illustrating one implementation of the elementary cell of FIG. 11;
  • FIG. 19 is a pin diagram of the interconnection between the edge columns of FGPAs and the dual port RAMs in the virtual computer of FIG. 12; [0029]
  • FIG. 20 is a block diagram of an alternative embodiment of an array of FPGAs and FPINs; [0030]
  • FIG. 21 is a circuit diagram of a general routing cell of the invention; [0031]
  • FIG. 22 is a circuit diagram of a CMOS version of the interconnect switch employed in the GRC cell of FIG. 21; [0032]
  • FIG. 23 is a block diagram illustrating horizontal and vertical interconnections in an array of GRC cells of the type corresponding to FIG. 21; and [0033]
  • FIG. 24 illustrates the propagation of configuration data throughout the array of FIG. 23.[0034]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIG. 1 illustrates a [0035] virtual computer network 10 in accordance with the invention. The virtual computer network consists of plural distributed virtual computers 11 interconnected by communication links 15. Preferably, each communication link 15 is a fiber optic link. Each distributed virtual computer is resident in or connected to a corresponding host 12. Each host 12 can be a computer, such as a work station or a personal computer or the like, or another device such as a bus controller or a distributed input/output device or a peripheral device, such as a printer for example. Typically, however, each host 12 is a computer. The hosts 12 may be interconnected by a conventional local area network 13 including communication links 14. The local area network 13 is independent of the virtual network 10.
  • FIG. 2 illustrates a preferred architecture of a typical distributed [0036] virtual computer 11. The distributed virtual computer 11 includes a reconfigurable computation array 20 of FPGA elements under the control of a reconfigurable control section 21 of FPGA elements. The control section 21 has an input port 22 to receive communications from its host and an output port 24 to send communications to its host. Further, a configuration control output link 26 carries communications from the control section 21 to the computation array 20 while an optional configuration control reply link 28 can carry communications from the computation array 20 back to the control section 21. Reference is made to the detailed description below of a virtual computer corresponding to the above-referenced co-pending application in which a reconfigurable control section corresponding to the reconfigurable control section 21 of FIG. 2 consists of many interconnected FPGAs. Also in the virtual computer of the co-pending application, a reconfigurable computation array corresponding to the reconfigurable computation array 20 of FIG. 2 consists of an even larger number of interconnected FPGAs. Thus, in one embodiment, the computation array 20 of FIG. 2 consists of a number of interconnected FPGAs while the control section 21 of FIG. 2 consists of a smaller number of interconnected FPGAs. However, in a preferred embodiment of the distributed virtual computer of FIG. 2, the reconfigurable control section 21 has a single FPGA while the reconfigurable computation array 20 has a single FPGA, as will be described later in this specification. The distributed virtual computer of FIG. 2 further includes at least two network ports 24, 25 each preferably including a conventional fiber optic connector for connection to a respective one of the fiber optic links 15. Preferably, each port 24, 25 is connected via a separate link 15 to a different one of the other distributed virtual computers 11 in the virtual network 10, as indicated in FIG. 1.
  • A description corresponding to the above-referenced co-pending application is given later in this specification concerning how FPGA elements in the [0037] control section 21 can be configured at the time the system is first turned on to emulate a microprocessor programmed to compile instructions into configuration bit files with which to reconfigure FPGA elements in the computation array 20 to carry out each instruction or group of instructions. Initial configuration of the control section 21 can be carried out by the corresponding host 120, for example.
  • In order to implement communications on the [0038] virtual network 10 of FIG. 1, some of the instructions which the computation array 20 could be configured to carry out would correspond to network protocol support tasks, for example, or any tasks required to be carried out by a node in a computer network. This relieves the host 12 of any of the burdens associated with maintaining the virtual network 10. One advantage of this feature is that since the computation array is dynamically reconfigurable, each of the virtual network nodes or distributed virtual computers 11 can be configured to support different network protocols at different times or to support multiple network protocols at any time.
  • A simple use of the [0039] virtual network 10 is to simply communicate data between different host computers 12 without requiring any of the hosts to perform tasks related to network communication or protocol. A slightly more sophisticated use of the virtual network 10 is for each distributed virtual computer to perform some pre-processing or screening of incoming data on behalf of the host computer 12.
  • The [0040] computation array 20 can be configured so as to be ready to perform selected tasks on demand or can be reconfigured “on the fly” to perform tasks as the need arises. For example, in a distributed processing mode of the invention, different nodes or distributed virtual computers 11 in the network 10 can be dedicated to perform different computational or problem-solving tasks or processes without necessarily consuming the resources of the respective hosts 12. In such a distributed processing mode, operand data (data to be operated upon by certain ones of the different processes stored on the different nodes) would travel through the network 10 in packets, each packet having a header designating which one or ones of the pre-stored processes is to operate on the data in that packet. Each node or distributed virtual computer 11 would be configured so as to be able to perform packet header translation. The packet header can designate its destination as a particular node or type of node. For example, from its translation of the packet header, each node or distributed virtual computer 11 would determine whether the data in the packet is to be operated upon by a process which that particular node has been configured to perform. If not, the node 110 simply passes the received packet along to the next node in the network. If so, the node or distributed virtual computer 11 stores the packet as received from the network 10 and then operates upon it with the process which that node has been configured to perform or execute to produce result data. The distributed virtual computer can then form a new data packet from the result data with an appropriate packet header designating the nature of the result data (and any process which is to be performed thereon) and output the new data packet onto the virtual network 10.
  • Because each node or distributed [0041] virtual computer 11 is versatile and reconfigurable, its configuration can be changed in response to requests or instructions received not only from the host 120 but also received over the virtual network 10. Thus, a packet of data received from the network 10 may contain not only operand data to be processed in accordance with a particular process with which the node 11 has been configured to perform, but may also contain instructions for then reconfiguring that same node so as to be able to perform another process. Thus, the different processes stored in different nodes 110 can change dynamically as data packets flow through the network 10. A large algorithm can be executed by the network as a whole by dividing it into sub-algorithms requiring different nodes 11 to perform different processes on different operand data packets at different times as different packets are received at different nodes 11. The data packets can contain operand data and instructions for generating new packet headers for the resultant data as well as instructions for reconfiguring the node itself. The instructions can be conditioned upon the immediate outcome of the process executed in the node. For example, the instructions for reconfiguring the node (or for forming a new packet header) can be in the alternative, with different alternatives being conditioned upon different values being obtained in the resultant data. With each operation of a process by a node 11, a result data packet is produced with the new packet header.
  • While the [0042] computation array 20 of each node 11 can perform a given process without using any other resources, in another mode of the invention, the node 11 also uses certain resources of its host 12 in carrying out a particular process. For example, the node 11 may store certain intermediate or final computation results in the memory of its host 12, or it may use the results of computations performed by the host 12. In yet another mode, a node 11 may request through other nodes to use the resources of their hosts 12, such as memory or processing capabilities. The user accomplishes this by creating the instructions communicated on the virtual network which the control section 21 can respond to appropriately (by causing the node 11 to communicate the appropriate requests to its host 12 or to other nodes 11 on the network 10). In this manner selected ones of the hosts 12 can be slaved to one or more of the nodes or distributed virtual computers 110 to carry out a large algorithm.
  • The reverse is also feasible, in that the [0043] host 12 can send instructions down to the control section 21 of its resident distributed virtual computer 11 to use the distributed virtual computer as a slave to perform certain computations or tasks which the host 12 assigns to it, so that the distributed virtual computer 11 or node can be slaved to its own host 12. Moreover, a given host 12 can request through its resident distributed virtual computer 11 for other distributed virtual computers 110 in the virtual network 10 to be slaved as well.
  • The [0044] computation array 20 may also be configured to translate packet headers at gigabit rates, a feat which a typical personal computer or even a work station is not capable of accomplishing. This advantage follows from the low latency with which the distributed virtual computer 11 operates: the computation array 200 can be configured to carry out the entire packet translation task, so that the process need not wait for individual instructions to be fetched one-by-one in the manner of a conventional computer.
  • While the [0045] control section 21 and computation array 20 of each distributed virtual computer 11 can comprise many FPGAs in the manner of the co-pending application as described in detail below, FIG. 3 illustrates a preferred embodiment in which the control section 21 and computation array 20 of FIG. 2 is a single FPGA 31, 32, respectively. While the following description of FIG. 3 contemplates the use of the FPGA 31 as the control section and the FPGA 32 as the computation array, these uses may be reversed. Moreover, the control section need not necessarily consume an entire FPGA and instead may constitute only a portion of one of the FPGAs 31, 32, the remaining portion of the one FPGA and the entirety of the other being available for use as the computation array.
  • In FIG. 3, the distributed [0046] virtual computer 11 is divided into a mother board 33 on which the mother FPGA 31 resides and a daughter board 34 on which the daughter FPGA 32 resides. The mother board 33 supports a bi-directional 32-bit S-bus connector 35 providing connection between the mother FPGA 31 and the host computer 12. The daughter board 34 supports a daughter board connector 36. The mother FPGA 31 is connected through the daughter board connector 36 to the daughter FPGA 32 via a bi-directional 32-bit bus 37. A nine-bit output port 31-2 of the mother FPGA 31 is connected to a nine-bit input port 32-2 of the daughter FPGA 32 via the daughter board 36 and through an output first-in-first-out (FIFO) buffer 38 on the daughter board 34. A nine-bit output port 32-4 of the daughter FPGA 32 is connected via the daughter board connector 36 to a nine-bit input port 31-4 of the mother FPGA 31 through an input FIFO buffer 38-2 on the daughter board 34. An encoder/decoder 40 on the daughter board 34 decodes data received from the network 10 on its input port 40-2 and sends it on an eight-bit input bus 41-1 to eight input pins of the daughter FPGA 32. The encoder/decoder 40 also encodes data output by the FPGA 32 on eight output pins thereof to an eight-bit output bus 41-2 and produces the encoded data at its output port 40-4.
  • The input port [0047] 40-2 of the encoder/decoder 40 is connected to the output of a conventional receiver/demodulator 42 whose input is connected to the port or fiber optic connector 24. The output port 40-4 of the encoder/decoder 40 is connected through a Q-switch 43 to the input of a transmitter 44 whose output is connected to the port or fiber optic connector 25. A bypass Q-switch 45 is connected between the output of the receiver 42 and the input of the transmitter 44. The daughter FPGA 32 controls the encoder/decoder 40, the Q-switch 43 and the bypass Q-switch 45 via control lines 46-2, 46-4, 46-6, respectively. Communication of data onto the network is enabled via the control lines 46-2, 46-4 by enabling the encoding function and closing the Q-switch 43. In order for data packets in the network to bypass the node, the Q-switch 45 is closed via the control line 46-6. In this latter mode, the daughter FPGA 32 can continue to monitor the data packets passing through the bypass Q-switch 45 since the encoder/decoder input port 40-2 remains connected to the output of the receiver 42. The two Q-switches are operated in tandem, so that when one is closed the other is open. Clock recovery of the incoming data packets is facilitated by a programmable oscillator 48 connected to a clock input of the daughter FPGA 32. Additional memory or scratch pad memory capability is provided by a one megabyte S-RAM 49 having its input and output ports connected to the 32-bit bidirectional bus 37.
  • In a typical operation of the embodiment of FIG. 3, the [0048] host computer 12 configures the mother FPGA 31 so that the mother FPGA 31 can compile user instructions or requests into configuration bit files by which the daughter FPGA 32 (and/or portions of the mother FPGA 31) can be reconfigured to enable execution or performance of those instructions or requests. Thereafter, the mother FPGA 31 can receive such instructions or requests from either the host computer 12 or from the network 10 (via the receiver 42 and the daughter FPGA 320 and cause the daughter FPGA 32 to be reconfigured and carry out those instructions or requests as they arise. In another mode, data packets received via the virtual network 10 can contain instructions which configure the daughter FPGA 32 as a control section which actively reconfigures the mother FPGA 31 in response to received instructions, so that the roles of the two FPGAs can be reversed. In this latter case, the mother FPGA can output the results of its computations to either the host 12 or return them to the virtual network 10.
  • The encoder/[0049] decoder 40 is of the conventional type required for local area network communications in which 8-bit byte parallel data is encoded for transmission as 10-bit bit-serial error correction encoded blocks. For decoding, the encoder/decoder performs the reverse of the encoding process. The transmitter 44 converts the 10-bit bit-serial encoded data into an optical signal for transmission on the fiber optic links 15, while the receiver 42 converts a received optical signal into an electrical 10-bit bit-serial data for decoding. Alternatively, the links 15 are electrical rather than optical and the transmitter and receiver 44, 42 each produce an electrical rather than an optical signal.
  • In the embodiment of FIG. 3, it is assumed that the [0050] virtual network 10 is a ring type of network in which each node has a pair of ports, one port for receiving data from an “up-stream” node and the other port for transmitting data to a “down-stream” node of the network. However, other network architectures not in general use may be employed in which at least some of the ports may be bi-directional and in which each node can have more than two ports. In this case, a third port could be added to the daughter board 34 in addition to the ports 24, 25.
  • FIG. 4 is a block diagram of a method of operating the embodiment of FIG. 3 and FIG. 5 is a corresponding flow diagram illustrating the flow of control and data signals through the embodiment of FIG. 3. In FIG. 4, the [0051] mother FPGA 31 is initially configured, either from instructions stored in a non-volatile memory or from instructions from the host 12, to become a machine which can compile a program or algorithm into configuration bit files with which to dynamically reconfigure the daughter FPGA 32 with each new program or algorithm to be executed. FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4. In FIG. 5, the host 12 (and possibly other nodes 11 of the virtual network 10) send to the mother FPGA 31 programs, algorithms or instructions defining processes to be carried out on operand data. In response, the mother FPGA 31 compiles such programs, algorithms or instructions into configuration bit files and causes the daughter FPGA 32 to be reconfigured in accordance therewith. In the meantime, operand data is sent to the daughter FPGA 32 from the host 12 (via the mother FPGA 31) and/or from other nodes of the network 10 (via the network links). The daughter FPGA 32 then carries out the desired process on the operand data to produce resultant data which it then sends to either or both the host 12 (via the mother FPGA 31) or to the other nodes of the network. In this operation, the host 12 may be slaved to the process carried out in the daughter FPGA 32 in that some of the resultant data sent to the host 12 may be intermediate results stored in the host 12 as scratch pad memory and which is returned to the daughter FPGA 32 as operand data when the daughter FPGA 32 is ready. The mother FPGA 31 may receive from the other nodes (via the daughter FPGA 32 and the network links) packet headers requiring translation. As discussed herein above, such packet headers may define the type of process to be carried out next on operand data contained in the concurrent data packet. In this case the mother FPGA 31 translates the packet headers (or configures the daughter FPGA to do so) and can either configure the daughter FPGA 32 to carry out the process specified in the translation of the packet header or cause the corresponding data packet to be passed along in the network to the next node already configured to carry out the desired process.
  • FIG. 6 is a block diagram of a method of operating the embodiment of FIG. 3 and FIG. 7 is a corresponding flow diagram illustrating the flow of control and data signals through the embodiment of FIG. 3. In FIG. 6, the [0052] daughter FPGA 32 is initially configured, either from instructions stored in a non-volatile memory or from instructions from the host 12, to become a machine which can compile a program or algorithm into configuration bit files with which to dynamically reconfigure the mother FPGA 31 with each new program or algorithm to be executed. FIG. 5 illustrates how the embodiment of FIG. 3 may be operated following the initial steps of FIG. 4. In FIG. 5, the other nodes 11 of the virtual network 10 (and/or possibly the host 12) send to the daughter FPGA 32 programs, algorithms or instructions defining processes to be carried out on operand data. In response, the daughter FPGA 32 compiles such programs, algorithms or instructions into configuration bit files and causes the mother FPGA 31 to be reconfigured in accordance therewith. In the meantime, operand data is sent to the mother FPGA 31 from the host 12 and/or from other nodes of the network 10 (via the network links and the daughter FPGA 32). The mother FPGA 31 then carries out the desired process on the operand data to produce resultant data which it then sends to either or both the host 12 (via the daughter FPGA 32) or to the other nodes of the network. In this operation, the host 12 may be slaved to the process carried out in the mother FPGA 31 in that some of the resultant data sent to the host 12 may be intermediate results stored in the host 12 as scratch pad memory and which is returned to the mother FPGA 31 as operand data when the mother FPGA 31 is ready. What the daughter FPGA 32 receives from the other nodes via the network links may be packet headers requiring translation. As discussed herein above, such packet headers may define the type of process to be carried out next on operand data contained in the concurrent data packet. In this case the daughter FPGA 32 translates the packet headers (or configures the mother FPGA 31 to do so) and can either configure the mother FPGA 31 to carry out the process specified in the packet header or cause the corresponding data packet to be passed along in the network to the next node already configured to carry out the desired process.
  • The embodiment of FIG. 3 may be implemented in the manner illustrated, with the [0053] mother FPGA 31 being connected directly to the S-bus connector 35, provided the mother FPGA 31 is a high speed FPGA such as Xilinx FPGA part no. XC4028EX sold by Xilinx, Inc. However, if a slower FPGA is employed instead (such as Xilinx FPGA part no. XC4013E sold by Xilinx, Inc.), then data and address flow between the S-bus connector 35 and the mother FPGA 31 must be buffered in the manner illustrated in FIG. 8. While any appropriate one of various buffering schemes may be employed, in the buffering scheme of FIG. 8 32 bits of data flow bidirectionally between the connector 35 and the FPGA 31 through four 8-bit bidirectional buffers U4, U5, U6 and U7. Sixteen address bits are buffered unidirectionally (to the FPGA 31) through 8-bit unidirectional buffers U1 and U2 and three additional address bits are similarly buffered through a buffer U8. The address bits from the buffers U1 and U2 are also applied to the inputs of a programmable read-only memory (PROM) 82. Data direction control through the bidirectional buffers U4-U7 is effected through an 8-bit buffer U3 through which eight bits are connected from the FPGA 31 to the connector 35, seven of the 8 bits being connected also to a programmable array logic (PAL) device 84 whose outputs are connected to the ENABLE and DIRECTION control inputs of the bidirectional buffers U4-U7. The ENABLE and DIRECTION control inputs of a typical 8-bit bidirectional buffer are illustrated in FIG. 9. In addition to the three address bits buffered by the 8-bit buffer U8, this buffer also buffers certain one-bit S-bus control signals, including ADDRESS STROBE, CLOCK, BUS GRANT, BUS REQUEST and BUS SELECT. The CLOCK bit of U8 is connected to the output of a programmable oscillator 86.
  • The invention has been described in the above-referenced co-pending application with reference to embodiments in which executable operations or instructions of a user program are compiled into configuration bit files by certain reconfiguration software packages run either by the host or by the control section of FPGAs. Thus, the general concept disclosed in the above-referenced co-pending application is a method of operating as a real computer a reconfigurable logic array such as an FPGA (or plural FPGAs) of the type which are reconfigurable in accordance with a configuration bit file generated by the reconfiguration software package. The general method (illustrated in FIG. 10) performs an executable code defining a sequence of operations to be executed in sequence by executing the executable code operation-by-operation in order of the sequence. In particular, at the time of the execution of at least one of the operations of the sequence, the execution is accomplished by computing exclusively from the portion of the executable code defining the one operation a corresponding configuration bit file representative of the one operation in accordance with the reconfiguration software package or algorithm. Thereafter, the reconfigurable logic is reconfigured in accordance with the corresponding configuration bit file. The advantage is that the reconfigurable logic array operated in this manner becomes a real computer, a device capable of performing any previously undefined operation which a user may define to the computer at the time a corresponding instruction is to be executed in a sequence of instructions, and not before. That “any” such operation may be performed is limited only by the capability of the reconfiguration software package to represent any operation as an appropriate configuration bit file. Thus, this method can use the complete set of all possible configuration bit files of which the reconfiguration software package is capable of generating, so that the resulting computer (or “virtual computer”) is as versatile as any conventional machine in carrying out any previously undefined process or operation. [0054]
  • This is to be contrasted with methods for operating reconfigurable logic elements which do not provide a real computer because their configuration bit files are generated a priori before the user begins using the machine. In one proposal, a limited set of configuration bit files is initially generated and stored at known addresses in a large memory (whose size limits the number of sets of configuration bit files thus stored). Thereafter, a user may begin using the machine, but must restrict his programs to those operations capable of being defined within the limited set of configuration bit files previously stored in the memory. Such a limitation prevents this inferior method from providing a true computer. This inferior method is disclosed by Hastie et al., “The Implementation of Hardware Subroutines on Field Programmable Gate Arrays,” [0055] Proceedings of the IEEE 1990 Custom Integrated Circuits Conference, Boston, Mass., May 13-16, 1990, pages 31.4.1 through 31.4.4. In the publication by Hastie et al., a very limited number of configuration bit files are pre-loaded in a read-only memory and accessed by individual addresses of the memory, depending upon the type of operation to be run at a particular time. Thus the user of the Hastie et al. device must limit his operations to only those which are susceptible of being efficiently represented by the limited set of configuration bit files preloaded into the memory, a significant disadvantage.
  • The foregoing detailed description of the invention was made with reference to preferred embodiments in which the reconfiguration software is run for each operation or user instruction to compile it and thus obtain the requisite configuration bit file at the time of execution. As described, the reconfiguration software may be run in a host computer connected to the reconfigurable logic array or may be run in a processor-like configured control section of the reconfigurable logic array itself. [0056]
  • However, in another mode or species of the general method, at least some of the compiling of the user-instruction into a configuration bit file or precursor image thereof may have already been accomplished by the user himself in creating his program to be executed, the results (e.g., a paritally or fully computed configuration bit file compiled from a particular instruction or operation) having been inserted by the user into the executable program itself in lieu of the usual code. The user's executable program contains images of the successive operations to be performed, each image being a complete description in a programming language of the operation (e.g., a set of mathematical statements). The user may choose to compile at least one of these operation images into a corresponding configuration bit file for substitution into his program in lieu of the operation image. In this mode, the general method set forth above is just the same, including the step of “computing exclusively from the portion of the executable code defining the one operation a corresponding configuration bit file”, but in this mode entails extracting the partially (or fully) compiled instruction from the executable code as a precursor image of the desired configuration bit file, rather than computing it completely from scratch. In this latter mode, as in the one described in the co-pending application, the configuration bit file is computed (compiled or extracted) at the time of execution exclusively from the contents of that portion of the user's executable code defining the particular instruction or operation to be executed. After a fully downloadable configuration bit file has been computed (compiled or extracted) from the portion of the user's executable code defining the current instruction, it is downloaded to the reconfigurable logic element or array to bring about the requisite reconfiguring. The advantage is the same in both modes of the method, in that the correct configuration bit file for the desired operation is computed from the user program at the time of execution of that operation, provided it exists in the complete set of all configuration bit files of which the reconfiguration software package is capable of generating. Thus, whatever configuration bit file is sufficient for carrying out the operation is obtained at the time of execution without limiting the user's choice of operations. Accordingly, the virtual computer function provided by the method has a versatility limited only by the capacity of the latest or best reconfiguration software package available at the time. Thus, in both the general method and in the latter species of the general method, a heretofore unmeasured (possibly infinite) number of choices of different operations may be executed in sequential order by the reconfigurable logic array in the manner of a true computer. [0057]
  • In a typical implementation of the latter species of the general method, the portion of the user's executable code representing a particular instruction or operation contains the results of running all components of the reconfiguration software package except the downloading routine. Thus, at the time of execution the method requires running at least the downloading function of the reconfiguration software package, as disclosed by Casselman et al., “Hardware Object Programming on A Reconfigurable Computer, ” ______ 1994( ), pages ______. [0058]
  • Co-Pending Application Description
  • Referring now to FIG. 11, a basic cell or building block of a an array of FPGAs includes a pair of [0059] FPGAs 101, 103, which may be thought of as being located on opposite corners of a square, and a pair of FPINs 105, 107, which may be thought of as being located on the other opposing corners of the square. Each FPGA 101, 103 is connected to the two FPINs 105, 107, while each FPIN 105, 107 is connected to the two FPGAs 101, 103.
  • The internal logical architecture of each FPGA is reconfigurable to implement a user-selected algorithm or a portion of such an algorithm. Such reconfiguring may be achieved by processing a logical definition of the algorithm with a software package such as LDG software package distributed by the Supercomputing Research Center (SRC) to generate a file of configuration bits for each FPGA. Each FPGA is reconfigured by down-loading the corresponding configuration bit file into the FPGA, as will be described later in this specification. Each FPIN is reconfigured by using the bits specifying FPGA-to-FPGA connections in each configuration bit file produced by the LDG software package as an input to a software package such as FPID Pro developed by I-Cube Systems, Inc., to generate a file of configuration bits for each FPIN. Each FPIN is reconfigured by down-loading the corresponding configuration bit file into the FPIN, as will be described later in this specification. In this way, none of the internal logic resources of the FPGAs are wasted in effecting the FPGA-to-FPGA connections specified in the configuration bit files generated by the LDG software package. [0060]
  • In one implementation, each connection is between one bank of 32 user I/O pins of an FPGA and a corresponding bank of 32 user I/O pins of an FPIN, although the actual number of pins is a design choice. In this implementation, each FPGA is a Xilinx XC 4000 programmable gate array sold by Xilinx, Inc. at 2001 Logic Drive, San Jose, Calif. and each FPIN is an I-Cube IQ160 field programmable interconnect device sold by I-Cube Systems, Inc. at 2328-J Walsh Avenue, Santa Clara, Calif. [0061]
  • FIG. 12 illustrates an [0062] array 200 of FPGAs 202 and FPINs 204 comprising many elementary cells of the type illustrated in FIG. 1. The array 200 of FIG. 12 may be employed as a co-processor to enhance the performance of a host computer. However, in accordance with a further aspect of the present invention, the array 200 is comprised within a virtual computer illustrated in FIG. 12. The virtual computer of FIG. 12 is capable of successively reconfiguring the FPGAs and FPINs in the array 200 to perform successive algorithms, in analogy with a conventional microprocessor executing a set of successive instructions. However, each one of the successive algorithms performed by the virtual computer of FIG. 12 may correspond to many conventional instructions to be executed by a conventional microprocessor. Thus, rate at which the FPGAs and FPINs in the array 200 are successively reconfigured in performing a large computational task is less than the rate at which corresponding individual instructions would have to be loaded into a conventional microprocessor attempting to perform the same computational task at the same speed. In fact, therefore, by reconfiguring the FPGAs and FPINs in the array 200 at the maximum possible rate, the array 200 can perform a large computational task much faster than a conventional microprocessor.
  • For this purpose, the virtual computer of FIG. 12 includes a [0063] reconfigurable control section 206 governing the reconfiguration of all of the FPGAs and FPINs in the array 200 and capable of running software packages such as the LDG and FPID Pro software packages to generate the configuration bit files. Each configuration bit file thus generated is transmitted by the reconfigurable control section 206 to the corresponding FPGA or FPIN in the array 200.
  • The [0064] array 200 is connected to its left and to its right to respective columns 210, 212 of eight FPGAs (hereinafter, “column FPGAs”), alternate pairs of column FPGAs connected to pairs of dual port RAMs whose other ports are connected to the reconfigurable control section 206. A local bus 214 provides connection between the reconfigurable control section 206, a bus (VME) interface 216 and a main memory 218. The bus interface 216 is connected to a system bus 220. The 32-pin banks of the FPGAs 202 and FPINs 204 in the top row of the array 200 corresponding to the upward pointing arrows are connected to the 32-pin banks of the FPGAs 202 and FPINs 204 in the bottom row of the array 200 corresponding to the downward pointing arrows.
  • As illustrated in FIG. 13, each [0065] FPGA 202 in the array 200 has four banks 300, 302, 304, 306 of thirty-two user I/O pins on its four sides connected to corresponding banks of thirty-two pins of four different FPINs 204. In addition, each FPGA 202 has a clock signal pin 308 and a configuration bit input pin 310 on which it receives the corresponding configuration file generated by the LDG software package executed by the reconfigurable control section 206. Each FPIN 204 in the array 200 has four banks 312, 314, 316, 318 of thirty-two pins on its four sides connected to corresponding banks of thirty-two pins of four different FPGAs 202. In addition, each FPIN has a clock signal pin 320 and a configuration bit input pin 322 on which it receives the corresponding configuration file generated by the FPID Pro software package executed by the reconfigurable control section 206.
  • FIG. 14 illustrates a preferred embodiment of the [0066] reconfigurable control section 206. The reconfigurable control section 206 of FIG. 14 has ten FPGAs 401-410 each identical to the FPGAs 202 in the array 200. The ten FPGAs 401-410 are connected directly together in this embodiment without the use of FPINs. In accordance with one aspect of the invention, the ten FPGAs 401-410 are configured using the LDG software (run on an external host not shown in FIG. 14) to emulate a standard microprocessor (such as a Motorola 68000). Once this is completed, the reconfigurable control section 206 is then used to run the LDG and FPID Pro software packages to generate the configuration bit files for reconfiguring the FPGAs 202 and FPINs 204 of the array 200 in accordance with a process to be described later herein. In order to load the configuration bit streams or files into each of the FPGAs 202 and FPINs 204 independently or simultaneously, some of the user I/O pins 412, 414 of each of the last two FPGAs 409, 410 of the reconfigurable control section 206 serve as configuration bit output pins dedicated to transmitting the configuration bit files to different ones of the FPGAs 202 and FPINs 204 in the array 200. For this purpose, different ones of the configuration bit output pins 412, 414 are individually connected to different configuration bit input pins 310 of the FPGAs 202 while others of the configuration bit output pins 412, 414 are individually connected to different configuration bit input pins 322 of the FPINs 204. The reconfigurable control section 206 is programmed to output the various configuration bit output files it has generated for the various FPGAs 202 and FPINs 204 on corresponding ones of the configuration bit output pins 412, 414. In the implementation illustrated in FIGS. 2, 3 and 4, the array 200 consists of exactly 24 FPGAs and 24 FPINs. In addition (for reasons that will be discussed later in this specification), the array 200 is bounded on its left and right (as viewed in FIG. 12) by left and right columns 210, 212, respectively, of consisting exclusively of exactly 8 FPGAs in each column, for a total of 16 additional FPGAs. Thus, there is a total of 40 FPGAs and 24 FPINs controlled by the reconfigurable control section 206, requiring a total of 64 configuration bit output pins. In order to meet this requirement, the configuration bit output bits 412, 414 comprise one bank of thirty-two user I/O pins on each one of the last two FPGAs 409, 410 of the reconfigurable control section 206.
  • In FIG. 14, the [0067] FPGAs 401 in the top row and 406 in the bottom row are typical, but are rotated with respect to one another in the horizontal plane by 180 degrees. Each one has five banks (labelled OUT, IN, RIGHT, LEFT and INNER, respectively) of thirty-two pins each. In the reconfigurable control section 206, each one of the five banks participates in one of five connections. Specifically, the top five FPGAs 401, 402, 403, 404, 405 have their OUT banks connected to a local bus 214 while the bottom five FPGAs 406-410 have their OUT banks connected to the array 200. Of the latter, the OUT banks of the FPGAs 409 and 410 are the configuration bit output pins 412 and 414 discussed above, while the connection of the OUT banks of the FPGAs 406-408 to the array 200 will be discussed later in this specification. All ten FPGAs 401-410 have their IN banks of pairs of top and bottom FPGAs connected together, their INNER banks connected to an inner bus 418 and their LEFT and RIGHT banks connected to provide left-to-right pipeline connectivity. The inner bus 418 facilitates the reconfiguration of the array of FPGAs 401-410 in a microprocessor architecture capable of running object code compiled for a particular microprocessor. The LEFT bank of the FPGA 410 and the RIGHT bank of the FPGA 406 provide data ports, as do the local bus 214 and the inner bus 418.
  • The five banks of 32 pins of each [0068] FPGA 202 in the array 200 are allocated in the manner illustrated in FIGS. 2 and 3. Specifically, the four banks 300, 302, 304, 306 provide connection to the four adjacent FPINs 204 (i.e., to the top, bottom, left and right of each FPGA 202). A fifth bank 324 of thirty-two pins is divided in half, one sixteen-pin half bank 324 a being used for interconnection to the fifth banks of all FPGAs 202 in the same column using a column global bus 222. Thus, each column global bus is a 16-bit bus. The other sixteen-pin half bank 324 b is dedicated to control functions listed as “Permanently Dedicated Pins ” on page 34 of the Xilinx technical manual for the XC4000 FPGA entitled Xilinx Technical Data XC 4000 Logic Cell Array Family (1990).
  • List of 16 I/O pin used as dedicated pins in the Array [0069]
    TDI USED FOR BOUNDRY SCAN
    TDO
    TCK
    TMS
    M0 USED FOR CONFIGURATION MODE
    M1
    M2
    INIT CONFIGURATION CONTROL AND STATUS
    DIN CONFIGURATION DATA IN
    PGCK1-4 TIED TO SYSTEM CLOCK
    SGCK1-3 GLOBALLY TIED TOGETHER FOR GLOBAL
    MESSAGES.
  • The five banks of 32 pins of each FPIN [0070] 204 in the array 200 are allocated in the manner illustrated in FIGS. 2 and 3. Specifically, the four banks 312, 314, 316, 318 provide connection to the four adjacent FPGAs 202 (i.e., to the top, bottom, left and right of each FPIN 204). A fifth bank 326 of thirty-two pins is used for interconnection to all fifth banks of all FPINs 204 in the same row using a row global bus 224. Thus, each row global bus 224 is a 32-bit bus. There are four column busses 222 and four row busses 224. The row and column busses 222 and 224 enable global communication among the FPGAs 202 and the FPINs 204 respectively.
  • As described above with reference to FIG. 14, data flow between the [0071] reconfigurable control section 206 and the array 200 occurs at the 32-pin OUT banks of the FPGAs 406, 407 and 408 of the control section 206. For this purpose, array buses 226, 228 are connected to the 32-pin OUT banks of the FPGAs 406-408 and to the left and right FPGA columns 210 and 212 via dual port RAMs 230-245. The dual port RAMs 230-245 provide buffering between the data bursts received on the system bus 220 and the synchronous operation of the array 200.
  • The five 32-pin banks of each [0072] FPGA 202 in the left and right FPGA columns 210 and 212 may be labelled LEFT, RIGHT, OUT, IN and INNER in the same manner as the FPGA 406 of FIG. 14. With this analogy in mind, the connections between the dual port RAMs 230-245 and the left and right FPGA columns 210 and 212 are typified by the connection of the FPGA 202 a at the top of the right FPGA column 212, as follows. The FPGAs in each column 210, 212 are paired, the IN and INNER banks of each FPGA within a pair being connected together and to a respective one of a pair of the dual port RAMs. Thus, the FPGA 202 a is paired with the next FPGA down in the right FPGA column 212, namely the FPGA 202 b. In the specific case of the FPGA 202 a, the LEFT bank connects to the adjacent FPIN in the same row while the RIGHT bank is wrap-around connected to the left bank of the FPGA in the same row of the left FPGA column 210, the OUT bank is wrap-around connected to the OUT bank of the bottom FPGA of the right FPGA column 212. The OUT bank of the FPGA 202 b is connected to the OUT bank of the next FPGA down in the same column. Thus, the right and left FPGA columns 210 and 212 are connected around the array 200 to each other from left to right, as indicated by the arrows extending away from the array 200. This latter feature, along with the top to bottom wrap around connection between the top and bottom rows of FPGAs and FPINs in the array 200 (described previously herein), connects the entire array 200 on a three-dimensional surface like a cube or sphere.
  • Referring to FIG. 15, the [0073] system bus 220 is a VME bus in one implementation and the bus interface 216 includes a VME interface chip 500, namely a Cypress VIC64 driven by a 64 MHz oscillator 502, connected to the system bus 220 at one port and to the local bus 214 at another port. A boot-up FPGA 504 has one set of its pins connected to the local bus 214 and another set of its pins connected to a boot EEPROM 506. Furthermore, the boot-up FPGA 504 has ten configuration bit output pins 508 connected to the configuration bit input pins (corresponding to pin 310 of FIG. 13) of the ten FPGAs 401-410 of the reconfigurable control section 206. The EEPROM 506 contains instructions which control and configure the boot-up FPGA 504 when power is first applied. These instructions cause the boot-up FPGA 504 to transmit via the ten output pins 508 ten configuration files to the configuration bit input pins of the ten FPGAs 401-410 of the reconfigurable output section 206. In a preferred embodiment, the information stored in the boot-up EEPROM 506 corresponds to the configuration files necessary to configure the FPGAs 410-410 in a microprocessor architecture. The EEPROM also enables the boot-up FPGA 504 to control the VME interface chip 500 in conformance with the configuration of the VME system bus 220.
  • FIG. 16 illustrates how to configure the [0074] virtual computer 600 of FIG. 12 immediately upon completion of manufacture. Specifically, a host computer 602 is connected to the system bus and a disk drive 604 is also connected to the bus 220. Software 606 such as the LDG program for configuring an array of Xilinx FPGAs is stored in the disk drive 604. Also, information specifying the configuration bit output pins 504 a of the boot-up FPGA 504 and their assignment among the ten FPGAs of the reconfigurable control section 206 is stored in the disk drive 604. Finally, an array 610 of logic primitives corresponding to a selected microprocessor logic architecture (such as the logic architecture of the Motorola 68000 microprocessor) is stored on the disk drive 604. Then, the host computer 602 is instructed to run the LDG software package to process the array of logic primitives and generate configuration bit files for each of the ten FPGAs of the reconfigurable control section 206. These configuration bit files are then stored on the disk drive 604. Thereafter, they are used to program the boot-up EEPROM 506 so that the configuration files are downloaded into the corresponding control section FPGAs 401-410 and the system automatically configures the control section 206 to emulate the selected microprocessor each time it boots up. Thereafter, the system is a virtual computer ready to perform any user-defined algorithm.
  • As one option, the [0075] EEPROM 506 may store information enabling the system to boot up into one of several (e.g. eight) predetermined microprocessor configurations, depending upon a user-specified choice, enabling the user to instantly switch the system from one microprocessor architecture to another, as desired.
  • FIG. 17 illustrates one mode of operation of the virtual computer of the invention. The step of [0076] block 700 of FIG. 17 is to analyze and define the current algorithm to be performed. This step requires dividing the algorithm into a number of sub-algorithms corresponding to the number of FPGAs in the array 200, and defining logical connections between the sub-algorithms. Next, the step of block 702 of FIG. 17 is to use the FPID Pro software to produce the configuration file for the FPINs from the logical connections defined in the step of block 700. Then, block 704 of FIG. 17 is to use the LDG software to produce an FPGA output in Xact Design System Format for each FPGA in the array 200 from each one of the sub-algorithms defined in the step of block 700. Next, the step of block 706 is to use the Xact Design System software to produce the configuration files for the FPGAs. Next, the step of block 708 is to load the configuration files to the disk drive. Then, the step of block 710 is to send the configuration files from disk to configuration FPGAs 409, 410 in the control section 206. Next, the step of block 712 is to output the configuration file for each FPGA in the array on the corresponding pin in the two banks 412, 414 of configuration bit output pins in the control section 206. Last, step of block 714 is to output the configuration file for each FPIN in the array on the corresponding configuration bit output pin. The array is then enabled to perform the algorithm. Step 700 is then repeated for the next algorithm to be performed, and then the remaining steps 702 et seq. are repeated. In this manner a succession of algorithms are performed.
  • FIGS. 18[0077] a and 18 b are pin diagrams corresponding to one implementation of the elementary cell of FIG. 1. FIG. 19 is a pin diagram illustrating the connection of a pair of dual-port RAMs to a pair of FPGAs in the right FPGA column 212.
  • FIG. 20 illustrates an alternative embodiment of the [0078] array 200 in which each FPGA is connected not only to the four neighboring FPINs (as in FIG. 12) but also to the four neighboring FPGAs, while each FPIN is similarly connected not only to the four neighboring FPGAs (as in FIG. 12) but also to the four neighboring FPINs.
  • As described above, the FPIN is a multi-pin device which connects any one of its pins with any one of its other pins. The preferred embodiment of the FPIN is an array of two-channel general routing cells (GRCs) of the type illustrated in FIG. 21. This type of FPIN permits one set of configuration files (corresponding to a first algorithm to be performed) to control the FPIN while a second set of configuration files (corresponding to a second algorithm to be performed next) is shifted in through all the cells of the FPIN. The successive bits of the first configuration bit file arrive on data in A (DINA) [0079] line 1102, while the successive bits of the second configuration bit file arrive on data in B (DINB) line 1104. A clock signal arrives on clock (CLK) line 1108 and the A/B channel select bit arrives on line 1108. The DINA and DINB bits are stored respectively in D flip flops 1110 and 1112. The clock line 1108 and the channel select line 1108 are connected to the data and select inputs, respectively of a demultiplexer, whose Y0 and Y1 outputs are applied to the clock inputs of the D flip flops 1110 and 1112 respectively. The Q0 outputs of the D flip flops 1110 and 1112 are routed on data out A (DOUTA) and data out B (DOUTB) lines 1116 and 1118, respectively, to the next (DINA) and ( DINB lines 1102, 1104 of the next GRC cell in the array, and also to the D0 and D1 inputs, respectively, of a multiplexer 1120. The multiplexer 1120 applies one of its inputs, D0 or D1, to its Y output, depending upon the state of the A/B signal applied to the select input of the multiplexer 1120. The Y output of the multiplexer 1120 is a bit which determines whether a bi-state switch 1122 (connecting two pins via horizontal and vertical interconnects 1124, 1126) is on or off.
  • The GRC cell of FIG. 21 operates as follows: If the A/B bit is high, then the [0080] flip flop 1110 holding the A data (DINA) is clocked so that the A data propagates to the next GRC cell via the data A out (DOUTA) line 1116, while simultaneously the flip flop 1112 holding the B data is not clocked so that the B data is held stationary and does not propagate. Instead, the B data bit held in the flip flop 1112 is applied through the multiplexer 1120 to the control input of the switch 1122. This status is maintained as long is it takes one complete configuration bit file to serially propagate through all the GRC cells of the array, until the first bit arrives at the last GRC cell in the serial propagation path. Then, the A configuration data is in place and all the GRC cells in the array are ready to be switched over simultaneously to enable to A configuration data to reconfigure the array. This occurs whenever the A/B channel select signal reverses its state to a low logic state. The multiplexer now applies the clock signal to the flip flop 1112 so that the B data propagates to the next cell on the DOUTA line 1118 while the A data is held stationary in the flip flop 1110. Moreover, the A data stored in the flip flop 1110 is applied by the multiplexer 1120 to the control input of the switch 1122.
  • The [0081] switch 1122 is preferably a CMOS switch of the type illustrated in FIG. 12 in which the output from the multiplexer 1120 is inverted at the gate of the PMOS device but not at the gate of the NMOS device.
  • An array of individual GRC cells constituting an 8-pin FPIN in which any pin is programmably connectable to any other pin is illustrated in FIG. 23. Each GRC cell is a [0082] rectangular substrate 1300 with top layer metal patterns providing the horizontal and vertical interconnects 1124, 1126 and circuitry for the switch 1122 at the intersection of the horizontal and vertical interconnects and lower layer metal patterns providing the connections between the DOUTA, DOUTB lines of each cell to the DINA, DING lines of the next cell. In addition, a pass-through (unswitched) horizontal interconnect 1302 is provided parallel to and below the horizontal interconnect 1124. In the array of FIG. 23, a second type of cell is used to provide permanent connections, and is a square substrate 1304 with horizontal and vertical interconnect metal patterns permanently connected at their intersection at the center of the substrate 1304. Horizontal or vertical interconnects 1124, 1126 or 1302 of adjacent substrates whose ends are in registration are electrically connected. Each of the programmable substrates 1300 is directional in that data flow to the next cell in the lower layer metal patterns is along the direction of the vertical interconnect 1126, of the top layer metal pattern with the end of the vertical interconnect 1126 nearest the switch 1122 being considered the “output” end of the substrate 1300.
  • With the foregoing convention in mind, the layout of the cells in FIG. 23 is in a serpentine pattern, with eight permanent interconnection substrates placed in a diagonal line (block dots denoting the permanent connections). The lower layer metal patterns providing serial flow of configuration data bits through the array of FIG. 23 is illustrated in FIG. 24. It is the lower level metal pattern of FIG. 24 that connects the DOUTA and [0083] DOUTB lines 1116, 1118 of one GRC to the DINA and DINB lines 1102, 1104 of the next GRC. FIGS. 13 & 14 also indicate the serpentine pattern in which the GRC cell substrates 1300 are laid out. Generally, beginning at the bottom of the array, the programmable substrates 1300 are laid out in a vertical column end-to-end, the top of the column encountering a permanent connection substrate 1304, interfacing to its right with a horizontal row of programmable substrates 1300. At the right end of alternate rows, connection in the lower metal layer (FIG. 24) is provided to the right end of the next row down, and similarly at the bottom of alternate columns, connection in the lower level metal layer (FIG. 24) is provided to the bottom of the next column.
  • While the invention has been described in detail by specific reference to preferred embodiments, it is understood that variations and modifications thereof may be made without departing from the true spirit and scope of the invention. [0084]

Claims (1)

What is claimed is:
1. A virtual network comprising:
plural distributed virtual computers interconnected over a communication network of individual links;
each distributed virtual computer comprising at least two respective ports each being connected over a respective link to another respective distributed virtual computer in the network;
each distributed virtual computer being connected to or resident within its own host computer;
each distributed virtual computer comprising reconfigurable FPGA logic elements, at least one of the FPGA elements being configured to have control capability over at least some of the remaining FPGA elements to react to instructions received from a host or from other nodes on the network to re-configure FPGA elements in the computation array to carry out a required task.
US09/949,161 1992-07-29 2001-09-07 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs Abandoned US20020156998A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/949,161 US20020156998A1 (en) 1992-07-29 2001-09-07 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US92216792A 1992-07-29 1992-07-29
US35705994A 1994-12-14 1994-12-14
US08/685,158 US5684980A (en) 1992-07-29 1996-07-23 FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions
US09/353,522 US6289440B1 (en) 1992-07-29 1999-07-14 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs
US09/949,161 US20020156998A1 (en) 1992-07-29 2001-09-07 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/353,522 Continuation US6289440B1 (en) 1992-07-29 1999-07-14 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs

Publications (1)

Publication Number Publication Date
US20020156998A1 true US20020156998A1 (en) 2002-10-24

Family

ID=26999503

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/685,158 Expired - Lifetime US5684980A (en) 1992-07-29 1996-07-23 FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions
US09/949,161 Abandoned US20020156998A1 (en) 1992-07-29 2001-09-07 Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/685,158 Expired - Lifetime US5684980A (en) 1992-07-29 1996-07-23 FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions

Country Status (1)

Country Link
US (2) US5684980A (en)

Cited By (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004093354A1 (en) * 2003-04-15 2004-10-28 Canon Kabushiki Kaisha Reconfigurable optoelectronic circuit
US6915518B1 (en) * 2000-07-24 2005-07-05 Xilinx, Inc. System and method for runtime reallocation of PLD resources
US7206733B1 (en) * 2000-10-26 2007-04-17 Cypress Semiconductor Corporation Host to FPGA interface in an in-circuit emulation system
US20090077363A1 (en) * 2003-05-15 2009-03-19 Applianz Technologies, Inc. Systems and methods of creating and accessing software simulated computers
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US7761845B1 (en) 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US7825688B1 (en) 2000-10-26 2010-11-02 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7893724B2 (en) 2004-03-25 2011-02-22 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US20110109931A1 (en) * 2009-04-13 2011-05-12 Canon Kabushiki Kaisha Data processing apparatus and method for controlling the apparatus
US20110184844A1 (en) * 2006-06-19 2011-07-28 Exegy Incorporated High Speed Processing of Financial Information Using FPGA Devices
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US8069428B1 (en) 2001-10-24 2011-11-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US8078894B1 (en) 2007-04-25 2011-12-13 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8082531B2 (en) 2004-08-13 2011-12-20 Cypress Semiconductor Corporation Method and an apparatus to design a processing system using a graphical user interface
US8085100B2 (en) 2005-02-04 2011-12-27 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US8120408B1 (en) 2005-05-05 2012-02-21 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8296557B1 (en) * 2009-10-30 2012-10-23 Xilinx, Inc. Providing multiple selectable configuration sources for programmable integrated circuits with fail safe mechanism
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors
US8402313B1 (en) 2002-05-01 2013-03-19 Cypress Semiconductor Corporation Reconfigurable testing system and method
US8499270B1 (en) 2007-04-25 2013-07-30 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8515682B2 (en) 2005-03-03 2013-08-20 Washington University Method and apparatus for performing similarity searching
US8527949B1 (en) 2001-11-19 2013-09-03 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US8620881B2 (en) 2003-05-23 2013-12-31 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US8762249B2 (en) 2008-12-15 2014-06-24 Ip Reservoir, Llc Method and apparatus for high-speed processing of financial market depth data
US8843408B2 (en) 2006-06-19 2014-09-23 Ip Reservoir, Llc Method and system for high speed options pricing
CN105700956A (en) * 2014-11-28 2016-06-22 国际商业机器公司 Distributed job processing method and system
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US9990393B2 (en) 2012-03-27 2018-06-05 Ip Reservoir, Llc Intelligent feed switch
US10037568B2 (en) 2010-12-09 2018-07-31 Ip Reservoir, Llc Method and apparatus for managing orders in financial markets
US10121196B2 (en) 2012-03-27 2018-11-06 Ip Reservoir, Llc Offload processing of data packets containing financial market data
CN108885543A (en) * 2016-01-26 2018-11-23 Icat有限责任公司 Processor with reconfigurable algorithm pipeline kernel and algorithmic match assembly line compiler
US10229453B2 (en) 2008-01-11 2019-03-12 Ip Reservoir, Llc Method and system for low latency basket calculation
US10572824B2 (en) 2003-05-23 2020-02-25 Ip Reservoir, Llc System and method for low latency multi-functional pipeline with correlation logic and selectively activated/deactivated pipelined data processing engines
US10650452B2 (en) 2012-03-27 2020-05-12 Ip Reservoir, Llc Offload processing of data packets
US10846624B2 (en) 2016-12-22 2020-11-24 Ip Reservoir, Llc Method and apparatus for hardware-accelerated machine learning
US10909623B2 (en) 2002-05-21 2021-02-02 Ip Reservoir, Llc Method and apparatus for processing financial information at hardware speeds using FPGA devices
US10990391B2 (en) 2018-03-31 2021-04-27 Micron Technology, Inc. Backpressure control using a stop signal for a multi-threaded, self-scheduling reconfigurable computing fabric
US10990392B2 (en) 2018-03-31 2021-04-27 Micron Technology, Inc. Efficient loop execution for a multi-threaded, self-scheduling reconfigurable computing fabric
US11003451B2 (en) 2018-03-31 2021-05-11 Micron Technology, Inc. Execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11010161B2 (en) 2018-03-31 2021-05-18 Micron Technology, Inc. Multiple types of thread identifiers for a multi-threaded, self-scheduling reconfigurable computing fabric
US11048656B2 (en) 2018-03-31 2021-06-29 Micron Technology, Inc. Multi-threaded, self-scheduling reconfigurable computing fabric
US11093251B2 (en) 2017-10-31 2021-08-17 Micron Technology, Inc. System having a hybrid threading processor, a hybrid threading fabric having configurable computing elements, and a hybrid interconnection network
US11119768B2 (en) 2018-03-31 2021-09-14 Micron Technology, Inc. Conditional branching control for a multi-threaded, self-scheduling reconfigurable computing fabric
US11150900B2 (en) 2019-08-28 2021-10-19 Micron Technology, Inc. Execution or write mask generation for data selection in a multi-threaded, self-scheduling reconfigurable computing fabric
US11182264B1 (en) * 2020-12-18 2021-11-23 SambaNova Systems, Inc. Intra-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
US11200096B1 (en) 2021-03-26 2021-12-14 SambaNova Systems, Inc. Resource allocation for reconfigurable processors
US11237880B1 (en) 2020-12-18 2022-02-01 SambaNova Systems, Inc. Dataflow all-reduce for reconfigurable processor systems
US11275710B2 (en) 2018-03-31 2022-03-15 Micron Technology, Inc. Loop thread order execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11288074B2 (en) 2018-03-31 2022-03-29 Micron Technology, Inc. Loop execution control for a multi-threaded, self-scheduling reconfigurable computing fabric using a reenter queue
US20220222085A1 (en) * 2019-06-11 2022-07-14 Smh Technologies S.R.L. Apparatus for the programming of electronic devices
US11392740B2 (en) 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
US11436672B2 (en) 2012-03-27 2022-09-06 Exegy Incorporated Intelligent switch for processing financial market data
US11494331B2 (en) 2019-09-10 2022-11-08 Cornami, Inc. Reconfigurable processor circuit architecture
US11573834B2 (en) 2019-08-22 2023-02-07 Micron Technology, Inc. Computational partition for a multi-threaded, self-scheduling reconfigurable computing fabric
US11609798B2 (en) 2020-12-18 2023-03-21 SambaNova Systems, Inc. Runtime execution of configuration files on reconfigurable processors with varying configuration granularity
US11782729B2 (en) 2020-08-18 2023-10-10 SambaNova Systems, Inc. Runtime patching of configuration files
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5802290A (en) * 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5872945A (en) * 1993-07-26 1999-02-16 Intel Corporation MX bus translation to new system bus protocol
US5794062A (en) * 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US6741494B2 (en) 1995-04-21 2004-05-25 Mark B. Johnson Magnetoelectronic memory element with inductively coupled write wires
US6140838A (en) 1995-04-21 2000-10-31 Johnson; Mark B. High density and high speed magneto-electronic logic family
US6023565A (en) * 1996-03-29 2000-02-08 Xilinx, Inc. Method for configuring circuits over a data communications link
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5784636A (en) * 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US6023564A (en) * 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
US5968161A (en) * 1996-08-29 1999-10-19 Altera Corporation FPGA based configurable CPU additionally including second programmable section for implementation of custom hardware support
US6173438B1 (en) * 1997-08-18 2001-01-09 National Instruments Corporation Embedded graphical programming system
US6311149B1 (en) 1997-08-18 2001-10-30 National Instruments Corporation Reconfigurable test system
US6971066B2 (en) * 1997-08-18 2005-11-29 National Instruments Corporation System and method for deploying a graphical program on an image acquisition device
US6802053B1 (en) * 1997-08-18 2004-10-05 National Instruments Corporation Graphical programming system with distributed block diagram execution and front panel display
US6219628B1 (en) * 1997-08-18 2001-04-17 National Instruments Corporation System and method for configuring an instrument to perform measurement functions utilizing conversion of graphical programs into hardware implementations
US6784903B2 (en) 1997-08-18 2004-08-31 National Instruments Corporation System and method for configuring an instrument to perform measurement functions utilizing conversion of graphical programs into hardware implementations
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6108760A (en) * 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US5995744A (en) * 1997-11-24 1999-11-30 Xilinx, Inc. Network configuration of programmable circuits
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6134703A (en) * 1997-12-23 2000-10-17 Lattice Semiconductor Corporation Process for programming PLDs and embedded non-volatile memories
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US7016811B2 (en) * 2001-08-15 2006-03-21 National Instruments Corporation Network-based system for configuring a programmable hardware element in a measurement system using hardware configuration programs generated based on a user specification
US7085670B2 (en) * 1998-02-17 2006-08-01 National Instruments Corporation Reconfigurable measurement system utilizing a programmable hardware element and fixed hardware resources
DE19807872A1 (en) * 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US6687865B1 (en) 1998-03-25 2004-02-03 On-Chip Technologies, Inc. On-chip service processor for test and debug of integrated circuits
US6226735B1 (en) 1998-05-08 2001-05-01 Broadcom Method and apparatus for configuring arbitrary sized data paths comprising multiple context processing elements
US6145020A (en) * 1998-05-14 2000-11-07 Advanced Technology Materials, Inc. Microcontroller incorporating an enhanced peripheral controller for automatic updating the configuration date of multiple peripherals by using a ferroelectric memory array
GB9814017D0 (en) 1998-06-29 1998-08-26 Sgs Thomson Microelectronics Design of an application specific processor (ASP)
GB9814014D0 (en) * 1998-06-29 1998-08-26 Sgs Thomson Microelectronics Design of an application specific processor (ASP)
GB9814015D0 (en) 1998-06-29 1998-08-26 Sgs Thomson Microelectronics Design of an application specific processor (ASP)
EP1351154A2 (en) * 1998-11-20 2003-10-08 Altera Corporation Reconfigurable programmable logic device computer system
US6243810B1 (en) * 1998-11-25 2001-06-05 Intel Corporation Method and apparatus for communicating a configuration sequence throughout an integrated circuit chip
WO2000049496A1 (en) 1999-02-15 2000-08-24 Koninklijke Philips Electronics N.V. Data processor with a configurable functional unit and method using such a data processor
US6407576B1 (en) 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US6622233B1 (en) 1999-03-31 2003-09-16 Star Bridge Systems, Inc. Hypercomputer
GB2352548B (en) 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US7937665B1 (en) 2000-06-13 2011-05-03 National Instruments Corporation System and method for automatically generating a graphical program to implement a prototype
US6438737B1 (en) * 2000-02-15 2002-08-20 Intel Corporation Reconfigurable logic for a computer
US6326806B1 (en) 2000-03-29 2001-12-04 Xilinx, Inc. FPGA-based communications access point and system for reconfiguration
US8640027B2 (en) * 2000-06-13 2014-01-28 National Instruments Corporation System and method for configuring a hardware device to execute a prototype
US6510546B1 (en) 2000-07-13 2003-01-21 Xilinx, Inc. Method and apparatus for pre-routing dynamic run-time reconfigurable logic cores
EP1356400A2 (en) 2000-08-07 2003-10-29 Altera Corporation Inter-device communication interface
US7343594B1 (en) 2000-08-07 2008-03-11 Altera Corporation Software-to-hardware compiler with symbol set inference analysis
ATE498158T1 (en) * 2000-11-06 2011-02-15 Broadcom Corp RECONFIGURABLE PROCESSING SYSTEM AND METHOD
AU2002234212A1 (en) 2001-01-03 2002-08-19 University Of Southern California System level applications of adaptive computing (slaac) technology
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US7249242B2 (en) 2002-10-28 2007-07-24 Nvidia Corporation Input pipeline registers for a node in an adaptive computing engine
US7653710B2 (en) 2002-06-25 2010-01-26 Qst Holdings, Llc. Hardware task manager
US8843928B2 (en) 2010-01-21 2014-09-23 Qst Holdings, Llc Method and apparatus for a general-purpose, multiple-core system for implementing stream-based computations
US7752419B1 (en) 2001-03-22 2010-07-06 Qst Holdings, Llc Method and system for managing hardware resources to implement system functions using an adaptive computing architecture
US7962716B2 (en) 2001-03-22 2011-06-14 Qst Holdings, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US7133822B1 (en) 2001-03-29 2006-11-07 Xilinx, Inc. Network based diagnostic system and method for programmable hardware
US20040068329A1 (en) * 2001-05-04 2004-04-08 Mykland Robert Keith Method and apparatus for general purpose computing
US6577678B2 (en) 2001-05-08 2003-06-10 Quicksilver Technology Method and system for reconfigurable channel coding
US6912706B1 (en) 2001-08-15 2005-06-28 Xilinx, Inc. Instruction processor and programmable logic device cooperative computing arrangement and method
US6889172B2 (en) * 2001-08-15 2005-05-03 National Instruments Corporation Network-based system for configuring a measurement system using software programs generated based on a user specification
US7046635B2 (en) 2001-11-28 2006-05-16 Quicksilver Technology, Inc. System for authorizing functionality in adaptable hardware devices
US6986021B2 (en) 2001-11-30 2006-01-10 Quick Silver Technology, Inc. Apparatus, method, system and executable module for configuration and operation of adaptive integrated circuitry having fixed, application specific computational elements
US8412915B2 (en) 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US7215701B2 (en) 2001-12-12 2007-05-08 Sharad Sambhwani Low I/O bandwidth method and system for implementing detection and identification of scrambling codes
US7403981B2 (en) * 2002-01-04 2008-07-22 Quicksilver Technology, Inc. Apparatus and method for adaptive multimedia reception and transmission in communication environments
US7327396B2 (en) * 2002-04-10 2008-02-05 National Instruments Corporation Smart camera with a plurality of slots for modular expansion capability through a variety of function modules connected to the smart camera
US7328414B1 (en) 2003-05-13 2008-02-05 Qst Holdings, Llc Method and system for creating and programming an adaptive computing engine
US7660984B1 (en) 2003-05-13 2010-02-09 Quicksilver Technology Method and system for achieving individualized protected space in an operating system
US7263602B2 (en) * 2002-08-16 2007-08-28 Carnegie Mellon University Programmable pipeline fabric utilizing partially global configuration buses
US8108656B2 (en) 2002-08-29 2012-01-31 Qst Holdings, Llc Task definition for specifying resource requirements
US20040243384A1 (en) * 2002-10-10 2004-12-02 Nang-Ping Chen Complete graph interconnect structure for the hardware emulator
US7937591B1 (en) 2002-10-25 2011-05-03 Qst Holdings, Llc Method and system for providing a device which can be adapted on an ongoing basis
US8276135B2 (en) 2002-11-07 2012-09-25 Qst Holdings Llc Profiling of software and circuit designs utilizing data operation analyses
US7225301B2 (en) 2002-11-22 2007-05-29 Quicksilver Technologies External memory controller node
US8024548B2 (en) * 2003-02-18 2011-09-20 Christopher Joseph Daffron Integrated circuit microprocessor that constructs, at run time, integrated reconfigurable logic into persistent finite state machines from pre-compiled machine code instruction sequences
US8190858B2 (en) * 2003-02-25 2012-05-29 Topside Research, Llc Interface device for interfacing a main processor to processing engines and classifier engines, and methods for configuring and operating interface devices
US7581081B2 (en) 2003-03-31 2009-08-25 Stretch, Inc. Systems and methods for software extensible multi-processing
US8001266B1 (en) 2003-03-31 2011-08-16 Stretch, Inc. Configuring a multi-processor system
US7590829B2 (en) * 2003-03-31 2009-09-15 Stretch, Inc. Extension adapter
US7613900B2 (en) * 2003-03-31 2009-11-03 Stretch, Inc. Systems and methods for selecting input/output configuration in an integrated circuit
US6981232B1 (en) 2003-05-23 2005-12-27 Xilinx, Inc. Method and system for integrating a program and a processor into an application specific processor
JP4665760B2 (en) * 2003-06-25 2011-04-06 日本電気株式会社 Electronic computer, semiconductor integrated circuit, control method, program generation method, and program
US7373642B2 (en) * 2003-07-29 2008-05-13 Stretch, Inc. Defining instruction extensions in a standard programming language
US7418575B2 (en) * 2003-07-29 2008-08-26 Stretch, Inc. Long instruction word processing with instruction extensions
US7237055B1 (en) * 2003-10-22 2007-06-26 Stretch, Inc. System, apparatus and method for data path routing configurable to perform dynamic bit permutations
US7526632B1 (en) 2003-10-22 2009-04-28 Stretch, Inc. System, apparatus and method for implementing multifunctional memory in reconfigurable data path processing
US7779177B2 (en) * 2004-08-09 2010-08-17 Arches Computing Systems Multi-processor reconfigurable computing system
US7299339B2 (en) 2004-08-30 2007-11-20 The Boeing Company Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework
JP4348546B2 (en) * 2005-01-20 2009-10-21 ソニー株式会社 Signal processing apparatus, signal processing program, and recording medium
US7739647B2 (en) * 2006-09-12 2010-06-15 Infosys Technologies Ltd. Methods and system for configurable domain specific abstract core
US8122238B2 (en) * 2007-04-23 2012-02-21 National Instruments Corporation Multi-channel algorithm infrastructure for programmable hardware elements
US8291390B2 (en) * 2008-07-30 2012-10-16 National Instruments Corporation Testing a graphical program intended for a programmable hardware element
US20100287571A1 (en) 2009-05-07 2010-11-11 Cypress Semiconductor Corporation Development, programming, and debugging environment
US20120005693A1 (en) * 2010-01-08 2012-01-05 Cypress Semiconductor Corporation Development, Programming, and Debugging Environment
US8364946B2 (en) * 2010-03-22 2013-01-29 Ishebabi Harold Reconfigurable computing system and method of developing application for deployment on the same
US20120265515A1 (en) * 2011-04-12 2012-10-18 Reuven Weintraub Method and system and computer program product for accelerating simulations
US8959469B2 (en) 2012-02-09 2015-02-17 Altera Corporation Configuring a programmable device using high-level language
US9135131B2 (en) 2012-02-16 2015-09-15 National Instruments Corporation Customizing operation of a test instrument based on information from a system under test
US8788882B2 (en) 2012-02-16 2014-07-22 National Instruments Corporation Customizing code modules of software and programmable hardware for a test instrument
US10270709B2 (en) 2015-06-26 2019-04-23 Microsoft Technology Licensing, Llc Allocating acceleration component functionality for supporting services
US10027543B2 (en) 2015-04-17 2018-07-17 Microsoft Technology Licensing, Llc Reconfiguring an acceleration component among interconnected acceleration components
US10511478B2 (en) 2015-04-17 2019-12-17 Microsoft Technology Licensing, Llc Changing between different roles at acceleration components
US10198294B2 (en) 2015-04-17 2019-02-05 Microsoft Licensing Technology, LLC Handling tenant requests in a system that uses hardware acceleration components
US9792154B2 (en) 2015-04-17 2017-10-17 Microsoft Technology Licensing, Llc Data processing system having a hardware acceleration plane and a software plane
US10296392B2 (en) 2015-04-17 2019-05-21 Microsoft Technology Licensing, Llc Implementing a multi-component service using plural hardware acceleration components
US10216555B2 (en) 2015-06-26 2019-02-26 Microsoft Technology Licensing, Llc Partially reconfiguring acceleration components
US10171169B2 (en) * 2016-06-07 2019-01-01 Ciena Corporation Software programmable flexible and dynamic optical transceivers
US11436186B2 (en) 2017-06-22 2022-09-06 Icat Llc High throughput processors
CN115114221B (en) * 2022-08-30 2022-12-06 湖南矩阵电子科技有限公司 Data processing system and method based on heterogeneous multi-core architecture

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE34363E (en) * 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
CA1269726A (en) * 1985-09-11 1990-05-29 Pilkington Micro-Electronics Limited Semi-conductor integrated circuits/systems
US4786904A (en) * 1986-12-15 1988-11-22 Zoran Corporation Electronically programmable gate array having programmable interconnect lines
USRE34444E (en) * 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
US5109353A (en) * 1988-12-02 1992-04-28 Quickturn Systems, Incorporated Apparatus for emulation of electronic hardware system

Cited By (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6915518B1 (en) * 2000-07-24 2005-07-05 Xilinx, Inc. System and method for runtime reallocation of PLD resources
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US7236921B1 (en) 2000-10-26 2007-06-26 Cypress Semiconductor Corporation In-circuit emulator with gatekeeper based halt control
US10261932B2 (en) 2000-10-26 2019-04-16 Cypress Semiconductor Corporation Microcontroller programmable system on a chip
US10248604B2 (en) 2000-10-26 2019-04-02 Cypress Semiconductor Corporation Microcontroller programmable system on a chip
US7825688B1 (en) 2000-10-26 2010-11-02 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US8736303B2 (en) 2000-10-26 2014-05-27 Cypress Semiconductor Corporation PSOC architecture
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US9766650B2 (en) 2000-10-26 2017-09-19 Cypress Semiconductor Corporation Microcontroller programmable system on a chip with programmable interconnect
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8358150B1 (en) 2000-10-26 2013-01-22 Cypress Semiconductor Corporation Programmable microcontroller architecture(mixed analog/digital)
US7206733B1 (en) * 2000-10-26 2007-04-17 Cypress Semiconductor Corporation Host to FPGA interface in an in-circuit emulation system
US10725954B2 (en) 2000-10-26 2020-07-28 Monterey Research, Llc Microcontroller programmable system on a chip
US8555032B2 (en) 2000-10-26 2013-10-08 Cypress Semiconductor Corporation Microcontroller programmable system on a chip with programmable interconnect
US10020810B2 (en) 2000-10-26 2018-07-10 Cypress Semiconductor Corporation PSoC architecture
US9843327B1 (en) 2000-10-26 2017-12-12 Cypress Semiconductor Corporation PSOC architecture
US8793635B1 (en) 2001-10-24 2014-07-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US10466980B2 (en) 2001-10-24 2019-11-05 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8069428B1 (en) 2001-10-24 2011-11-29 Cypress Semiconductor Corporation Techniques for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US10698662B2 (en) 2001-11-15 2020-06-30 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US8370791B2 (en) 2001-11-19 2013-02-05 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US8527949B1 (en) 2001-11-19 2013-09-03 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US8533677B1 (en) 2001-11-19 2013-09-10 Cypress Semiconductor Corporation Graphical user interface for dynamically reconfiguring a programmable device
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US8402313B1 (en) 2002-05-01 2013-03-19 Cypress Semiconductor Corporation Reconfigurable testing system and method
US10909623B2 (en) 2002-05-21 2021-02-02 Ip Reservoir, Llc Method and apparatus for processing financial information at hardware speeds using FPGA devices
US7761845B1 (en) 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
WO2004093354A1 (en) * 2003-04-15 2004-10-28 Canon Kabushiki Kaisha Reconfigurable optoelectronic circuit
US7200293B2 (en) 2003-04-15 2007-04-03 Canon Kabushiki Kaisha Reconfigurable optoelectronic circuit
US20060120668A1 (en) * 2003-04-15 2006-06-08 Cabob Kabushiki Kaisha Reconfigurable optoelectronic circuit
US7992143B2 (en) 2003-05-15 2011-08-02 Applianz Technologies, Inc. Systems and methods of creating and accessing software simulated computers
US8490080B2 (en) 2003-05-15 2013-07-16 Applianz Technologies, Inc. Systems and methods of creating and accessing software simulated computers
US20090077363A1 (en) * 2003-05-15 2009-03-19 Applianz Technologies, Inc. Systems and methods of creating and accessing software simulated computers
US10929152B2 (en) 2003-05-23 2021-02-23 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US8620881B2 (en) 2003-05-23 2013-12-31 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US8751452B2 (en) 2003-05-23 2014-06-10 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US10572824B2 (en) 2003-05-23 2020-02-25 Ip Reservoir, Llc System and method for low latency multi-functional pipeline with correlation logic and selectively activated/deactivated pipelined data processing engines
US11275594B2 (en) 2003-05-23 2022-03-15 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US8768888B2 (en) 2003-05-23 2014-07-01 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US10719334B2 (en) 2003-05-23 2020-07-21 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US10346181B2 (en) 2003-05-23 2019-07-09 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US9898312B2 (en) 2003-05-23 2018-02-20 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US9176775B2 (en) 2003-05-23 2015-11-03 Ip Reservoir, Llc Intelligent data storage and processing using FPGA devices
US7893724B2 (en) 2004-03-25 2011-02-22 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US8082531B2 (en) 2004-08-13 2011-12-20 Cypress Semiconductor Corporation Method and an apparatus to design a processing system using a graphical user interface
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US8539398B2 (en) 2004-08-13 2013-09-17 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8085100B2 (en) 2005-02-04 2011-12-27 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US8515682B2 (en) 2005-03-03 2013-08-20 Washington University Method and apparatus for performing similarity searching
US10957423B2 (en) 2005-03-03 2021-03-23 Washington University Method and apparatus for performing similarity searching
US9547680B2 (en) 2005-03-03 2017-01-17 Washington University Method and apparatus for performing similarity searching
US10580518B2 (en) 2005-03-03 2020-03-03 Washington University Method and apparatus for performing similarity searching
US8120408B1 (en) 2005-05-05 2012-02-21 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US8717042B1 (en) 2006-03-27 2014-05-06 Cypress Semiconductor Corporation Input/output multiplexer bus
US9672565B2 (en) 2006-06-19 2017-06-06 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US8655764B2 (en) 2006-06-19 2014-02-18 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US10817945B2 (en) 2006-06-19 2020-10-27 Ip Reservoir, Llc System and method for routing of streaming data as between multiple compute resources
US9916622B2 (en) 2006-06-19 2018-03-13 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US11182856B2 (en) 2006-06-19 2021-11-23 Exegy Incorporated System and method for routing of streaming data as between multiple compute resources
US8626624B2 (en) 2006-06-19 2014-01-07 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US8843408B2 (en) 2006-06-19 2014-09-23 Ip Reservoir, Llc Method and system for high speed options pricing
US10504184B2 (en) 2006-06-19 2019-12-10 Ip Reservoir, Llc Fast track routing of streaming data as between multiple compute resources
US8600856B2 (en) 2006-06-19 2013-12-03 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US10467692B2 (en) 2006-06-19 2019-11-05 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US20110184844A1 (en) * 2006-06-19 2011-07-28 Exegy Incorporated High Speed Processing of Financial Information Using FPGA Devices
US10360632B2 (en) 2006-06-19 2019-07-23 Ip Reservoir, Llc Fast track routing of streaming data using FPGA devices
US8595104B2 (en) 2006-06-19 2013-11-26 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US8407122B2 (en) 2006-06-19 2013-03-26 Exegy Incorporated High speed processing of financial information using FPGA devices
US9582831B2 (en) 2006-06-19 2017-02-28 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US8478680B2 (en) 2006-06-19 2013-07-02 Exegy Incorporated High speed processing of financial information using FPGA devices
US8458081B2 (en) 2006-06-19 2013-06-04 Exegy Incorporated High speed processing of financial information using FPGA devices
US10169814B2 (en) 2006-06-19 2019-01-01 Ip Reservoir, Llc High speed processing of financial information using FPGA devices
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors
US9323794B2 (en) 2006-11-13 2016-04-26 Ip Reservoir, Llc Method and system for high performance pattern indexing
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8040266B2 (en) 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8482313B2 (en) 2007-04-17 2013-07-09 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US8476928B1 (en) 2007-04-17 2013-07-02 Cypress Semiconductor Corporation System level interconnect with programmable switching
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US8092083B2 (en) 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8499270B1 (en) 2007-04-25 2013-07-30 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8078894B1 (en) 2007-04-25 2011-12-13 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US8909960B1 (en) 2007-04-25 2014-12-09 Cypress Semiconductor Corporation Power management architecture, method and configuration system
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US10229453B2 (en) 2008-01-11 2019-03-12 Ip Reservoir, Llc Method and system for low latency basket calculation
US10062115B2 (en) 2008-12-15 2018-08-28 Ip Reservoir, Llc Method and apparatus for high-speed processing of financial market depth data
US10929930B2 (en) 2008-12-15 2021-02-23 Ip Reservoir, Llc Method and apparatus for high-speed processing of financial market depth data
US11676206B2 (en) 2008-12-15 2023-06-13 Exegy Incorporated Method and apparatus for high-speed processing of financial market depth data
US8768805B2 (en) 2008-12-15 2014-07-01 Ip Reservoir, Llc Method and apparatus for high-speed processing of financial market depth data
US8762249B2 (en) 2008-12-15 2014-06-24 Ip Reservoir, Llc Method and apparatus for high-speed processing of financial market depth data
US20110109931A1 (en) * 2009-04-13 2011-05-12 Canon Kabushiki Kaisha Data processing apparatus and method for controlling the apparatus
US8745564B2 (en) * 2009-04-13 2014-06-03 Canon Kabushiki Kaisha Data processing apparatus and method for controlling the apparatus
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8296557B1 (en) * 2009-10-30 2012-10-23 Xilinx, Inc. Providing multiple selectable configuration sources for programmable integrated circuits with fail safe mechanism
US11397985B2 (en) 2010-12-09 2022-07-26 Exegy Incorporated Method and apparatus for managing orders in financial markets
US10037568B2 (en) 2010-12-09 2018-07-31 Ip Reservoir, Llc Method and apparatus for managing orders in financial markets
US11803912B2 (en) 2010-12-09 2023-10-31 Exegy Incorporated Method and apparatus for managing orders in financial markets
US10963962B2 (en) 2012-03-27 2021-03-30 Ip Reservoir, Llc Offload processing of data packets containing financial market data
US11436672B2 (en) 2012-03-27 2022-09-06 Exegy Incorporated Intelligent switch for processing financial market data
US10872078B2 (en) 2012-03-27 2020-12-22 Ip Reservoir, Llc Intelligent feed switch
US9990393B2 (en) 2012-03-27 2018-06-05 Ip Reservoir, Llc Intelligent feed switch
US10121196B2 (en) 2012-03-27 2018-11-06 Ip Reservoir, Llc Offload processing of data packets containing financial market data
US10650452B2 (en) 2012-03-27 2020-05-12 Ip Reservoir, Llc Offload processing of data packets
CN105700956A (en) * 2014-11-28 2016-06-22 国际商业机器公司 Distributed job processing method and system
CN108885543A (en) * 2016-01-26 2018-11-23 Icat有限责任公司 Processor with reconfigurable algorithm pipeline kernel and algorithmic match assembly line compiler
US10846624B2 (en) 2016-12-22 2020-11-24 Ip Reservoir, Llc Method and apparatus for hardware-accelerated machine learning
US11416778B2 (en) 2016-12-22 2022-08-16 Ip Reservoir, Llc Method and apparatus for hardware-accelerated machine learning
US11880687B2 (en) 2017-10-31 2024-01-23 Micron Technology, Inc. System having a hybrid threading processor, a hybrid threading fabric having configurable computing elements, and a hybrid interconnection network
US11579887B2 (en) 2017-10-31 2023-02-14 Micron Technology, Inc. System having a hybrid threading processor, a hybrid threading fabric having configurable computing elements, and a hybrid interconnection network
US11093251B2 (en) 2017-10-31 2021-08-17 Micron Technology, Inc. System having a hybrid threading processor, a hybrid threading fabric having configurable computing elements, and a hybrid interconnection network
US11868163B2 (en) 2018-03-31 2024-01-09 Micron Technology, Inc. Efficient loop execution for a multi-threaded, self-scheduling reconfigurable computing fabric
US11675598B2 (en) 2018-03-31 2023-06-13 Micron Technology, Inc. Loop execution control for a multi-threaded, self-scheduling reconfigurable computing fabric using a reenter queue
US11275710B2 (en) 2018-03-31 2022-03-15 Micron Technology, Inc. Loop thread order execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11003451B2 (en) 2018-03-31 2021-05-11 Micron Technology, Inc. Execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11288074B2 (en) 2018-03-31 2022-03-29 Micron Technology, Inc. Loop execution control for a multi-threaded, self-scheduling reconfigurable computing fabric using a reenter queue
US10990392B2 (en) 2018-03-31 2021-04-27 Micron Technology, Inc. Efficient loop execution for a multi-threaded, self-scheduling reconfigurable computing fabric
US10990391B2 (en) 2018-03-31 2021-04-27 Micron Technology, Inc. Backpressure control using a stop signal for a multi-threaded, self-scheduling reconfigurable computing fabric
US11586571B2 (en) 2018-03-31 2023-02-21 Micron Technology, Inc. Multi-threaded, self-scheduling reconfigurable computing fabric
US11119768B2 (en) 2018-03-31 2021-09-14 Micron Technology, Inc. Conditional branching control for a multi-threaded, self-scheduling reconfigurable computing fabric
US11675734B2 (en) 2018-03-31 2023-06-13 Micron Technology, Inc. Loop thread order execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11010161B2 (en) 2018-03-31 2021-05-18 Micron Technology, Inc. Multiple types of thread identifiers for a multi-threaded, self-scheduling reconfigurable computing fabric
US11635959B2 (en) 2018-03-31 2023-04-25 Micron Technology, Inc. Execution control of a multi-threaded, self-scheduling reconfigurable computing fabric
US11567766B2 (en) 2018-03-31 2023-01-31 Micron Technology, Inc. Control registers to store thread identifiers for threaded loop execution in a self-scheduling reconfigurable computing fabric
US11531543B2 (en) 2018-03-31 2022-12-20 Micron Technology, Inc. Backpressure control using a stop signal for a multi-threaded, self-scheduling reconfigurable computing fabric
US11048656B2 (en) 2018-03-31 2021-06-29 Micron Technology, Inc. Multi-threaded, self-scheduling reconfigurable computing fabric
US20220222085A1 (en) * 2019-06-11 2022-07-14 Smh Technologies S.R.L. Apparatus for the programming of electronic devices
US11803394B2 (en) * 2019-06-11 2023-10-31 Smh Technologies S.R.L. Apparatus for the programming of electronic devices
US11573834B2 (en) 2019-08-22 2023-02-07 Micron Technology, Inc. Computational partition for a multi-threaded, self-scheduling reconfigurable computing fabric
US11915057B2 (en) 2019-08-22 2024-02-27 Micron Technology, Inc. Computational partition for a multi-threaded, self-scheduling reconfigurable computing fabric
US11150900B2 (en) 2019-08-28 2021-10-19 Micron Technology, Inc. Execution or write mask generation for data selection in a multi-threaded, self-scheduling reconfigurable computing fabric
US11494331B2 (en) 2019-09-10 2022-11-08 Cornami, Inc. Reconfigurable processor circuit architecture
US11907157B2 (en) 2019-09-10 2024-02-20 Cornami, Inc. Reconfigurable processor circuit architecture
US11886377B2 (en) 2019-09-10 2024-01-30 Cornami, Inc. Reconfigurable arithmetic engine circuit
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11782729B2 (en) 2020-08-18 2023-10-10 SambaNova Systems, Inc. Runtime patching of configuration files
US11237880B1 (en) 2020-12-18 2022-02-01 SambaNova Systems, Inc. Dataflow all-reduce for reconfigurable processor systems
US11625284B2 (en) 2020-12-18 2023-04-11 SambaNova Systems, Inc. Inter-node execution of configuration files on reconfigurable processors using smart network interface controller (smartnic) buffers
US11625283B2 (en) 2020-12-18 2023-04-11 SambaNova Systems, Inc. Inter-processor execution of configuration files on reconfigurable processors using smart network interface controller (SmartNIC) buffers
US11847395B2 (en) 2020-12-18 2023-12-19 SambaNova Systems, Inc. Executing a neural network graph using a non-homogenous set of reconfigurable processors
US11609798B2 (en) 2020-12-18 2023-03-21 SambaNova Systems, Inc. Runtime execution of configuration files on reconfigurable processors with varying configuration granularity
US11392740B2 (en) 2020-12-18 2022-07-19 SambaNova Systems, Inc. Dataflow function offload to reconfigurable processors
US11886931B2 (en) 2020-12-18 2024-01-30 SambaNova Systems, Inc. Inter-node execution of configuration files on reconfigurable processors using network interface controller (NIC) buffers
US11182264B1 (en) * 2020-12-18 2021-11-23 SambaNova Systems, Inc. Intra-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
US11886930B2 (en) 2020-12-18 2024-01-30 SambaNova Systems, Inc. Runtime execution of functions across reconfigurable processor
US11893424B2 (en) 2020-12-18 2024-02-06 SambaNova Systems, Inc. Training a neural network using a non-homogenous set of reconfigurable processors
US11782760B2 (en) 2021-02-25 2023-10-10 SambaNova Systems, Inc. Time-multiplexed use of reconfigurable hardware
US11200096B1 (en) 2021-03-26 2021-12-14 SambaNova Systems, Inc. Resource allocation for reconfigurable processors

Also Published As

Publication number Publication date
US5684980A (en) 1997-11-04

Similar Documents

Publication Publication Date Title
US6289440B1 (en) Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs
US20020156998A1 (en) Virtual computer of plural FPG's successively reconfigured in response to a succession of inputs
US6092174A (en) Dynamically reconfigurable distributed integrated circuit processor and method
US7266672B2 (en) Method and apparatus for retiming in a network of multiple context processing elements
US5915123A (en) Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6108760A (en) Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6990566B2 (en) Multi-channel bi-directional bus network with direction sideband bit for multiple context processing elements
US5872919A (en) Computer communication network having a packet processor with an execution unit which is variably configured from a programmable state machine and logic
US5943481A (en) Computer communication network having a packet processor with subsystems that are variably configured for flexible protocol handling
US7613900B2 (en) Systems and methods for selecting input/output configuration in an integrated circuit
US8368423B2 (en) Heterogeneous computer architecture based on partial reconfiguration
JPH08503111A (en) Improved configurable cell array
US20010029515A1 (en) Method and apparatus for configuring arbitrary sized data paths comprising multiple context processing elements
JPH11251442A (en) Reconfigurable processor device
CN110825691A (en) Inter-die communication for programmable logic devices
Puttmann et al. Giganoc-a hierarchical network-on-chip for scalable chip-multiprocessors
US20040054818A1 (en) Flexible results pipeline for processing element
US20070260805A1 (en) Computer with a Reconfigurable Architecture for Integrating a Global Cellular Automaton
US11016822B1 (en) Cascade streaming between data processing engines in an array
JP4160956B2 (en) Programmable logic device
US6298430B1 (en) User configurable ultra-scalar multiprocessor and method
Devaux et al. R2noc: dynamically reconfigurable routers for flexible networks on chip
WO2008061162A1 (en) Hybrid computing platform having fpga components with embedded processors
US7512873B2 (en) Parallel processing apparatus dynamically switching over circuit configuration
Fiack et al. Dynamic parallel reconfiguration for self-adaptive hardware architectures

Legal Events

Date Code Title Description
AS Assignment

Owner name: VIRTUAL COMPUTER CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CASSELMAN, STEVEN;REEL/FRAME:012824/0948

Effective date: 20020408

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: DRC COMPUTER CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:VIRTUAL COMPUTER CORPORATION;REEL/FRAME:016172/0604

Effective date: 20041209

AS Assignment

Owner name: TAROFISS DATA LIMITED LIABILITY COMPANY, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DRC COMPUTER CORPORATION;REEL/FRAME:022695/0114

Effective date: 20090113