US20030001282A1 - Metal barrier behavior by sic:h deposition on porous materials - Google Patents

Metal barrier behavior by sic:h deposition on porous materials Download PDF

Info

Publication number
US20030001282A1
US20030001282A1 US10/183,810 US18381002A US2003001282A1 US 20030001282 A1 US20030001282 A1 US 20030001282A1 US 18381002 A US18381002 A US 18381002A US 2003001282 A1 US2003001282 A1 US 2003001282A1
Authority
US
United States
Prior art keywords
value
atomic percent
dielectric layer
atomic
sealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/183,810
Other versions
US6541842B2 (en
Inventor
Herman Meynen
William Weidner
Francesca Iacopi
Stephane Malhouitre
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Priority to US10/183,810 priority Critical patent/US6541842B2/en
Assigned to DOW CORNING CORPORATION reassignment DOW CORNING CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WEIDNER, WILLIAM KENNETH, IACOPI, FRANCESCA, MALHOUITRE, STEPHANE, MEYNEN, HERMAN
Publication of US20030001282A1 publication Critical patent/US20030001282A1/en
Application granted granted Critical
Publication of US6541842B2 publication Critical patent/US6541842B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to the use of a sealing dielectric layer applied between a porous dielectric layer and a metal diffusion barrier layer.
  • the sealing dielectric layer closes the pores on the surface and sidewalls of the porous dielectric layer.
  • This invention allows the use of a thin metal diffusion barrier layer without creating pinholes in the metal diffusion barrier layer or without diffusion inside bulk of the porous material.
  • PVD Physical Vapor Deposition
  • TaN metal barrier materials like TaN are used to prevent interconnect metals, in particular copper (Cu) from diffusing into the dielectric layer on semiconductor devices.
  • Dk dielectric constant
  • 4-4.2 what is typically achieved for PECVD oxide dielectric films.
  • the spin-on dielectrics can be divided in two groups, the organic and the inorganic spin-on dielectric materials.
  • the CVD dielectric materials currently use an organosilane/organooxysilane precursor as active agent in combination with an oxidation agent to generate a low-k dielectric film. Regardless of how the low Dk films are produced, the low Dk films have a certain amount of porosity or intramolecular spacing.
  • the porosity in the low Dk films can cause problems with the metal diffusion barrier (e.g. the TaN layer) because there are pores inside and/or on top of the material.
  • the metal diffusion barrier e.g. the TaN layer
  • closed or open pore materials because closed pores will be etched open during the patterning of damascene structures.
  • Both open and closed pore type of materials are sensitive to increased “pinhole” formation in the metal diffusion barrier sputtered layer on top of these patterns.
  • the pinhole formation may lead to reliability problems and potential paths for diffusion and degradation of the metal interconnects and the dielectric. Because of this, a thick layer of metal diffusion barrier is required to seal the pores and to avoid “pinholes”.
  • Amorphous dielectric materials do not result in pinholes or deposition of the metal diffusion barrier layer in the bulk porous material.
  • This invention relates to the use of a sealing dielectric layer applied between the porous dielectric layer and the metal diffusion barrier layer.
  • the sealing dielectric layer closes the pores on the surface and/or on the sidewall of the porous dielectric layer.
  • This invention allows the use of thin metal diffusion barrier (e.g. TaN) layer without creating “pinholes” in the metal diffusion barrier layer.
  • the present invention relates to an improved integrated circuit having greater reliability.
  • the circuit comprises a subassembly of solid-state devices formed typically on or in a silicon substrate.
  • Metal wiring formed from conductive metals connects the devices within the subassembly.
  • the use of a sealing dielectric layer avoids pinhole formation in the metal diffusion barrier.
  • FIG. 1 is a partial cross-section of a semiconductor device according to this invention formed using single damascene technology.
  • FIG. 2 is a partial cross-section of a semiconductor device according to this invention formed using dual damascene technology.
  • FIG. 3 is a partial cross-section of a semiconductor device according to this invention formed using subtractive aluminum technology.
  • This invention pertains to the use of sealing dielectric layer having a composition of Si x C y H z (“Si x C y H z film”) where x has a value of 10 to 50, preferably 25 to 35 atomic percent, y has a value of 1-66, preferably 30-40 atomic % and z has a value of 0.1-66, preferably 25-35 atomic %; and x+y+z>90 atomic %.
  • the sealing dielectric layer is used to avoid the pinhole formation in a metal diffusion barrier and to avoid diffusion of metal atoms into a porous dielectric layer.
  • the porous dielectric layer typically has a total porosity of 10 to 60% of the film where the connectivity of the pores is between 0 and 100%.
  • the use of the sealing dielectric layer in forming the semiconductor device increases the number of process steps by 2 but the use of a cluster tool can make the approach more cost effective where a CVD and etch chamber are added to the sputtering or CVD/ALCVD metal barrier cluster.
  • the thickness of the sealing dielectric layer is typically 8-12 nm but can be between 1 and 50 nm.
  • the thickness of the sealing dielectric layer will be dependent of the thickness of the metal diffusion barrier, which is dependent of the step coverage, and aspect ratio of the patterns and the nature of the porous dielectric layer.
  • 10 nm Ionized Metal Plasma (“IMP”) PVD TaN is used then 10 nm of Si x C y :H z is required in the case of a porous dielectric layer produced from hydrogen silsesquioxane resin according to U.S. Pat. No. 6,231,989.
  • IMP Ionized Metal Plasma
  • FIG. 1 represents a circuit assembly produced by single damascene technology.
  • the sealing dielectric layer ( 1 ) is sealing and covering the pores in the porous dielectric layer ( 2 ). If no etch/CMP stop ( 3 ) and hard mask ( 4 ) are used then the sealing dielectric layer would also seal and cover the pores on the top surface of the porous dielectric layer.
  • the horizontal sealing dielectric layers at the bottom and the top of the interconnect opening ( 1 A) are removed and the metal diffusion barrier ( 7 ) is then applied over the sealing dielectric layer within the interconnect opening ( 6 ).
  • the metal wiring ( 5 ), in this case copper (Cu) is then added into the interconnect opening ( 6 ) to fill the opening.
  • Another layer may then be formed by covering the metal wiring ( 5 ) with a metal wiring barrier ( 8 ).
  • Metal wiring barrier layers ( 8 ) are known in the art. For example, U.S. Pat. No. 5,818,071, hereby incorporated by reference discloses metal wiring barrier layers of amorphous silicon carbide. The porous dielectric layer ( 2 ) is applied over the metal wiring barrier ( 8 ).
  • An optional etch/CMP stop ( 3 ) and hard mask ( 4 ) are applied over the porous dielectric and interconnect openings are thereafter formed by removing the etch/CMP stop ( 3 ) and sacrificial hard mask ( 1 ), the porous dielectric layer ( 2 ), and the metal diffusion dielectric barrier ( 8 ) down to the metal wiring ( 5 ) of the previous layer.
  • the sealing dielectric layer ( 1 ) is then applied.
  • the sealing dielectric layer at the top and the bottom of the interconnect opening ( 1 A) are removed and the metal diffusion barrier ( 7 ) is then applied over the sealing dielectric layer within the interconnect opening ( 6 ).
  • the metal wiring ( 5 ), in this case copper (Cu) is then added into the interconnect opening ( 6 ) to fill the opening.
  • FIG. ( 1 ) represents two single damascene levels where one level is completely processed including filling by the metal wiring ( 5 ) and chemical mechanical polish (CMP).
  • CMP chemical mechanical polish
  • the processing is limited on the top level to patterning and the deposition of sealing dielectric layer (e.g. Si x C y :H z ) (e.g. interconnect openings ( 6 ) are not formed in the top layer).
  • FIG. 2 represents a dual damascene structure, independent of the patterning approach (via first, partial via, trench first, dual hard mask patterning, etc.) that can be applied.
  • the porous dielectric layer ( 2 ) is sealed and covered by the sealing dielectric (Si x C y :H z ) layer ( 1 ), to seal the pores in the sidewalls of the porous dielectric layer ( 2 ) and to avoid pinhole formation in the metal diffusion barrier layer ( 7 ), not shown.
  • the sealing dielectric layer ( 1 ) will also avoid in case a CVD or ALCVD metal diffusion barrier ( 7 ) is deposited that metal will penetrate through the pores when they are connected.
  • FIG. 3 a partial cross section of a device formed using subtractive A 1 technology.
  • the sealing dielectric layer ( 1 ) can be applied to seal the pores of the porous dielectric layer ( 2 ) of the wall of the interconnect opening ( 6 ) (via wall) to avoid pinholes in the metal diffusion barrier layer ( 7 ) (e.g. sputtered Ti or Ti/TiN layer).
  • the pinholes in the metal diffusion barrier ( 7 ) may lead to interaction of WF 6 with Ti in case W plug technology is applied to form the via metal ( 9 ).
  • the technology is also applicable for hot aluminum to achieve a reliable flow of the interconnect metal.
  • the interconnect metal will not flow well if Ti is not covering the sidewall and bottom of the interconnect opening ( 6 ).
  • the sealing dielectric layer ( 1 ) will cover the bottom of the interconnect opening ( 6 ) for all 3 described devices.
  • the bottom of the sealing dielectric layer ( 1 A) can be removed by using sputtering or etching like a soft sputter etch or dry etching so a good contact is made between all metal interconnects.
  • This means that the sealing dielectric layer ( 1 ) can be removed from on top of the porous dielectric layer and in the bottom of the interconnect opening ( 6 ). There may more materials removed on the top than in the bottom of the interconnect opening ( 6 ).
  • some of the hard mask ( 4 ) may be removed due to A/R depended effects during sputtering or etching.
  • This invention is not intended to be limited to the application of the sealing dielectric layer in the described structures.
  • Alternative structures where a Si x C y :H z film provides sealing of patterned structures in an integrated circuit may also be used herein. It is also applicable for flat panel displays (FPD), microsystem and optical devices.
  • FPD flat panel displays
  • the material used for the metal wiring layer is not limited to copper or aluminum interconnects. Additionally, the metal wiring layers can be silver, gold, alloys, superconductors and others.
  • the dielectric sealing layer on integrated circuit subassemblies are generally used together with a metal diffusion barrier depending of the type of interconnect structure and/or metal that is used.
  • Methods for depositing the sealing dielectric layers are known in the art. The specific method utilized is not critical. Examples of such processes include plasma enhanced vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), sub atmospheric chemical vapor deposition (SACVD), chemical vapor deposition techniques such as conventional CVD, photochemical vapor deposition, electron cyclotron resonance (ECR), jet vapor deposition, etc. and a variety of physical vapor deposition techniques such as sputtering, electron beam evaporation, etc. These processes involve either the addition of energy (in the form of heat, plasma, etc.) to a vaporized species to cause the desired reaction or the focusing of energy on a solid sample of the material to cause its deposition.
  • PECVD plasma enhanced vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • SACVD sub atmospheric
  • a sealing dielectric layer having the composition of Si a O b C c :H d where a has a value of 10 to 33, preferably 18 to 20 atomic %, b has a value of 1 to 40, preferably 18 to 21 atomic percent, c has a value of 1 to 66, preferably 31 to 38 atomic % and d has a value of 0.1 to 60, preferably 25 to 32 atomic %; and a+b+c+d ⁇ 90 % atomic %; and C/Si ⁇ 0.5 and H/C>0.5 would be useful herein.
  • Si a O b C c H d films have a lower dielectric constant layers and thus reduce any negative impact on the effective dielectric constant.
  • the sealing dielectric layer it can be can be comprised of layers of Si x C y :H z and Si a O b C c :H d to assure no degradation to the porous material.
  • a controlled amount of oxygen may be present in the deposition chamber.
  • the oxygen may be controlled by the type of oxygen providing gas used, or by the amount of oxygen providing gas that is used. If too much oxygen is present in the deposition chamber a silicon oxide film with a stoichiometry close to SiO 2 will be produced and the dielectric constant will be higher than desired and the sealing properties will not be achieved without degrading the porous dielectric layer.
  • Oxygen providing gases include, but are not limited to air, ozone, oxygen, nitrous oxide and nitric oxide, preferably nitrous oxide.
  • the amount of oxygen providing gas is typically less than 5 volume parts oxygen providing gas per volume part of silicon containing compound, more preferably from 0.01 to 4.5 volume parts of oxygen providing gas per volume part of silicon containing compound.
  • One skilled in the art will be able to readily determine the amount of oxygen providing gas based on the type of oxygen providing gas and the deposition conditions.
  • oxygen may be introduced by the use of silicon containing compounds that contain oxygen, for example, 2,4,6,8-tetramethylcyclotetrasiloxane, 2,4,6,8,10-pentamethylcyclopentasiloxane.
  • Silicon containing compounds useful in producing the sealing dielectric layer include, but are not limited to silanes, organosilanes, polycarbosilanes, cyclic siloxanes, and linear siloxanes.
  • Useful silicon containing compounds are disclosed in detail in U.S. Pat. No. 6,162,742, herein incorporated by reference for it's teaching of silicon containing compounds.
  • the silicon containing compounds typically contain a unit having the formula R—Si where the R group is selected from a hydrogen atom, a fluorine atom, a fluoro substituted organo group, or an organo group.
  • R is preferably an alkyl group, more preferably a methyl group.
  • the Si atom may be bonded to additional R groups (organo silanes), other Si atoms through hydrocarbon groups (polycarbosilanes) or other Si atoms through O atoms (siloxanes).
  • Preferred silicon containing compounds are those that are gases or liquids near room temperature and can be volatilized above about 10 Torr.
  • the silicon containing compounds useful in producing the sealing dielectric layer may be exemplified by, but not limited to, silane, tetrafluorosilane, trifluoromethyl trifluorosilane, methylsilane, dimethylsilane trimethylsilane, tetramethylsilane, disilanomethane, bis(methylsilano)methane, 1,2-disilanoethane, 1,2-bis(methylsilano)ethane, 2,2-disilanopropane, 1,3,5-trisilano-2,4,6-trimethylene, 1,3-dimethylsiloxane, 1,3-bis(silanomethylene)disiloxane, bis(1-methyldisiloxanyl)propane, 2,4,6,8-tetramethylcyclotetrasiloxane, 2,4,6,8,10-pentamethylcyclopentasiloxane, 1,3,5,7-tetrasilano-2,6-
  • carbon may be introduced through the use of a hydrocarbon such as methane.
  • FIGS. 1, 2 and 3 represent the elements identified FIGS. 1, 2 and 3 . These elements are not limited to the specific designs in the figures.
  • Si x C y :H z where x has a value of 10-50, preferably 25-35 atomic percent, y has a value of 1-66, preferably 30-40 atomic % and z has a value of 0.1-66, preferably 25-35 atomic %; and x+y+z ⁇ 90 atomic %; or Si a O b C c :H d where a has a value of 10 to 33, preferably 18 to 20 atomic %, b has a value of 1 to 40, preferably 18 to 21 atomic percent, c has a value of 1 to 66, preferably 31 to 38 atomic % and d has a value of 0.1 to 60, preferably 25 to 32 atomic %; and a+b+c+d ⁇ 90 atomic % and C/Si ⁇ 0.5 and H/C>0.5; or Si e N f C g :H h where e has a value of 10 to 33,
  • Porous dielectric layer Porous dielectric layer. Porosity of 10 to 60% where the connectivity is between 1 and 100%. May be produced from Porous SiLKTM, MesoELKTM, XLKTM, NanoglassTM, JSRLKDTM, ZirconTM materials and by CVD methods such as OrionTM. Methods of producing are known in the art.
  • Etch stop Materials are typically silicon nitride, silicon carbide, SiO 2 .
  • materials are suitable based on etch selectivity to the porous material.
  • [0036] 4 Sacrificial hard mask. Materials are typically silicon nitride, silicon carbide, Sio 2 . One skilled in the art will know which material is suitable based on the etch selectivity to the underlying material.
  • Metal wiring Produced from copper, aluminum silver, gold, alloys, superconductors and other conductive metals.
  • the metal wiring can be produced by CVD, physical vapor deposition (PVD), or electrochemical depositionmethods or combinations
  • Interconnect openings Sometimes referred to as vias or trenches. Produced by removing all layers above a wiring metal and exposing at least some of the surface of the wiring metal. Methods for forming interconnect openings are well known in the art.
  • Metal diffusion barrier Useful materials for forming the metal diffusion barrier are known in the art such as Ta, TaN, Ti, TiN, TiSiN, WN, WCN or combinations thereof
  • the metal diffusion barrier may be applied by techniques known in the art such as sputtering (i.e. PVD), chemical vapor deposition (CVD) or atomic layer chemical vapor deposition (ALCVD).
  • Via Metal This metal is used to connect the various wiring layers.
  • the metal can be the same as the metal used to form the metal wiring ( 6 ) or can be different.
  • Examples of via metals include, but are not limited to Cu, W, Al.
  • the sealing layer provides a better surface for the metal diffusion barrier layer than that which is provided with the porous dielectric layer.
  • a 20 nm film of TaN was deposited by PVD on the trenches and sidewalls of a semiconductor device having a porous low k dielectric layer produced by the method of U.S. Pat. No. 6,231,989 formed thereon.
  • the device was then subjected to a 20′′ dip test in 1% HF.
  • SEM results showed a high density of pinholes in the barrier layer through which the acid could easily diffuse and etch the low-k layer.
  • Evaluation by Ellipsometric Porosimetry showed toluene adsorption. Further evaluations showed that a film of TaN having a thickness of at least 30 nm would be required to seal the porous layer.
  • a 10 nm SiC film was deposited by PECVD using trimethylsilane on the trenches and sidewalls of a semiconductor device having a porous low k dielectric layer produced by the method of U.S. Pat. No. 6,231,989 formed thereon.
  • a 10 nm TaN film was formed on the SiC layer using the same process as used in Comparative Example 1. The resulting device was then subjected to a 1′ dip test in 1% HF. SEM results showed no results of etching in the low-k layer and evaluation by Ellipsometric Porosimetry showed no toluene adsorption indicating that the pores were sealed.

Abstract

A sealing dielectric layer is applied between a porous dielectric layer and a metal diffusion barrier layer. The sealing dielectric layer closes the pores on the surface and sidewalls of the porous dielectric layer. This invention allows the use of a thin metal diffusion barrier layer without creating pinholes in the metal diffusion barrier layer. The sealing dielectric layer is a CVD deposited film having the composition SixCy:Hz.

Description

  • This application claims the benefit of U.S. Provisional Application No. 60/302,469 filed Jul. 3, 2001.[0001]
  • FIELD OF THE INVENTION
  • This invention relates to the use of a sealing dielectric layer applied between a porous dielectric layer and a metal diffusion barrier layer. The sealing dielectric layer closes the pores on the surface and sidewalls of the porous dielectric layer. This invention allows the use of a thin metal diffusion barrier layer without creating pinholes in the metal diffusion barrier layer or without diffusion inside bulk of the porous material. [0002]
  • BACKGROUND OF THE INVENTION
  • Traditionally, Physical Vapor Deposition (PVD) metal barrier materials like TaN are used to prevent interconnect metals, in particular copper (Cu) from diffusing into the dielectric layer on semiconductor devices. The trend to scale down design rules has led to the requirement to start using dielectric layers with a dielectric constant (Dk) lower than 4-4.2 (what is typically achieved for PECVD oxide dielectric films). As the industry starts to use dielectric layers having lower Dk values a certain amount of porosity will be required to achieve the lower Dk value especially if films below a Dk of 2.6. (“porous dielectric films”) The Dk will depend on the amount of porosity and also on the resin nature. [0003]
  • There are two groups of low dielectric materials, the spin-on dielectrics and CVD dielectric materials. The spin-on dielectrics can be divided in two groups, the organic and the inorganic spin-on dielectric materials. The CVD dielectric materials currently use an organosilane/organooxysilane precursor as active agent in combination with an oxidation agent to generate a low-k dielectric film. Regardless of how the low Dk films are produced, the low Dk films have a certain amount of porosity or intramolecular spacing. [0004]
  • The porosity in the low Dk films can cause problems with the metal diffusion barrier (e.g. the TaN layer) because there are pores inside and/or on top of the material. There is no difference between closed or open pore materials because closed pores will be etched open during the patterning of damascene structures. Both open and closed pore type of materials are sensitive to increased “pinhole” formation in the metal diffusion barrier sputtered layer on top of these patterns. The pinhole formation may lead to reliability problems and potential paths for diffusion and degradation of the metal interconnects and the dielectric. Because of this, a thick layer of metal diffusion barrier is required to seal the pores and to avoid “pinholes”. Another problem is that in the case of metal barrier formation by Atomic Layer Chemical Vapor Deposition (AL CVD), in an open pore the metal barrier will be deposited inside the bulk of the low dielectric. Amorphous dielectric materials do not result in pinholes or deposition of the metal diffusion barrier layer in the bulk porous material. [0005]
  • This invention relates to the use of a sealing dielectric layer applied between the porous dielectric layer and the metal diffusion barrier layer. The sealing dielectric layer closes the pores on the surface and/or on the sidewall of the porous dielectric layer. This invention allows the use of thin metal diffusion barrier (e.g. TaN) layer without creating “pinholes” in the metal diffusion barrier layer. [0006]
  • SUMMARY OF THE INVENTION
  • The present invention relates to an improved integrated circuit having greater reliability. The circuit comprises a subassembly of solid-state devices formed typically on or in a silicon substrate. Metal wiring formed from conductive metals connects the devices within the subassembly. A sealing dielectric layer having a composition of Si[0007] xCy:Hz, where x has a value of 10-50, preferably 25-35 atomic percent, y has a value of 1-66, preferably 30-40 atomic % and z has a value of 0.1-66, preferably 25-35 atomic %; and x+y+z≧90 atomic % is formed on the patterned porous dielectric films. The use of a sealing dielectric layer avoids pinhole formation in the metal diffusion barrier.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a partial cross-section of a semiconductor device according to this invention formed using single damascene technology. [0008]
  • FIG. 2 is a partial cross-section of a semiconductor device according to this invention formed using dual damascene technology. [0009]
  • FIG. 3 is a partial cross-section of a semiconductor device according to this invention formed using subtractive aluminum technology.[0010]
  • DETAILED DESCRIPTION OF THE INVENTION
  • This invention pertains to the use of sealing dielectric layer having a composition of Si[0011] xCyHz (“SixCyHz film”) where x has a value of 10 to 50, preferably 25 to 35 atomic percent, y has a value of 1-66, preferably 30-40 atomic % and z has a value of 0.1-66, preferably 25-35 atomic %; and x+y+z>90 atomic %. The sealing dielectric layer is used to avoid the pinhole formation in a metal diffusion barrier and to avoid diffusion of metal atoms into a porous dielectric layer. The porous dielectric layer typically has a total porosity of 10 to 60% of the film where the connectivity of the pores is between 0 and 100%.
  • The use of the sealing dielectric layer in forming the semiconductor device increases the number of process steps by 2 but the use of a cluster tool can make the approach more cost effective where a CVD and etch chamber are added to the sputtering or CVD/ALCVD metal barrier cluster. [0012]
  • The thickness of the sealing dielectric layer is typically 8-12 nm but can be between 1 and 50 nm. The thickness of the sealing dielectric layer will be dependent of the thickness of the metal diffusion barrier, which is dependent of the step coverage, and aspect ratio of the patterns and the nature of the porous dielectric layer. For example, if 10 nm Ionized Metal Plasma (“IMP”) PVD TaN is used then 10 nm of Si[0013] xCy:Hz is required in the case of a porous dielectric layer produced from hydrogen silsesquioxane resin according to U.S. Pat. No. 6,231,989. One skilled in the art will be able to readily determine the thickness of the sealing dielectric layer.
  • The integrated circuit subassemblies used in the process of this invention are not critical and nearly any which are known in the art and/or produced commercially are useful herein. FIG. 1 represents a circuit assembly produced by single damascene technology. As can be seen in this figure, the sealing dielectric layer ([0014] 1) is sealing and covering the pores in the porous dielectric layer (2). If no etch/CMP stop (3) and hard mask (4) are used then the sealing dielectric layer would also seal and cover the pores on the top surface of the porous dielectric layer. The horizontal sealing dielectric layers at the bottom and the top of the interconnect opening (1A) are removed and the metal diffusion barrier (7) is then applied over the sealing dielectric layer within the interconnect opening (6). The metal wiring (5), in this case copper (Cu) is then added into the interconnect opening (6) to fill the opening.
  • Another layer may then be formed by covering the metal wiring ([0015] 5) with a metal wiring barrier (8). Metal wiring barrier layers (8) are known in the art. For example, U.S. Pat. No. 5,818,071, hereby incorporated by reference discloses metal wiring barrier layers of amorphous silicon carbide. The porous dielectric layer (2) is applied over the metal wiring barrier (8). An optional etch/CMP stop (3) and hard mask (4) are applied over the porous dielectric and interconnect openings are thereafter formed by removing the etch/CMP stop (3) and sacrificial hard mask (1), the porous dielectric layer (2), and the metal diffusion dielectric barrier (8) down to the metal wiring (5) of the previous layer. The sealing dielectric layer (1) is then applied. The sealing dielectric layer at the top and the bottom of the interconnect opening (1A) are removed and the metal diffusion barrier (7) is then applied over the sealing dielectric layer within the interconnect opening (6). The metal wiring (5), in this case copper (Cu) is then added into the interconnect opening (6) to fill the opening. The layering process is repeated until the desired number of layers has been formed. FIG. (1) represents two single damascene levels where one level is completely processed including filling by the metal wiring (5) and chemical mechanical polish (CMP). The processing is limited on the top level to patterning and the deposition of sealing dielectric layer (e.g. SixCy:Hz) (e.g. interconnect openings (6) are not formed in the top layer).
  • FIG. 2 represents a dual damascene structure, independent of the patterning approach (via first, partial via, trench first, dual hard mask patterning, etc.) that can be applied. As can be seen, the porous dielectric layer ([0016] 2) is sealed and covered by the sealing dielectric (SixCy:Hz) layer (1), to seal the pores in the sidewalls of the porous dielectric layer (2) and to avoid pinhole formation in the metal diffusion barrier layer (7), not shown. The sealing dielectric layer (1) will also avoid in case a CVD or ALCVD metal diffusion barrier (7) is deposited that metal will penetrate through the pores when they are connected.
  • FIG. 3 a partial cross section of a device formed using subtractive A[0017] 1 technology. When subtractive technology is used the sealing dielectric layer (1) can be applied to seal the pores of the porous dielectric layer (2) of the wall of the interconnect opening (6) (via wall) to avoid pinholes in the metal diffusion barrier layer (7) (e.g. sputtered Ti or Ti/TiN layer). The pinholes in the metal diffusion barrier (7) may lead to interaction of WF6 with Ti in case W plug technology is applied to form the via metal (9). The technology is also applicable for hot aluminum to achieve a reliable flow of the interconnect metal. The interconnect metal will not flow well if Ti is not covering the sidewall and bottom of the interconnect opening (6).
  • The sealing dielectric layer ([0018] 1) will cover the bottom of the interconnect opening (6) for all 3 described devices. The bottom of the sealing dielectric layer (1A) can be removed by using sputtering or etching like a soft sputter etch or dry etching so a good contact is made between all metal interconnects. This means that the sealing dielectric layer (1) can be removed from on top of the porous dielectric layer and in the bottom of the interconnect opening (6). There may more materials removed on the top than in the bottom of the interconnect opening (6). For example, some of the hard mask (4) may be removed due to A/R depended effects during sputtering or etching.
  • This invention is not intended to be limited to the application of the sealing dielectric layer in the described structures. Alternative structures where a Si[0019] xCy:Hz film provides sealing of patterned structures in an integrated circuit may also be used herein. It is also applicable for flat panel displays (FPD), microsystem and optical devices.
  • The material used for the metal wiring layer is not limited to copper or aluminum interconnects. Additionally, the metal wiring layers can be silver, gold, alloys, superconductors and others. [0020]
  • The dielectric sealing layer on integrated circuit subassemblies are generally used together with a metal diffusion barrier depending of the type of interconnect structure and/or metal that is used. Methods for depositing the sealing dielectric layers are known in the art. The specific method utilized is not critical. Examples of such processes include plasma enhanced vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), sub atmospheric chemical vapor deposition (SACVD), chemical vapor deposition techniques such as conventional CVD, photochemical vapor deposition, electron cyclotron resonance (ECR), jet vapor deposition, etc. and a variety of physical vapor deposition techniques such as sputtering, electron beam evaporation, etc. These processes involve either the addition of energy (in the form of heat, plasma, etc.) to a vaporized species to cause the desired reaction or the focusing of energy on a solid sample of the material to cause its deposition. [0021]
  • Materials suitable for forming the Si[0022] xCyHz sealing dielectric layers are also known in the art. The precursor may be a single compound that provides the Si, C, and H elements for example, an alkyl silane, silacyclobutane or a polycarbosilanes. Or the precursor can be a mixture of compounds to provide the Si, C, and H elements, for example, silane, and an organic compound (e.g. methane). Preferably the precursor is an alkylsilane, more preferably trimethylsilane.
  • Oxygen is not being intentionally added into the Si[0023] xCyHz film however, it is known that small amounts of oxygen can be present in the SixCyHz film.
  • Additionally, it is anticipated that a sealing dielectric layer having the composition of Si[0024] aObCc:Hd where a has a value of 10 to 33, preferably 18 to 20 atomic %, b has a value of 1 to 40, preferably 18 to 21 atomic percent, c has a value of 1 to 66, preferably 31 to 38 atomic % and d has a value of 0.1 to 60, preferably 25 to 32 atomic %; and a+b+c+d≧90 % atomic %; and C/Si<0.5 and H/C>0.5 would be useful herein. SiaObCcHd films have a lower dielectric constant layers and thus reduce any negative impact on the effective dielectric constant. Or the sealing dielectric layer it can be can be comprised of layers of SixCy:Hz and SiaObCc:Hd to assure no degradation to the porous material.
  • To produce a sealing dielectric layer having the composition of Si[0025] aObCc:Hd a controlled amount of oxygen may be present in the deposition chamber. The oxygen may be controlled by the type of oxygen providing gas used, or by the amount of oxygen providing gas that is used. If too much oxygen is present in the deposition chamber a silicon oxide film with a stoichiometry close to SiO2 will be produced and the dielectric constant will be higher than desired and the sealing properties will not be achieved without degrading the porous dielectric layer. Oxygen providing gases include, but are not limited to air, ozone, oxygen, nitrous oxide and nitric oxide, preferably nitrous oxide. The amount of oxygen providing gas is typically less than 5 volume parts oxygen providing gas per volume part of silicon containing compound, more preferably from 0.01 to 4.5 volume parts of oxygen providing gas per volume part of silicon containing compound. One skilled in the art will be able to readily determine the amount of oxygen providing gas based on the type of oxygen providing gas and the deposition conditions.
  • In addition to the oxygen providing gas, oxygen may be introduced by the use of silicon containing compounds that contain oxygen, for example, 2,4,6,8-tetramethylcyclotetrasiloxane, 2,4,6,8,10-pentamethylcyclopentasiloxane. [0026]
  • Additionally, it is anticipated that a sealing dielectric layer having the composition of Si[0027] eNfCg:Hh where e has a value of 10 to 33, preferably 18 to 20 atomic %, f has a value of 1 to 50, g has a value of 1 to 66, preferably 31 to 38 atomic % and h has a value of 0.1 to 60, preferably 25 to 32 atomic %; e+f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5 would be useful herein. To produce a sealing dielectric layer having the composition of SieNfCg:Hh a controlled amount of nitrogen may be present in the deposition chamber. The nitrogen may be controlled by the type of nitrogen providing gas used, or by the amount of nitrogen providing gas that is used.
  • Silicon containing compounds useful in producing the sealing dielectric layer include, but are not limited to silanes, organosilanes, polycarbosilanes, cyclic siloxanes, and linear siloxanes. Useful silicon containing compounds are disclosed in detail in U.S. Pat. No. 6,162,742, herein incorporated by reference for it's teaching of silicon containing compounds. The silicon containing compounds typically contain a unit having the formula R—Si where the R group is selected from a hydrogen atom, a fluorine atom, a fluoro substituted organo group, or an organo group. R is preferably an alkyl group, more preferably a methyl group. The Si atom may be bonded to additional R groups (organo silanes), other Si atoms through hydrocarbon groups (polycarbosilanes) or other Si atoms through O atoms (siloxanes). Preferred silicon containing compounds are those that are gases or liquids near room temperature and can be volatilized above about 10 Torr. [0028]
  • The silicon containing compounds useful in producing the sealing dielectric layer may be exemplified by, but not limited to, silane, tetrafluorosilane, trifluoromethyl trifluorosilane, methylsilane, dimethylsilane trimethylsilane, tetramethylsilane, disilanomethane, bis(methylsilano)methane, 1,2-disilanoethane, 1,2-bis(methylsilano)ethane, 2,2-disilanopropane, 1,3,5-trisilano-2,4,6-trimethylene, 1,3-dimethylsiloxane, 1,3-bis(silanomethylene)disiloxane, bis(1-methyldisiloxanyl)propane, 2,4,6,8-tetramethylcyclotetrasiloxane, 2,4,6,8,10-pentamethylcyclopentasiloxane, 1,3,5,7-tetrasilano-2,6-dioxy-4,8-dimethylene, tetrapropargylsilane, tetraethynylsilane, phenylsilanes, silacyclobutane (H[0029] 2SiC3H6) and derivatives such as 1,1-difluorosilacyclobutane, 1-methylsilacyclobutane, 1,1-dimethylsilacyclobutane, 1,1-ethylmethylsilacyclobutane, 1-butylsilacyclobutane, 2,4-dimethylsilacyclobutane, 3,3-diethylsilacyclobutane, and 3,3-ethylpropylsilacyclobutane, 1,3-disilacyclobutane and derivatives such as 1,1,3,3-tetrafluoro1,3-disilacyclobutane, 1-methyl-1,3-disilacyclobutane, 1,3-dimethyl-1,3-disilacyclobutane, 1,1-ethylmethyl- 1,3-disilacyclobutane, 1-butyl-1,3-disilacyclobutane, 2,4-dimethyl-1,3-disilacyclobutane, 2,2-diethyl-1,3-disilacyclobutane, and 2,4-ethylpropyl-1,3-disilacyclobutane. A combination of two or more silicon containing compounds can be employed to provide a blend of desired properties such as dielectric constant, oxide content, hydrophobicity, film stress and plasma etching characteristics.
  • When the silicon-containing compound does not contain sufficient carbon to produce the desired films, carbon may be introduced through the use of a hydrocarbon such as methane. [0030]
  • Other elements, such as fluorine (F) can be introduced into the sealing dielectric layer so long as these elements do not materially change the sealing properties of the film. [0031]
  • The following represent the elements identified FIGS. 1, 2 and [0032] 3. These elements are not limited to the specific designs in the figures.
  • 1: Sealing dielectric layer. Si[0033] xCy:Hz where x has a value of 10-50, preferably 25-35 atomic percent, y has a value of 1-66, preferably 30-40 atomic % and z has a value of 0.1-66, preferably 25-35 atomic %; and x+y+z≧90 atomic %; or SiaObCc:Hd where a has a value of 10 to 33, preferably 18 to 20 atomic %, b has a value of 1 to 40, preferably 18 to 21 atomic percent, c has a value of 1 to 66, preferably 31 to 38 atomic % and d has a value of 0.1 to 60, preferably 25 to 32 atomic %; and a+b+c+d≧90 atomic % and C/Si<0.5 and H/C>0.5; or SieNfCg:Hh where e has a value of 10 to 33, preferably 18 to 20 atomic %, f has a value of 1 to 50, g has a value of 1 to 66, preferably 31 to 38 atomic % and h has a value of 0.1 to 60, preferably 25 to 32 atomic %; e+f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5.
  • 2: Porous dielectric layer. Porosity of 10 to 60% where the connectivity is between 1 and 100%. May be produced from Porous SiLK™, MesoELK™, XLK™, Nanoglass™, JSRLKD™, Zircon™ materials and by CVD methods such as Orion™. Methods of producing are known in the art. [0034]
  • 3: Etch stop. Materials are typically silicon nitride, silicon carbide, SiO[0035] 2. One skilled in the art will know which materials are suitable based on etch selectivity to the porous material.
  • 4: Sacrificial hard mask. Materials are typically silicon nitride, silicon carbide, Sio[0036] 2. One skilled in the art will know which material is suitable based on the etch selectivity to the underlying material.
  • [0037] 5: Metal wiring. Produced from copper, aluminum silver, gold, alloys, superconductors and other conductive metals. The metal wiring can be produced by CVD, physical vapor deposition (PVD), or electrochemical depositionmethods or combinations
  • 6: Interconnect openings. Sometimes referred to as vias or trenches. Produced by removing all layers above a wiring metal and exposing at least some of the surface of the wiring metal. Methods for forming interconnect openings are well known in the art. [0038]
  • 7: Metal diffusion barrier. Useful materials for forming the metal diffusion barrier are known in the art such as Ta, TaN, Ti, TiN, TiSiN, WN, WCN or combinations thereof The metal diffusion barrier may be applied by techniques known in the art such as sputtering (i.e. PVD), chemical vapor deposition (CVD) or atomic layer chemical vapor deposition (ALCVD). [0039]
  • 8: Metal wiring barrier. Materials typically used to form the metal wiring barrier layer are SiC, SiN, SiCN. Methods for producing such layers are well known in the art. [0040]
  • 9: Via Metal. This metal is used to connect the various wiring layers. The metal can be the same as the metal used to form the metal wiring ([0041] 6) or can be different. Examples of via metals include, but are not limited to Cu, W, Al.
  • 10: Interconnect Metal is the wiring metal and/or the via metal [0042]
  • It is believed that the sealing layer provides a better surface for the metal diffusion barrier layer than that which is provided with the porous dielectric layer. [0043]
  • The following non-limiting examples are provided so that one skilled in the art may more readily understand the invention. [0044]
  • EXAMPLES Comparative Example 1
  • A 20 nm film of TaN was deposited by PVD on the trenches and sidewalls of a semiconductor device having a porous low k dielectric layer produced by the method of U.S. Pat. No. 6,231,989 formed thereon. The device was then subjected to a 20″ dip test in 1% HF. SEM results showed a high density of pinholes in the barrier layer through which the acid could easily diffuse and etch the low-k layer. Evaluation by Ellipsometric Porosimetry showed toluene adsorption. Further evaluations showed that a film of TaN having a thickness of at least 30 nm would be required to seal the porous layer. [0045]
  • Example 1
  • A 10 nm SiC film was deposited by PECVD using trimethylsilane on the trenches and sidewalls of a semiconductor device having a porous low k dielectric layer produced by the method of U.S. Pat. No. 6,231,989 formed thereon. A 10 nm TaN film was formed on the SiC layer using the same process as used in Comparative Example 1. The resulting device was then subjected to a 1′ dip test in 1% HF. SEM results showed no results of etching in the low-k layer and evaluation by Ellipsometric Porosimetry showed no toluene adsorption indicating that the pores were sealed. [0046]

Claims (20)

What is claimed is:
1. An integrated circuit having
(i) a subassembly of solid-state devices
(ii) metal wiring formed from conductive metals wherein the metal wiring connects the devices within the subassembly
(iii) a porous dielectric layer formed over the conductive metals wherein said porous dielectric layer contains pores
(iv) interconnect openings formed in the porous dielectric layer
(v) a sealing dielectric layer covering pores of the porous dielectric within the interconnect opening
(vi) a metal diffusion barrier within the interconnect opening wherein said sealing dielectric layer is selected from
(1) SixCy:Hz where x has a value of 10-50, y has a value of 1-66, z has a value of 0.1-66 and x+y+z≧90 atomic %;
(2) SiaObCc:Hd where a has a value of 10 to 33, b has a value of 1 to 40, c has a value of 1 to 66, d has a value of 0.1 to 60, a+b+c+d≧90 atomic % and C/Si<0.5 and H/C>0.5; or
(3) SieNfCg:Hh where e has a value of 10 to 33, f has a value of 1 to 50, g has a value of 1 to 66, h has a value of 0.1 to 60, e+f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5.
2. The integrated circuit as claimed in claim 1 wherein the sealing dielectric layer is SixCy:Hz where x has a value of 10 to 50 atomic percent, y has a value of 1 to 66 atomic percent, z has a value of 0.1 to 66 atomic percent, and x+y+z≧90 atomic %.
3. The integrated circuit as claimed in claim 2 wherein the sealing dielectric layer is SixCy:Hz where x has a value of 25 to 35 atomic percent, y has a value of 30 to 40 atomic percent, and z has a value of 25 to 35 atomic %.
4. The integrated circuit as claimed in claim 1 wherein the sealing dielectric layer is SiaObCc:Hd where a has a value of 10 to 33 atomic percent, b has a value of 1 to 40 atomic percent, c has a value of 1 to 66 atomic percent, d has a value of 0.1 to 60 atomic percent, a+b+c+d≧90 atomic % and C/Si<0.5 and H/C>0.5.
5. The integrated circuit as claimed in claim 4 wherein the sealing dielectric layer is SiaObCc:Hd where a has a value of 18 to 20 atomic percent, b has a value of 18 to 21 atomic percent, c has a value of 31 to 38 atomic percent, d has a value of 25 to 32 atomic percent.
6. The integrated circuit as claimed in claim 1 wherein the sealing dielectric layer is SieNfCg:Hh where e has a value of 10 to 33 atomic percent, f has a value of 1 to 50 atomic percent, g has a value of 1 to 66 atomic percent, h has a value of 0.1 to 60 atomic percent, e+f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5.
7. The integrated circuit as claimed in claim 6 wherein the sealing dielectric layer is SieNfCg:Hh where e has a value of 18 to 20 atomic percent, f has a value of 1 to 50 atomic percent, g has a value of 31 to 38 atomic percent, h has a value of 25 to 32 atomic percent.
8. The integrated circuit as claimed in claim 1 wherein the porous dielectric layer has a total porosity of 10 to 60% and the connectivity between the pores is between 0 and 100%.
9. The integrated circuit as claimed in claim 1 where in the metal diffusion barrier is selected from Ta, TaN, Ti, TiN, TiSiN, WN, WCN or combinations thereof.
10. The integrated circuit as claimed in claim 1 wherein the metal wiring is produced from copper, aluminum, silver, gold, alloys, or superconductors.
11. The integrated circuit as claimed in claim 1 wherein the interconnect opening have sidewalls and wherein the sealing dielectric layer is on the sidewalls of the interconnect opening.
12. A method of covering and sealing the pores of a porous interlayer dielectric within an interconnect opening having a top, bottom and sidewalls wherein said method comprises
(A) applying a sealing dielectric layer in the interconnect opening
(B) removing the sealing dielectric from the top and bottom of the interconnect opening;
(C) applying a metal diffusion barrier in the interconnect opening covering at least the sealing dielectric layer;
wherein said sealing dielectric layer is selected from
(1) SixCy:Hz where x has a value of 10-50 atomic percent, y has a value of 1-66 atomic percent, z has a value of 0.1-66 atomic percent, and x+y+z≧90 atomic
(2) SiaObCc:Hd where a has a value of 10 to 33 atomic percent, b has a value of 1 to 40 atomic percent, c has a value of 1 to 66 atomic percent, d has a value of 0.1 to 60 atomic percent, a+b+c+d≧90 atomic % and C/Si<0.5 and H/C>0.5; or
(3) SieNfCg:Hh where e has a value of 10 to 33 atomic percent, f has a value of 1 to 50 atomic percent, g has a value of 1 to 66 atomic percent, and h has a value of 0.1 to 60 atomic percent, e+f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5.
13. The method as claimed in claim 12 wherein the sealing dielectric layer is SixCy:Hz where x has a value of 10 to 50 atomic percent, y has a value of 1 to 66 atomic percent, z has a value of 0.1 to 66 atomic percent, and x+y+z≧90 atomic %.
14. The method as claimed in claim 13 wherein the sealing dielectric layer is SixCy:Hz where x has a value of 25 to 35 atomic percent, y has a value of 30 to 40 atomic percent, and z has a value of 25 to 35 atomic %.
15. The method as claimed in claim 12 wherein the sealing dielectric layer is SiaObCc:Hd where a has a value of 10 to 33 atomic percent, b has a value of 1 to 40 atomic percent, c has a value of 1 to 66 atomic percent, d has a value of 0.1 to 60 atomic percent, a+b+c+d≧90 atomic % and C/Si<0.5 and H/C>0.5.
16. The method as claimed in claim 15 wherein the sealing dielectric layer is SiaObCc:Hd where a has a value of 18 to 20 atomic percent, b has a value of 18 to 21 atomic percent, c has a value of 31 to 38 atomic percent, d has a value of 25 to 32 atomic percent.
17. The method as claimed in claim 12 wherein the sealing dielectric layer is SieNfCg:Hh where e has a value of 10 to 33 atomic percent, f has a value of 1 to 50 atomic percent, g has a value of 1 to 66 atomic percent, h has a value of 0.1 to 60 atomic percent, e +f+g+h≧90 atomic %; and C/Si<0.5 and H/C>0.5.
18. The method as claimed in claim 17 wherein the sealing dielectric layer is SieNfCg:Hh where e has a value of 18 to 20 atomic percent, f has a value of 1 to 50 atomic percent, g has a value of 31 to 38 atomic percent, h has a value of 25 to 32 atomic percent.
19. The method as claimed in claim 12 wherein the porous dielectric layer has a total porosity of 10 to 60% and the connectivity between the pores is between 0 and 100%.
20. The method as claimed in claim 12 where in the metal diffusion barrier is selected from Ta, TaN, Ti, TiN, TiSiN, WN, WCN or combinations thereof.
US10/183,810 2001-07-02 2002-06-25 Metal barrier behavior by SiC:H deposition on porous materials Expired - Lifetime US6541842B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/183,810 US6541842B2 (en) 2001-07-02 2002-06-25 Metal barrier behavior by SiC:H deposition on porous materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30246901P 2001-07-02 2001-07-02
US10/183,810 US6541842B2 (en) 2001-07-02 2002-06-25 Metal barrier behavior by SiC:H deposition on porous materials

Publications (2)

Publication Number Publication Date
US20030001282A1 true US20030001282A1 (en) 2003-01-02
US6541842B2 US6541842B2 (en) 2003-04-01

Family

ID=23167846

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/183,810 Expired - Lifetime US6541842B2 (en) 2001-07-02 2002-06-25 Metal barrier behavior by SiC:H deposition on porous materials

Country Status (5)

Country Link
US (1) US6541842B2 (en)
JP (1) JP2004535065A (en)
KR (1) KR100847926B1 (en)
CN (1) CN1596466A (en)
WO (1) WO2003005438A2 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030139035A1 (en) * 2001-12-14 2003-07-24 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
US20040021139A1 (en) * 2002-07-31 2004-02-05 Jackson Timothy L. Semiconductor dice having backside redistribution layer accessed using through-silicon vias, methods of fabrication and assemblies
US20040067308A1 (en) * 2002-10-07 2004-04-08 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20040150075A1 (en) * 2002-12-16 2004-08-05 Semiconductor Leading Edge Technologies, Inc. Semiconductor device with cupper wiring and method for manufacturing semiconductor device
WO2004107434A1 (en) * 2003-05-29 2004-12-09 Nec Corporation Wiring structure and method for producing same
US20050042889A1 (en) * 2001-12-14 2005-02-24 Albert Lee Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20050048765A1 (en) * 2003-09-03 2005-03-03 Kim Sun-Oo Sealed pores in low-k material damascene conductive structures
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US20050202685A1 (en) * 2004-03-15 2005-09-15 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US20050233576A1 (en) * 2001-12-14 2005-10-20 Lee Ju-Hyung Method of depositing dielectric materials in damascene applications
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US20060006140A1 (en) * 2004-07-09 2006-01-12 Annamalai Lakshmanan Hermetic low dielectric constant layer for barrier applications
US20060046479A1 (en) * 2004-04-19 2006-03-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20060086850A1 (en) * 2004-06-30 2006-04-27 Cohen Douglas J Lifting lid crusher
US20060131700A1 (en) * 2004-12-22 2006-06-22 David Moses M Flexible electronic circuit articles and methods of making thereof
US20060241776A1 (en) * 2005-04-21 2006-10-26 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US20060241781A1 (en) * 2005-04-21 2006-10-26 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US20060281329A1 (en) * 2005-06-10 2006-12-14 Ramachandrarao Vijayakumar S Sealing porous dielectric material using plasma-induced surface polymerization
US7157373B2 (en) 2003-12-11 2007-01-02 Infineon Technologies Ag Sidewall sealing of porous dielectric materials
US20070037304A1 (en) * 2005-08-12 2007-02-15 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
US20070173948A1 (en) * 2005-04-21 2007-07-26 Biomet Manufacturing Corp. Porous metal cup with cobalt bearing surface
US20070196230A1 (en) * 2006-02-17 2007-08-23 Biomet Manufacturing Corp. Method and apparatus for forming porous metal implants
US20080122101A1 (en) * 2004-09-02 2008-05-29 Rohm Co., Ltd. Manufacturing Method Of Semiconductor Device And Semiconductor Device Produced Therewith
US20080147187A1 (en) * 2005-04-21 2008-06-19 Biomet Manufacturing Corp. Method And Apparatus For Use Of Porous Implants
US20090084491A1 (en) * 2007-09-25 2009-04-02 Biomet Manufacturing Corp. Cementless Tibial Tray
US20100200990A1 (en) * 2004-09-02 2010-08-12 Ulvac Inc. Manufacturing method of semiconductor device and semiconductor device produced therewith
US8021432B2 (en) 2005-12-05 2011-09-20 Biomet Manufacturing Corp. Apparatus for use of porous implants
US8123814B2 (en) 2001-02-23 2012-02-28 Biomet Manufacturing Corp. Method and appartus for acetabular reconstruction
US20130084699A1 (en) * 2005-08-05 2013-04-04 Micron Technology, Inc. Selective Metal Deposition Over Dielectric Layers
US20160307732A1 (en) * 2015-04-20 2016-10-20 Tokyo Electron Limited Method of etching porous film
US9515017B2 (en) * 2014-12-18 2016-12-06 Intel Corporation Ground via clustering for crosstalk mitigation
FR3042067A1 (en) * 2015-10-01 2017-04-07 Stmicroelectronics Rousset PROTECTION AGAINST PREMATURE CLARIFICATION OF POROUS INTERLAINED DIELECTRICS WITHIN AN INTEGRATED CIRCUIT
TWI585921B (en) * 2014-12-18 2017-06-01 英特爾公司 Ground via clustering for crosstalk mitigation
US11315893B2 (en) * 2020-03-25 2022-04-26 Nanya Technology Corporation Semiconductor device with composite connection structure and method for fabricating the same

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
WO2003025243A2 (en) * 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
TWI257120B (en) * 2003-06-18 2006-06-21 Fujitsu Ltd Method for manufacturing semiconductor device
KR100964194B1 (en) 2003-07-18 2010-06-17 매그나칩 반도체 유한회사 Method of forming a dielectric layer in a semiconductor device
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP4798334B2 (en) * 2004-10-15 2011-10-19 Jsr株式会社 Surface hydrophobizing composition, surface hydrophobizing method, semiconductor device and manufacturing method thereof
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7229909B2 (en) * 2004-12-09 2007-06-12 International Business Machines Corporation Integrated circuit chip utilizing dielectric layer having oriented cylindrical voids formed from carbon nanotubes
JP4408816B2 (en) * 2005-01-07 2010-02-03 富士通株式会社 Manufacturing method of semiconductor device
JP5324734B2 (en) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション Dielectric material and manufacturing method thereof
US7365026B2 (en) * 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
JP4201002B2 (en) * 2005-03-28 2008-12-24 セイコーエプソン株式会社 Liquid crystal device, manufacturing method thereof and projector
JP4747755B2 (en) * 2005-09-20 2011-08-17 独立行政法人産業技術総合研究所 Organic insulating film, manufacturing method thereof, and semiconductor device using organic insulating film
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects
JP5372323B2 (en) * 2006-03-29 2013-12-18 富士通株式会社 Interface roughness reducing film, wiring layer and semiconductor device using the same, and method for manufacturing semiconductor device
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
DE102006056626A1 (en) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Conductive barrier layer producing method for manufacturing integrated circuit, involves depositing layer on exposed surfaces by self-restricted deposition technique, and providing surface with characteristics at reduced deposition rate
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
KR20090048178A (en) * 2007-11-09 2009-05-13 주식회사 하이닉스반도체 Semiconductor device and manufacturing method thereof
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
CN102047411B (en) * 2008-06-17 2015-08-05 富士通株式会社 Semiconductor device and manufacture method thereof
JP5332442B2 (en) * 2008-09-19 2013-11-06 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
US8772524B2 (en) * 2008-10-20 2014-07-08 Dow Corning Corporation CVD precursors
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
CN103943789A (en) * 2014-04-18 2014-07-23 深圳市华星光电技术有限公司 OLED device and manufacturing method thereof
US9997451B2 (en) 2016-06-30 2018-06-12 International Business Machines Corporation Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
US10763419B2 (en) * 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
JP6910387B2 (en) * 2019-03-05 2021-07-28 株式会社Kokusai Electric Semiconductor device manufacturing method, board processing method, board processing device and program

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2546696B2 (en) * 1987-12-17 1996-10-23 富士通株式会社 Silicon carbide layer structure
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6180518B1 (en) * 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
JP3365554B2 (en) * 2000-02-07 2003-01-14 キヤノン販売株式会社 Method for manufacturing semiconductor device

Cited By (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8123814B2 (en) 2001-02-23 2012-02-28 Biomet Manufacturing Corp. Method and appartus for acetabular reconstruction
US9375316B2 (en) 2001-02-23 2016-06-28 Biomet Manufacturing, Llc. Method and apparatus for acetabular reconstruction
US8551181B2 (en) 2001-02-23 2013-10-08 Biomet Manufacturing, Llc Method and apparatus for acetabular reconstruction
US7319068B2 (en) 2001-10-09 2008-01-15 Applied Materials, Inc. Method of depositing low k barrier layers
US7125813B2 (en) 2001-10-09 2006-10-24 Applied Materials, Inc. Method of depositing low K barrier layers
US20040198070A1 (en) * 2001-10-09 2004-10-07 Li-Qun Xia Method of depositing low K barrier layers
US20070042610A1 (en) * 2001-10-09 2007-02-22 Li-Qun Xia Method of depositing low k barrier layers
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US20050042889A1 (en) * 2001-12-14 2005-02-24 Albert Lee Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20050130440A1 (en) * 2001-12-14 2005-06-16 Yim Kang S. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US20030139035A1 (en) * 2001-12-14 2003-07-24 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
US20050233576A1 (en) * 2001-12-14 2005-10-20 Lee Ju-Hyung Method of depositing dielectric materials in damascene applications
US20040021139A1 (en) * 2002-07-31 2004-02-05 Jackson Timothy L. Semiconductor dice having backside redistribution layer accessed using through-silicon vias, methods of fabrication and assemblies
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US20040067308A1 (en) * 2002-10-07 2004-04-08 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US20040150075A1 (en) * 2002-12-16 2004-08-05 Semiconductor Leading Edge Technologies, Inc. Semiconductor device with cupper wiring and method for manufacturing semiconductor device
WO2004107434A1 (en) * 2003-05-29 2004-12-09 Nec Corporation Wiring structure and method for producing same
US20070013069A1 (en) * 2003-05-29 2007-01-18 Munehiro Tada Wiring structure and method for manufacturing the same
US20100151675A1 (en) * 2003-05-29 2010-06-17 Nec Corporation Wiring structure and method for manufacturing the same
US8592303B2 (en) 2003-05-29 2013-11-26 Renesas Electronics Corporation Wiring structure and method for manufacturing the same
US7701060B2 (en) 2003-05-29 2010-04-20 Nec Corporation Wiring structure and method for manufacturing the same
WO2005022628A1 (en) * 2003-09-03 2005-03-10 Infineon Technologies Ag Sealed pores in low-k material damascene structures
US20050048765A1 (en) * 2003-09-03 2005-03-03 Kim Sun-Oo Sealed pores in low-k material damascene conductive structures
US7052990B2 (en) 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
DE112004001530B4 (en) * 2003-09-03 2009-07-09 Infineon Technologies Ag Sealed pores in damascene structures with low-k material
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7157373B2 (en) 2003-12-11 2007-01-02 Infineon Technologies Ag Sidewall sealing of porous dielectric materials
US20060189162A1 (en) * 2004-03-15 2006-08-24 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US20050202685A1 (en) * 2004-03-15 2005-09-15 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US20060046479A1 (en) * 2004-04-19 2006-03-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US20060086850A1 (en) * 2004-06-30 2006-04-27 Cohen Douglas J Lifting lid crusher
US20060006140A1 (en) * 2004-07-09 2006-01-12 Annamalai Lakshmanan Hermetic low dielectric constant layer for barrier applications
US8212338B2 (en) 2004-09-02 2012-07-03 Ulvac Manufacturing method of semiconductor device and semiconductor device produced therewith
US20080122101A1 (en) * 2004-09-02 2008-05-29 Rohm Co., Ltd. Manufacturing Method Of Semiconductor Device And Semiconductor Device Produced Therewith
US20100200990A1 (en) * 2004-09-02 2010-08-12 Ulvac Inc. Manufacturing method of semiconductor device and semiconductor device produced therewith
US8288295B2 (en) * 2004-09-02 2012-10-16 Rohm Co., Ltd. Manufacturing method of semiconductor device and semiconductor device produced therewith
US20060131700A1 (en) * 2004-12-22 2006-06-22 David Moses M Flexible electronic circuit articles and methods of making thereof
US20080147187A1 (en) * 2005-04-21 2008-06-19 Biomet Manufacturing Corp. Method And Apparatus For Use Of Porous Implants
US20060241781A1 (en) * 2005-04-21 2006-10-26 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US20060241776A1 (en) * 2005-04-21 2006-10-26 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US8292967B2 (en) 2005-04-21 2012-10-23 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US8266780B2 (en) 2005-04-21 2012-09-18 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US20070173948A1 (en) * 2005-04-21 2007-07-26 Biomet Manufacturing Corp. Porous metal cup with cobalt bearing surface
US8066778B2 (en) 2005-04-21 2011-11-29 Biomet Manufacturing Corp. Porous metal cup with cobalt bearing surface
US8197550B2 (en) 2005-04-21 2012-06-12 Biomet Manufacturing Corp. Method and apparatus for use of porous implants
US7335586B2 (en) * 2005-06-10 2008-02-26 Intel Corporation Sealing porous dielectric material using plasma-induced surface polymerization
US20060281329A1 (en) * 2005-06-10 2006-12-14 Ramachandrarao Vijayakumar S Sealing porous dielectric material using plasma-induced surface polymerization
US9269586B2 (en) * 2005-08-05 2016-02-23 Micron Technology, Inc. Selective metal deposition over dielectric layers
US20130084699A1 (en) * 2005-08-05 2013-04-04 Micron Technology, Inc. Selective Metal Deposition Over Dielectric Layers
US20070037304A1 (en) * 2005-08-12 2007-02-15 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
US7678687B2 (en) * 2005-08-12 2010-03-16 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
US20100127404A1 (en) * 2005-08-12 2010-05-27 Nec Electronics Corporation Semiconductor device
US8021432B2 (en) 2005-12-05 2011-09-20 Biomet Manufacturing Corp. Apparatus for use of porous implants
US20070196230A1 (en) * 2006-02-17 2007-08-23 Biomet Manufacturing Corp. Method and apparatus for forming porous metal implants
US20090084491A1 (en) * 2007-09-25 2009-04-02 Biomet Manufacturing Corp. Cementless Tibial Tray
US11244890B2 (en) 2014-12-18 2022-02-08 Intel Corporation Ground via clustering for crosstalk mitigation
US9515017B2 (en) * 2014-12-18 2016-12-06 Intel Corporation Ground via clustering for crosstalk mitigation
US11742275B2 (en) 2014-12-18 2023-08-29 Intel Corporation Ground via clustering for crosstalk mitigation
TWI585921B (en) * 2014-12-18 2017-06-01 英特爾公司 Ground via clustering for crosstalk mitigation
US11901280B2 (en) 2014-12-18 2024-02-13 Intel Corporation Ground via clustering for crosstalk mitigation
US10854539B2 (en) 2014-12-18 2020-12-01 Intel Corporation Ground via clustering for crosstalk mitigation
US10026682B2 (en) 2014-12-18 2018-07-17 Intel Corporation Ground via clustering for crosstalk mitigation
US20160307732A1 (en) * 2015-04-20 2016-10-20 Tokyo Electron Limited Method of etching porous film
US10236162B2 (en) 2015-04-20 2019-03-19 Tokyo Electron Limited Method of etching porous film
US9859102B2 (en) * 2015-04-20 2018-01-02 Tokyo Electron Limited Method of etching porous film
FR3042067A1 (en) * 2015-10-01 2017-04-07 Stmicroelectronics Rousset PROTECTION AGAINST PREMATURE CLARIFICATION OF POROUS INTERLAINED DIELECTRICS WITHIN AN INTEGRATED CIRCUIT
US10600737B2 (en) 2015-10-01 2020-03-24 Stmicroelectronics (Rousset) Sas Prevention of premature breakdown of interline porous dielectrics in an integrated circuit
US9812399B2 (en) 2015-10-01 2017-11-07 Stmicroelectronics (Rousset) Sas Prevention of premature breakdown of interline porous dielectrics in an integrated circuit
US11315893B2 (en) * 2020-03-25 2022-04-26 Nanya Technology Corporation Semiconductor device with composite connection structure and method for fabricating the same

Also Published As

Publication number Publication date
WO2003005438A3 (en) 2003-05-01
KR100847926B1 (en) 2008-07-22
CN1596466A (en) 2005-03-16
JP2004535065A (en) 2004-11-18
KR20040032119A (en) 2004-04-14
US6541842B2 (en) 2003-04-01
WO2003005438A2 (en) 2003-01-16

Similar Documents

Publication Publication Date Title
US6541842B2 (en) Metal barrier behavior by SiC:H deposition on porous materials
US8927442B1 (en) SiCOH hardmask with graded transition layers
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7646077B2 (en) Methods and structure for forming copper barrier layers integral with semiconductor substrates structures
US7122481B2 (en) Sealing porous dielectrics with silane coupling reagents
US7439174B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
JP4523535B2 (en) Manufacturing method of semiconductor device
US6927178B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7435676B2 (en) Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US20060276029A1 (en) Semiconductor device and method for manufacturing same
US20030089988A1 (en) Semiconductor device and method of manufacturing the same
KR100479796B1 (en) Semiconductor device and fabrication method therefor
JP5174435B2 (en) Method for minimizing wet etch undercut and pore sealing ultra-low K (K &lt;2.5) dielectrics
US7795133B2 (en) Semiconductor device and method of manufacturing the same
US20080188074A1 (en) Peeling-free porous capping material
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
US20040061236A1 (en) Semiconductor device provided with a dielectric film including porous structure and manufacturing method thereof
JP2004259753A (en) Semiconductor device and its manufacturing method
US8981563B2 (en) Semiconductor device and method of manufacturing the same
JP2009094123A (en) Method of manufacturing semiconductor apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: DOW CORNING CORPORATION, MICHIGAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MEYNEN, HERMAN;WEIDNER, WILLIAM KENNETH;IACOPI, FRANCESCA;AND OTHERS;REEL/FRAME:013073/0476;SIGNING DATES FROM 20020621 TO 20020625

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12