US20030005266A1 - Multithreaded processor capable of implicit multithreaded execution of a single-thread program - Google Patents

Multithreaded processor capable of implicit multithreaded execution of a single-thread program Download PDF

Info

Publication number
US20030005266A1
US20030005266A1 US09/896,526 US89652601A US2003005266A1 US 20030005266 A1 US20030005266 A1 US 20030005266A1 US 89652601 A US89652601 A US 89652601A US 2003005266 A1 US2003005266 A1 US 2003005266A1
Authority
US
United States
Prior art keywords
processor
buffer
instructions
load
store
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/896,526
Other versions
US7752423B2 (en
Inventor
Haitham Akkary
Sebastien Hily
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AKKARY, HAITHAM, HILY, SEBASTIEN
Priority to US09/896,526 priority Critical patent/US7752423B2/en
Priority to PCT/US2002/019101 priority patent/WO2003003196A2/en
Priority to KR1020037016962A priority patent/KR100783828B1/en
Priority to CNB028128540A priority patent/CN100403257C/en
Priority to JP2003509306A priority patent/JP2005521924A/en
Priority to GB0507006A priority patent/GB2412204B/en
Priority to GB0329899A priority patent/GB2393297B/en
Priority to DE10296989T priority patent/DE10296989B4/en
Publication of US20030005266A1 publication Critical patent/US20030005266A1/en
Priority to HK04104979A priority patent/HK1062056A1/en
Publication of US7752423B2 publication Critical patent/US7752423B2/en
Application granted granted Critical
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30072Arrangements for executing specific machine instructions to perform conditional operations, e.g. using predicates or guards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/3013Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory

Abstract

A device is presented including a first processor and a second processor. A number of memory devices are connected to the first processor and the second processor. A register buffer is connected to the first processor and the second processor. A trace buffer is connected to the first processor and the second processor. A number of memory instruction buffers are connected to the first processor and the second processor. The first processor and the second processor perform single threaded applications using multithreading resources. A method is also presented where a first thread is executed from a first processor. The first thread is also executed from a second processor as directed by the first processor. The second processor executes instructions ahead of the first processor.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates to multiprocessors, and more particularly to a method and apparatus for multithreaded execution of single-thread programs. [0002]
  • 2. Description of the Related Art [0003]
  • In many processing systems today, such as personal computers (PCs), single chip multiprocessors (CMP) play an important roll in executing multithreaded programs. The threads that these processors may process and execute are independent of each other. For instance, threads may be derived from independent programs or from the same program. Some threads are compiled creating threads that do not have dependencies between themselves. In a multi-threading environment, however, some single-thread applications may be too difficult to convert explicitly into multiple threads. Also, running existing single-thread binaries on multi-threading processor does not exploit the multi-threading capability of the chip.[0004]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is illustrated by way of example and not by way of limitation in the figures of the accompanying drawings in which like references indicate similar elements. It should be noted that references to “an” or “one” embodiment in this disclosure are not necessarily to the same embodiment, and such references mean at least one. [0005]
  • FIG. 1 illustrates an embodiment of the invention. [0006]
  • FIG. 2 illustrates a commit processor of an embodiment of the invention. [0007]
  • FIG. 3 illustrates a speculative processor of an embodiment of the invention. [0008]
  • FIG. 4 illustrates a store-forwarding buffer of an embodiment of the invention. [0009]
  • FIG. 5 illustrates a load-ordering buffer of an embodiment of the invention. [0010]
  • FIG. 6 illustrates an embodiment of the invention having a system. [0011]
  • FIG. 7 illustrates a block diagram of an embodiment of the invention.[0012]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The invention generally relates to an apparatus and method to multithreaded execution of single-thread programs. Referring to the figures, exemplary embodiments of the invention will now be described. The exemplary embodiments are provided to illustrate the invention and should not be construed as limiting the scope of the invention. [0013]
  • FIG. 1 illustrates one embodiment of the [0014] invention comprising multiprocessor 100. In one embodiment of the invention, multiprocessor 100 is a dual core single chip multiprocessor (CMP). Multiprocessor 100 further comprises commit central processing unit (CPU) 110, speculative CPU 120, register file buffer 130, trace buffer 140, load buffer 150 (also known as load ordering buffer), store buffer 160 (also known as store forwarding buffer), L1 cache 175, L2 cache 170, L0 instruction cache (I cache) 180, and L0 data cache (D cache) 190. In one embodiment of the invention L0 I cache 180 comprises two L0 I cache components. One of the L0 I cache 180 components is coupled to commit processor 110, and the other L0 I cache 180 component is coupled to speculative processor 120. In this embodiment of the invention, the two I cache components maintain duplicate information. In one embodiment of the invention, fetch requests are issued to L1 cache 175 from either of the L0 I cache 180 components. Lines fetched from L1 cache 175 are filled into L0 I cache 180 coupled to speculative processor 120 and commit processor 110. It should be noted that embodiments of the invention may contain any combination of cache memory hierarchy without diverging from the scope of the invention.
  • In one embodiment of the invention, [0015] L0 D cache 190 comprises two L0 D cache components. One of the L0 D cache 190 components is coupled to commit processor 110, and the other L0 D cache 190 component is coupled to speculative processor 120. In this embodiment of the invention, the two L0 D cache components maintain duplicate information. In this embodiment of the invention, store instructions/commands (stores) associated with speculative processor 120 are not written into L0 D cache 190. In this embodiment of the invention line read and write requests are issued to L1 cache 175 from either L0 D cache component. Lines fetched from L1 cache 175 are filled into L0 D cache 190 components coupled to commit processor 110 and speculative processor 120. Stores issued from commit processor 110 are written into the L0 D cache component coupled to speculative processor 120. By having exact copies of data in each L0 D cache component, internal snooping is not necessary.
  • In one embodiment of the invention, register [0016] file buffer 130 comprises an integer register buffer and a predicate register file buffer. In one embodiment of the invention the integer register file buffer comprises a plurality of write ports, a plurality of checkpoints and at least one read port. The integer register file buffer is used to communicate register values from commit processor 110 to speculative processor 120. In one embodiment of the invention, the integer register file buffer comprises eight (8) write ports, four (4) checkpoints, and one (1) read port to access any of the checkpointed contexts. In one embodiment of the invention, the integer register file buffer has an eight (8) register wide array and sixteen (16) rows. In one embodiment of the invention, the predicate register file buffer comprises a plurality of write ports, a plurality of checkpoints and at least one read port. The predicate register file buffer is used to communicate register values from commit processor 110 to speculative processor 120.and a second level register file coupled to speculative processor 120. In one embodiment of the invention, the predicate register file buffer comprises eight (8) write ports, four (4) checkpoints, and one (1) read port to access any of the checkpointed contexts. In one embodiment of the invention, the predicate register file buffer has an eight (8) register wide array and eight (8) rows.
  • FIG. 2 illustrates commit [0017] CPU 110. In one embodiment of the invention, commit CPU 110 comprises decoder 211, scoreboard 214, register file 212, and execution units 213. Likewise, FIG. 3 illustrates speculative CPU 120. In one embodiment of the invention, speculative CPU 120 comprises decoder 321, scoreboard 324, register file 322, and execution units 323. L2 cache 170 and L1 cache 175 are shared by commit CPU 110 and speculative CPU 120. In one embodiment of the invention, multiprocessor 100 is capable of executing explicitly multithreaded programs. In another embodiment, multiprocessor 100 is capable of executing single-threaded applications while using a multi-thread environment without converting the single-threaded application to an explicit multiple-thread.
  • In one embodiment of the invention, program execution begins as a single thread on one of commit [0018] CPU 110 and speculative CPU 120. In one embodiment of the invention, commit CPU 110 fetches, decodes, executes and updates register file 212, as well as issue load instructions/commands (loads) and stores to memory as instructed by the program. As the instructions are decoded, commit CPU 110 may direct speculative CPU 120 to start executing a speculative thread at some program counter value. This program counter value may be the address of the next instruction in memory, or it may be supplied as a hint by a compiler. For example, a fork at a next instruction address may be a thread forked at a call instruction. Speculative CPU 120 continues its thread execution until a program counter in commit CPU 110 reaches the same point in the program execution for which the speculative thread program counter points. Therefore, commit CPU 110 fetches, issues and commits every instruction in the program, even when an instruction belongs to a speculative thread.
  • In one embodiment of the invention, the dual execution architecture of [0019] multiprocessor 100 has a benefit wherein speculative CPU 120, executing farther in the program, provides highly efficient prefetch of instructions and data. Also, speculative CPU 120 determines the direction of many branches before the control flow of commit CPU 110 reaches these branches. In one embodiment of the invention, commit CPU 110 receives information on control flow direction from speculative CPU 120, and therefore, commit CPU 110 can avoid branch prediction for many branches and the associated misprediction penalty. In one embodiment of the invention, dependent and adjacent instructions executed correctly by the speculative thread can have the results concurrently committed in one commit cycle by commit CPU 110, saving time normally required to serially execute and propagate results between dependent instructions.
  • In one embodiment of the invention, input register values to the speculative thread are communicated through [0020] register buffer 130. All values written into register file 212, of commit CPU 110, are also written into register file buffer 130. In one embodiment of the invention when the speculative thread is spawned, a snapshot of register file 212 is available in register file buffer 130, located between commit CPU 110 and speculative CPU 120. Initially, when a speculative thread is started, none of speculative CPU 120's registers have the input value stored in them. Input registers that are needed may be read on demand from register file buffer 130. In one embodiment of the invention, scoreboard 324 in speculative CPU 120's decode stage is used to keep track of which registers are loaded from register file buffer 130, or written by the speculative thread. Those registers are valid in register file 322. All other registers are read on demand from register file buffer 130.
  • In one embodiment of the invention, input memory values to the speculative thread are read from the coherent cache hierarchy, allowing the speculative thread to access memory modified by the commit thread. In one embodiment of the invention, a cache coherency scheme is used where d-[0021] cache 190 is a write through cache, and L2 cache 170 is a write back cache using a MESI (M: modified; E: exclusive; S: shared; I: invalid) cache coherency protocol. One should note, however, that other cache coherency protocols may also be used in other embodiments of the invention.
  • Depending on the data flow in a particular program, commit [0022] CPU 110 may produce some register or memory input values after these inputs are read by the speculative thread. In one embodiment of the invention, to relax the limitations imposed by register and memory data flow, value prediction is used to provide initial input values to the speculative thread. In one embodiment of the invention, a simple value prediction method is used having passive prediction. In this embodiment, it is assumed that register and memory input values have already been produced by commit CPU 110 at the time the speculative thread is spawned.
  • In one embodiment of the invention, speculative results are written into [0023] register file 322 of CPU 120 as well as trace buffer 140. In one embodiment of the invention, trace buffer 140 is a circular buffer implemented as an array with head and tail pointers. In one embodiment of the invention, the head and tail pointers have a wrap-around bit. In one embodiment of the invention, trace buffer 140 has an array with one read port and one write port. In this embodiment of the invention, each entry has enough bytes to store the results of a number of instructions at least equal in number to the issue width of commit CPU 110. In this embodiment of the invention, each entry has a bit per instruction, with a second write port used to mark mispredicted loads.
  • In one embodiment of the invention, [0024] trace buffer 140 has one hundred-and-twenty-eight (128) entries that can each store results for six (6) instructions. In one embodiment of the invention, trace buffer 140 has four (4) partitions to support four (4) threads. In one embodiment of the invention, trace buffer 140 accommodates sixteen (16) bytes for storing two outputs per instruction, four (4) bytes to store renamed registers, and one (1) bit to mark if an instruction is a mispredicted load. In one embodiment of the invention, the mispredicted load bit can be set by six (6) write ports from load buffer 150. In one embodiment of the invention, when a thread partition is full, speculative execution is continued to prefetch into LO I cache 180 and L0 D cache 190, but results are not written into the trace buffer.
  • In one embodiment of the invention commit [0025] CPU 110 has scoreboard 214 that comprises one bit per register. In this embodiment of the invention, any modification of a register by commit CPU 110 between the fork point and the join point of a speculative thread causes the register scoreboard bit to be set. As commit CPU 110 retires the speculative thread results, it continuously keeps track in scoreboard 214 of all registers that are mispredicted. In this embodiment of the invention, instructions whose source register scoreboard bits are clear are safely committed into register file 212. Such instructions, even if dependent, do not have to be executed. There are some exceptions, however, such as loads and stores. Load and store exceptions have to be issued to memory execution units 213 to service cache misses and to check for memory ordering violations. Results of branch execution are also sent from speculative CPU 120 to commit CPU 110. Branch prediction in commit CPU 110 can be bypassed for some or all of the branches executed by speculative CPU 120.
  • In one embodiment of the invention loads and stores associated with commit [0026] processor 110 snoop load buffer 150. In one embodiment of the invention, when an instruction is replayed or if an instruction is a mispredicted load, the instructions associated destination register bit is set in scoreboard 214. When the instruction is clean, its destination register bit is cleared in scoreboard 214. Note that an instruction is clean when its sources are clean. Scoreboard 214 is cleared when all speculative thread instructions are committed.
  • In one embodiment of the invention, [0027] speculative CPU 120 does not issue store instructions to memory. In this embodiment of the invention, store instructions are posted in store buffer 160 and load instructions are posted in load buffer 150. In one embodiment of the invention, store buffer 160 is a fully associative store forwarding buffer. FIG. 4 illustrates the structure of store buffer 160 in one embodiment of the invention. In store buffer 160 (illustrated in FIG. 4) each entry 410 comprises tag portion 420, valid portion 430, data portion 440, store identification (ID) 450 and thread ID portion 460. In one embodiment of the invention data portion 440 accommodates eight (8) bytes of data. In one embodiment of the invention valid portion 430 accommodates eight (8) bits. Store ID 450 is a unique store instruction ID of the last store instruction to write into an entry 410. In one embodiment of the invention, speculative loads access store buffer 160 concurrently with L0 D cache 190 access. If the load hits a store instruction in store buffer 160, L0 D cache 190 is bypassed and a load is read from store buffer 160. In this case, store ID 450 is also read out with the data.
  • In one embodiment of the invention, load data can be obtained by [0028] speculative processor 120 from either store buffer 160 or L0 D cache 190 associated with speculative processor 120. In one embodiment of the invention, loads are posted into load buffer 150. In this embodiment of the invention, when a load is posted, a mispredicted load bit is set in trace buffer 140 in case of load buffer 150 overflow.
  • In one embodiment of the [0029] invention store buffer 160 has one hundred-and-twenty-eight (128) entries, where the entries are four (4) way set associative. In one embodiment of the invention, store buffer 160 has two (2) store and two (2) load ports. In one embodiment of the invention store buffer 160 allows a partial tag match using virtual addresses for forwarding, and a full physical tag match to validate forwarding store ID's. In one embodiment of the invention store buffer 160 stores data written in data portion 440 starting from the first byte to avoid alignment delay. In one embodiment of the invention store buffer 160 has a replacement policy that replaces the oldest store upon a store miss, otherwise it replaces a hit entry. In one embodiment of the invention thread ID 460 is an index to a partition in trace buffer 140, and has a wrap around bit. In one embodiment of the invention, a global reset of thread entries is performed by using a thread ID content addressable memory (CAM) port (not shown).
  • In one embodiment of the invention, speculative loads are posted in [0030] load buffer 150. In one embodiment of the invention, load buffer 150 is a set associate load buffer coupled to commit CPU 110. FIG. 5 illustrates the structure of load buffer 150. In load buffer 150 (illustrated in FIG. 5) each entry 510 comprises a tag portion 520, an entry valid bit portion 530, load ID 540, and load thread ID 550. In one embodiment of the invention, tag portion 520 comprises a partial address tag. In another embodiment, each entry 510 additionally has a store thread ID, a store ID, and a store valid bit (not shown). The Store ID is the ID of the forwarding store instruction if the load instruction has hit the store buffer 160.
  • In one embodiment of the invention the store ID and/or [0031] load ID 550 is an index into an entry in trace buffer 140, which is unique per instruction. In one embodiment of the invention the store valid bit is set to zero (“0”) if a load hits store buffer 160. In this embodiment of the invention, the store valid bit is set to one (“1”) if the load missed store buffer 160. In one embodiment of the invention, a replayed store that has a matching store ID clears (sets to “0”) the store valid bit and sets the mispredicted bit in the load entry in trace buffer 140. In one embodiment of the invention, a later store in the program that matches tag portion 520 clears (sets to “0”) the store valid bit and sets the mispredicted bit in the load entry in trace buffer 140. In one embodiment of the invention, a clean (not replayed) store that matches the store ID sets the store valid bit to “1” (one). In one embodiment of the invention, upon a clean (not replayed) load not matching any tag 520, or a load matching tag 520 with the store valid bit clear (set to “0”), the pipeline is flushed, the mispredicted bit in the load entry in trace buffer 140 is set to one (“1”), and the load instruction is restarted. In one embodiment of the invention, when a load entry is retired, entry valid bit portion 530 is cleared.
  • In one embodiment of the invention, [0032] load buffer 150 has sixty-four (64) entries that are four (4) way set associative. In one embodiment of the invention, load buffer 150 has a policy that replaces an oldest load. In one embodiment of the invention a global reset of thread entries is performed by using a thread ID CAM port (not shown).
  • In one embodiment of the invention, commit [0033] CPU 110 issues all loads and stores to memory execution units 213 (address generation unit, load buffer, data cache), including loads that were correctly executed by speculative processor 120. Valid load data with potentially dependent instructions could be committed, even when a load instruction issued by commit processor 110 misses L0 D cache 190. In one embodiment of the invention, a load miss request is sent to L2 cache 170 to fill the line, but the return data is prevented from writing to register file 212. In one embodiment of the invention, every load instruction accesses load buffer 150. A load miss of load buffer 150 causes a pipeline flush and a restart of the load instruction and all instructions that follow it.
  • In one embodiment of the invention, stores also access [0034] load buffer 150. In one embodiment of the invention, when an address matching store that also matches store ID 540, validity bit 530 is set in an entry 510. In this embodiment of the invention, a later store that hits an entry 510 invalidates the entry 510. In this embodiment of the invention when a store invalidates an entry 510, a load ID 550 is used to index trace buffer 140 to set the miss predicted load bit. In this embodiment of the invention when a load is fetched and the mispredicted load bit in trace buffer 140 is found to be set, a register bit is set in scoreboard 214. This register scoreboard bit may also be called the load destination scoreboard bit. In this embodiment of the invention, this optimization reduces the number of flushes that occur as the result of load misses in load buffer 150. One should note that commit CPU 110 concurrently reads trace buffer 140 and LO I cache 180. In this embodiment of the invention, this concurrent read of trace buffer 140 and L0 I cache 180 enables setting a scoreboard register bit in scoreboard 214 for a mispredicted load instruction in time without having to stall the execution pipeline.
  • In one embodiment of the invention “replay mode” execution starts at the first instruction of a speculative thread. When a partition in [0035] trace buffer 140 is becoming empty, replay mode as well as speculative thread execution are terminated. In one embodiment of the invention, instruction issue and register rename stages are modified as follows: no register renaming since trace buffer 140 supplies names; all instructions up to the next replayed instruction, including dependent instructions are issued; clean (not replayed) instructions are issued as no-operation (NOPs) instructions; all loads and stores are issued to memory, and clean instruction results are committed from trace buffer 140 to register file 130.
  • FIG. 6 illustrates system having an embodiment of the invention. [0036] System 600 comprises multiprocessor 100 (see FIG. 1), main memory 610, north bridge 620, hublink 630, and south bridge 640. Typically, the chief responsibility of north bridge 620 is the multiprocessor interface. In addition, north bridge 620 may also have controllers for an accelerated graphics port (AGP), memory 610, and hub link 630, among others. South bridge 640 is typically responsible for a hard drive controller, a universal serial bus (USB) host controller, an input/output (I/O) controller, and any integrated sound devices, amongst others. In one embodiment of the invention, multiprocessor 100 contains embodiments of the invention described above.
  • FIG. 7 illustrates a process for an embodiment of the invention. [0037] Process 700 begins with block 170 which, starts the execution of a program thread by a first processor, such as commit processor 110. Block 720 performs fetching of commands by the first processor. Block 730 performs decoding of commands by the first processor. Block 740 instructs a second processor, such as speculative processor 120, to begin program execution of the same thread as the first processor, but at a location further in the program stream. Block 750 begins execution of the program thread by the second processor. On block 751 the second processor fetches commands. In block 752, the second processor performs decoding.
  • In [0038] block 753, the second processor updates a register file. In block 754, the second processor transmits control flow information to the first processor. In block 760, the first processor updates a register file. Block 770 determines whether the first processor has reached the same point of execution as the second processor. If block 770 determines that the first processor has not yet reached the same point in the program, process 700 continues with block 780 to continue execution. If block 770 determines that the first processor has reached the same point in the execution as the second processor, block 790 determines if the program is complete. If block 790 determines that the program is complete, process 700 stops, otherwise, process 700 continues at A.
  • With the use of embodiments of the invention discussed above, performance can be increased when executing single-threaded applications as a result of the speculative long-range multithreaded pre-fetch and pre-execution. The embodiments of the invention can be implemented with in-order and out-of-order multithreaded processors. [0039]
  • The above embodiments can also be stored on a device or machine-readable medium and be read by a machine to perform instructions. The machine-readable medium includes any mechanism that provides (i.e., stores and/or transmits) information in a form readable by a machine (e.g., a computer). For example, a machine-readable medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.). The device or machine-readable medium may include a solid state memory device and/or a rotating magnetic or optical disk. The device or machine-readable medium may be distributed when partitions of instructions have been separated into different machines, such as across an interconnection of computers. [0040]
  • While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art. [0041]

Claims (35)

What is claimed is:
1. A apparatus comprising:
a first processor and a second processor;
a plurality of memory devices coupled to the first processor and the second processor;
a register buffer coupled to the first processor and the second processor;
a trace buffer coupled to the first processor and the second processor; and
a plurality of memory instruction buffers coupled to the first processor and the second processor;
wherein the first processor and the second processor perform single threaded applications using multithreading resources.
2. The apparatus of claim 1, wherein the memory devices comprise of a plurality of cache devices.
3. The apparatus of claim 1, wherein the first processor is coupled to at least one of a plurality of zero level (L0) data cache devices and at least one of a plurality of L0 instruction cache devices, and the second processor is coupled to at least one of the plurality of L0 data cache devices and at least one of the plurality of L0 instruction cache devices.
4. The apparatus of claim 3, wherein each of the plurality of L0 data cache devices having exact copies of data cache instructions, and each of the plurality of L0 instruction cache devices having exact copies of instruction cache instructions.
5. The apparatus of claim 1, wherein the plurality of memory instruction buffers includes at least one store forwarding buffer and at least one load-ordering buffer.
6. The apparatus of claim 5, the at least one store forwarding buffer comprising a structure having a plurality of entries, each of the plurality of entries having a tag portion, a validity portion, a data portion, a store instruction identification (ID) portion, and a thread ID portion.
7. The apparatus of claim 6, the at least one load ordering buffer comprising a structure having a plurality of entries, each of the plurality of entries having a tag portion, an entry validity portion, a load identification (ID) portion, and a load thread ID portion.
8. The apparatus of claim 7, each of the plurality of entries further having a store thread ID portion, a store instruction ID portion, and a store instruction validity portion.
9. The apparatus of claim 1, the trace buffer is a circular buffer having an array with head and tail pointers, the head and tail pointers having a wrap-around bit.
10. The apparatus of claim 1, the register buffer comprising an integer register buffer and a predicate register buffer.
11. A method comprising:
executing a plurality of instructions in a first thread by a first processor; and
executing the plurality of instructions in the first thread by a second processor as directed by the first processor, the second processor executing the plurality of instructions ahead of the first processor.
12. The method of claim 11, further including:
transmitting control flow information from the second processor to the first processor, the first processor avoiding branch prediction by receiving the control flow information; and
transmitting results from the second processor to the first processor, the first processor avoiding executing a portion of instructions by committing the results of the portion of instructions into a register file from a trace buffer.
13. The method of claim 12, further including:
duplicating memory information in separate memory devices for independent access by the first processor and the second processor.
14. The method of claim 12, further including:
clearing a store validity bit and setting a mispredicted bit in a load entry in the trace buffer if a replayed store instruction has a matching store identification (ID) portion.
15. The method of claim 12, further including:
setting a store validity bit if a store instruction that is not replayed matches a store identification (ID) portion.
16. The method of claim 12, further including:
flushing a pipeline, setting a mispredicted bit in a load entry in the trace buffer and restarting a load instruction if one of the load is not replayed and does not match a tag portion in a load buffer, and the load instruction matches the tag portion in the load buffer while a store valid bit is not set.
17. The method of claim 12, further including:
executing a replay mode at a first instruction of a speculative thread;
terminating the replay mode and the execution of the speculative thread if a partition in the trace buffer is approaching an empty state.
18. The method of claim 12, further including:
supplying names from the trace buffer to preclude register renaming;
issuing all instructions up to a next replayed instruction including dependent instructions;
issuing instructions that are not replayed as no-operation (NOPs) instructions;
issuing all load instructions and store instructions to memory;
committing non-replayed instructions from the trace buffer to the register file.
19. The method of claim 12, further including:
clearing a valid bit in an entry in a load buffer if the load entry is retired.
20. An apparatus comprising a machine-readable medium containing instructions which, when executed by a machine, cause the machine to perform operations comprising:
executing a first thread from a first processor; and
executing the first thread from a second processor as directed by the first processor, the second processor executing instructions ahead of the first processor.
21. The apparatus of claim 20, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
transmitting control flow information from the second processor to the first processor, the first processor avoiding branch prediction by receiving the control flow information.
22. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
duplicating memory information in separate memory devices for independent access by the first processor and the second processor.
23. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
clearing a store validity bit and setting a mispredicted bit in a load entry in the trace buffer if a replayed store instruction has a matching store identification (ID) portion.
24. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
setting a store validity bit if a store instruction that is not replayed matches a store identification (ID) portion.
25. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
flushing a pipeline, setting a mispredicted bit in a load entry in the trace buffer and restarting a load instruction if one of the load is not replayed and does not match a tag portion in a load buffer, and the load instruction matches the tag portion in the load buffer while a store valid bit is not set.
26. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
executing a replay mode at a first instruction of a speculative thread;
terminating the replay mode and the execution of the speculative thread if a partition in the trace buffer is approaching an empty state.
27. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
supplying names from the trace buffer to preclude register renaming;
issuing all instructions up to a next replayed instruction including dependent instructions;
issuing instructions that are not replayed as no-operation (NOPs) instructions;
issuing all load instructions and store instructions to memory;
committing non-replayed instructions from the trace buffer to the register file.
28. The apparatus of claim 21, further containing instructions which, when executed by a machine, cause the machine to perform operations including:
clearing a valid bit in an entry in a load buffer if the load entry is retired.
29. A system comprising:
a first processor;
a second processor;
a bus coupled to the first processor and the second processor;
a main memory coupled to the bus;
a plurality of local memory devices coupled to the first processor and the second processor;
a register buffer coupled to the first processor and the second processor;
a trace buffer coupled to the first processor and the second processor; and
a plurality of memory instruction buffers coupled to the first processor and the second processor,
wherein the first processor and the second processor perform single threaded applications using multithreading resources.
30. The system of claim 29, the local memory devices comprise a plurality of cache devices.
31. The system of claim 30, the first processor is coupled to at least one of a plurality of zero level (L0) data cache devices and at least one of a plurality of L0 instruction cache devices, and the second processor is coupled to at least one of the plurality of L0 data cache devices and at least one of the plurality of L0 instruction cache devices.
32. The system of claim 31, wherein each of the plurality of L0 data cache devices having exact copies of data cache instructions, and each of the plurality of L0 instruction cache devices having exact copies of instruction cache instructions.
33. The system of claim 31, the first processor and the second processor each sharing a first level (L1) cache device and a second level (L2) cache device.
34. The system of claim 29, wherein the plurality of memory instruction buffers includes at least one store forwarding buffer and at least one load ordering buffer.
35. The system of claim 34, the at least one store forwarding buffer including a structure having a plurality of entries, each of the plurality of entries having a tag portion, a validity portion, a data portion, a store instruction identification (ID) portion, and a thread ID portion.
US09/896,526 2001-06-28 2001-06-28 Avoiding execution of instructions in a second processor by committing results obtained from speculative execution of the instructions in a first processor Expired - Fee Related US7752423B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US09/896,526 US7752423B2 (en) 2001-06-28 2001-06-28 Avoiding execution of instructions in a second processor by committing results obtained from speculative execution of the instructions in a first processor
GB0329899A GB2393297B (en) 2001-06-28 2002-06-14 A multithreaded processor capable of implicit multithreaded execution of a single-thread program
KR1020037016962A KR100783828B1 (en) 2001-06-28 2002-06-14 A multithreaded processor capable of implicit multithreaded execution of a single-thread program
CNB028128540A CN100403257C (en) 2001-06-28 2002-06-14 Multithreaded processor capable of implicit multithreaded execution of a single-thread program
JP2003509306A JP2005521924A (en) 2001-06-28 2002-06-14 Multi-thread processor that enables implicit execution of single-thread programs in multiple threads
GB0507006A GB2412204B (en) 2001-06-28 2002-06-14 Method of executing a single-thread program in a multi-threading environment
PCT/US2002/019101 WO2003003196A2 (en) 2001-06-28 2002-06-14 A multithreaded processor capable of implicit multithreaded execution of a single-thread program
DE10296989T DE10296989B4 (en) 2001-06-28 2002-06-14 Multi-thread processor with the capability of an implicit multi-thread execution of a one-thread program
HK04104979A HK1062056A1 (en) 2001-06-28 2004-07-08 A multithreaded processor capable of implicit multithreaded execution of a single-thread program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/896,526 US7752423B2 (en) 2001-06-28 2001-06-28 Avoiding execution of instructions in a second processor by committing results obtained from speculative execution of the instructions in a first processor

Publications (2)

Publication Number Publication Date
US20030005266A1 true US20030005266A1 (en) 2003-01-02
US7752423B2 US7752423B2 (en) 2010-07-06

Family

ID=25406358

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/896,526 Expired - Fee Related US7752423B2 (en) 2001-06-28 2001-06-28 Avoiding execution of instructions in a second processor by committing results obtained from speculative execution of the instructions in a first processor

Country Status (8)

Country Link
US (1) US7752423B2 (en)
JP (1) JP2005521924A (en)
KR (1) KR100783828B1 (en)
CN (1) CN100403257C (en)
DE (1) DE10296989B4 (en)
GB (2) GB2393297B (en)
HK (1) HK1062056A1 (en)
WO (1) WO2003003196A2 (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030135711A1 (en) * 2002-01-15 2003-07-17 Intel Corporation Apparatus and method for scheduling threads in multi-threading processors
US20030188141A1 (en) * 2002-03-29 2003-10-02 Shailender Chaudhry Time-multiplexed speculative multi-threading to support single-threaded applications
US20040193849A1 (en) * 2003-03-25 2004-09-30 Dundas James D. Predicated load miss handling
US20040225870A1 (en) * 2003-05-07 2004-11-11 Srinivasan Srikanth T. Method and apparatus for reducing wrong path execution in a speculative multi-threaded processor
US20040255104A1 (en) * 2003-06-12 2004-12-16 Intel Corporation Method and apparatus for recycling candidate branch outcomes after a wrong-path execution in a superscalar processor
US7278058B1 (en) * 2004-08-25 2007-10-02 Unisys Corporation Methods and apparatus to diagnose software
US20070255782A1 (en) * 2005-09-26 2007-11-01 Advanced Cluster Systems, Llc Clustered computer system
US20070271420A1 (en) * 2004-08-18 2007-11-22 Mcdonald Christopher F Scaling Address Space Utilization In A Multi-Threaded, Multi-Processor Computer
US20080120489A1 (en) * 2006-11-16 2008-05-22 Shinri Inamori Scalable Multi-Threaded Sequencing/Synchronizing Processor Architecture
US20080148244A1 (en) * 2006-06-13 2008-06-19 Zvi Tannenbaum Cluster computing support for application programs
US20090222796A1 (en) * 2008-02-29 2009-09-03 International Business Machines Corporation Viral trace
US20090307466A1 (en) * 2008-06-10 2009-12-10 Eric Lawrence Barsness Resource Sharing Techniques in a Parallel Processing Computing System
US20100005277A1 (en) * 2006-10-27 2010-01-07 Enric Gibert Communicating Between Multiple Threads In A Processor
US7650485B1 (en) * 2007-04-10 2010-01-19 Sun Microsystems, Inc. Structure and method for achieving very large lookahead instruction window via non-sequential instruction fetch and issue
US7774531B1 (en) * 2005-09-13 2010-08-10 Oracle America, Inc. Allocating processor resources during speculative execution using a temporal ordering policy
US20100318998A1 (en) * 2009-06-16 2010-12-16 Golla Robert T System and Method for Out-of-Order Resource Allocation and Deallocation in a Threaded Machine
US20130046936A1 (en) * 2011-08-19 2013-02-21 Thang M. Tran Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US20130212585A1 (en) * 2012-02-10 2013-08-15 Thang M. Tran Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US20140281407A1 (en) * 2013-03-15 2014-09-18 Yevgeniy M. Astigeyevich Methods and apparatus to compile instructions for a vector of instruction pointers processor architecture
CN104111868A (en) * 2013-04-22 2014-10-22 华为技术有限公司 Scheduling method and device for speculative multithreading
CN104794128A (en) * 2014-01-20 2015-07-22 阿里巴巴集团控股有限公司 Data processing method and device
US9317429B2 (en) 2011-09-30 2016-04-19 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy over common memory channels
US9342453B2 (en) 2011-09-30 2016-05-17 Intel Corporation Memory channel that supports near memory and far memory access
US9378142B2 (en) 2011-09-30 2016-06-28 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy having different operating modes
US20160239307A1 (en) * 2015-02-13 2016-08-18 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
TWI553484B (en) * 2014-04-01 2016-10-11 Nat Univ Chung Cheng Prospective measurement processing device and processing method thereof
US9600416B2 (en) 2011-09-30 2017-03-21 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy
US20180316629A1 (en) * 2017-04-27 2018-11-01 Dell Products L.P. Execution Traces
US10318302B2 (en) * 2016-06-03 2019-06-11 Synopsys, Inc. Thread switching in microprocessor without full save and restore of register file
US10552158B2 (en) 2016-08-18 2020-02-04 Synopsys, Inc. Reorder buffer scoreboard having multiple valid bits to indicate a location of data
US10558463B2 (en) 2016-06-03 2020-02-11 Synopsys, Inc. Communication between threads of multi-thread processor
US10613859B2 (en) 2016-08-18 2020-04-07 Synopsys, Inc. Triple-pass execution using a retire queue having a functional unit to independently execute long latency instructions and dependent instructions
US10628320B2 (en) 2016-06-03 2020-04-21 Synopsys, Inc. Modulization of cache structure utilizing independent tag array and data array in microprocessor

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7739483B2 (en) * 2001-09-28 2010-06-15 Intel Corporation Method and apparatus for increasing load bandwidth
US7000091B2 (en) 2002-08-08 2006-02-14 Hewlett-Packard Development Company, L.P. System and method for independent branching in systems with plural processing elements
US7496915B2 (en) * 2003-04-24 2009-02-24 International Business Machines Corporation Dynamic switching of multithreaded processor between single threaded and simultaneous multithreaded modes
US7444497B2 (en) * 2003-12-30 2008-10-28 Intel Corporation Managing external memory updates for fault detection in redundant multithreading systems using speculative memory support
US8484516B2 (en) * 2007-04-11 2013-07-09 Qualcomm Incorporated Inter-thread trace alignment method and system for a multi-threaded processor
JP5105359B2 (en) * 2007-12-14 2012-12-26 富士通株式会社 Central processing unit, selection circuit and selection method
KR101233810B1 (en) * 2008-06-17 2013-02-18 주식회사 미라지웍스 Apparatus and method of managing system resources of computer and processes
US8933953B2 (en) * 2008-06-30 2015-01-13 Intel Corporation Managing active thread dependencies in graphics processing
US9672019B2 (en) 2008-11-24 2017-06-06 Intel Corporation Systems, apparatuses, and methods for a hardware and software system to automatically decompose a program to multiple parallel threads
US9189233B2 (en) 2008-11-24 2015-11-17 Intel Corporation Systems, apparatuses, and methods for a hardware and software system to automatically decompose a program to multiple parallel threads
CN102171650B (en) * 2008-11-24 2014-09-17 英特尔公司 Systems, methods, and apparatuses to decompose a sequential program into multiple threads, execute said threads, and reconstruct the sequential execution
US10621092B2 (en) 2008-11-24 2020-04-14 Intel Corporation Merging level cache and data cache units having indicator bits related to speculative execution
US20110320787A1 (en) * 2010-06-28 2011-12-29 Qualcomm Incorporated Indirect Branch Hint
WO2013048468A1 (en) 2011-09-30 2013-04-04 Intel Corporation Instruction and logic to perform dynamic binary translation
WO2013188306A1 (en) 2012-06-15 2013-12-19 Soft Machines, Inc. Reordered speculative instruction sequences with a disambiguation-free out of order load store queue
WO2013188696A2 (en) 2012-06-15 2013-12-19 Soft Machines, Inc. An instruction definition to implement load store reordering and optimization
KR102248470B1 (en) * 2012-06-15 2021-05-06 인텔 코포레이션 A semaphore method and system with out of order loads in a memory consistency model that constitutes loads reading from memory in order
WO2013188701A1 (en) 2012-06-15 2013-12-19 Soft Machines, Inc. A method and system for implementing recovery from speculative forwarding miss-predictions/errors resulting from load store reordering and optimization
KR101996351B1 (en) 2012-06-15 2019-07-05 인텔 코포레이션 A virtual load store queue having a dynamic dispatch window with a unified structure
TWI599879B (en) 2012-06-15 2017-09-21 英特爾股份有限公司 Disambiguation-free out of order load store queue methods in a processor, and microprocessors
WO2013188460A2 (en) 2012-06-15 2013-12-19 Soft Machines, Inc. A virtual load store queue having a dynamic dispatch window with a distributed structure
US9384002B2 (en) * 2012-11-16 2016-07-05 International Business Machines Corporation Speculative finish of instruction execution in a processor core
US9891936B2 (en) 2013-09-27 2018-02-13 Intel Corporation Method and apparatus for page-level monitoring
US9354883B2 (en) * 2014-03-27 2016-05-31 International Business Machines Corporation Dynamic enablement of multithreading
KR20160054850A (en) * 2014-11-07 2016-05-17 삼성전자주식회사 Apparatus and method for operating processors
GB2549239A (en) 2014-11-13 2017-10-18 Advanced Risc Mach Ltd Context sensitive barriers in data processing
US9715390B2 (en) * 2015-04-19 2017-07-25 Centipede Semi Ltd. Run-time parallelization of code execution based on an approximate register-access specification
CN109964207B (en) * 2016-11-11 2022-09-27 微软技术许可有限责任公司 Computer system for time travel debugging and analysis, method implemented at computer system, and hardware storage device
WO2018086131A1 (en) * 2016-11-14 2018-05-17 SZ DJI Technology Co., Ltd. Data flow scheduling between processors
US10275250B2 (en) * 2017-03-06 2019-04-30 Arm Limited Defer buffer
US10318332B2 (en) 2017-04-01 2019-06-11 Microsoft Technology Licensing, Llc Virtual machine execution tracing
CN109375991B (en) * 2017-08-10 2021-07-27 中国石油化工股份有限公司 Method and system for transforming single process into multiple processes
US11907091B2 (en) 2018-02-16 2024-02-20 Microsoft Technology Licensing, Llc Trace recording by logging influxes to an upper-layer shared cache, plus cache coherence protocol transitions among lower-layer caches
CN117270972B (en) * 2023-11-21 2024-03-15 芯来智融半导体科技(上海)有限公司 Instruction processing method, device, equipment and medium

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5961631A (en) * 1997-07-16 1999-10-05 Arm Limited Data processing apparatus and method for pre-fetching an instruction in to an instruction cache
US6161167A (en) * 1997-06-27 2000-12-12 Advanced Micro Devices, Inc. Fully associate cache employing LRU groups for cache replacement and mechanism for selecting an LRU group
US6233599B1 (en) * 1997-07-10 2001-05-15 International Business Machines Corporation Apparatus and method for retrofitting multi-threaded operations on a computer by partitioning and overlapping registers
US20010037447A1 (en) * 2000-04-19 2001-11-01 Mukherjee Shubhendu S. Simultaneous and redundantly threaded processor branch outcome queue
US6353881B1 (en) * 1999-05-17 2002-03-05 Sun Microsystems, Inc. Supporting space-time dimensional program execution by selectively versioning memory updates
US20020144083A1 (en) * 2001-03-30 2002-10-03 Hong Wang Software-based speculative pre-computation and multithreading
US6598122B2 (en) * 2000-04-19 2003-07-22 Hewlett-Packard Development Company, L.P. Active load address buffer
US6629271B1 (en) * 1999-12-28 2003-09-30 Intel Corporation Technique for synchronizing faults in a processor having a replay system
US6757811B1 (en) * 2000-04-19 2004-06-29 Hewlett-Packard Development Company, L.P. Slack fetch to improve performance in a simultaneous and redundantly threaded processor
US7017073B2 (en) * 2001-02-28 2006-03-21 International Business Machines Corporation Method and apparatus for fault-tolerance via dual thread crosschecking

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69131228T2 (en) * 1990-08-23 1999-09-23 Cray Research Inc DOUBLE LEVEL SEQUENCE PLANNING OF PROCESSES
US5642478A (en) * 1994-12-29 1997-06-24 International Business Machines Corporation Distributed trace data acquisition system
US6463522B1 (en) * 1997-12-16 2002-10-08 Intel Corporation Memory system for ordering load and store instructions in a processor that performs multithread execution
US6507862B1 (en) 1999-05-11 2003-01-14 Sun Microsystems, Inc. Switching method in a multi-threaded processor
US6574725B1 (en) 1999-11-01 2003-06-03 Advanced Micro Devices, Inc. Method and mechanism for speculatively executing threads of instructions

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161167A (en) * 1997-06-27 2000-12-12 Advanced Micro Devices, Inc. Fully associate cache employing LRU groups for cache replacement and mechanism for selecting an LRU group
US6233599B1 (en) * 1997-07-10 2001-05-15 International Business Machines Corporation Apparatus and method for retrofitting multi-threaded operations on a computer by partitioning and overlapping registers
US5961631A (en) * 1997-07-16 1999-10-05 Arm Limited Data processing apparatus and method for pre-fetching an instruction in to an instruction cache
US6353881B1 (en) * 1999-05-17 2002-03-05 Sun Microsystems, Inc. Supporting space-time dimensional program execution by selectively versioning memory updates
US6629271B1 (en) * 1999-12-28 2003-09-30 Intel Corporation Technique for synchronizing faults in a processor having a replay system
US20010037447A1 (en) * 2000-04-19 2001-11-01 Mukherjee Shubhendu S. Simultaneous and redundantly threaded processor branch outcome queue
US6598122B2 (en) * 2000-04-19 2003-07-22 Hewlett-Packard Development Company, L.P. Active load address buffer
US6757811B1 (en) * 2000-04-19 2004-06-29 Hewlett-Packard Development Company, L.P. Slack fetch to improve performance in a simultaneous and redundantly threaded processor
US7017073B2 (en) * 2001-02-28 2006-03-21 International Business Machines Corporation Method and apparatus for fault-tolerance via dual thread crosschecking
US20020144083A1 (en) * 2001-03-30 2002-10-03 Hong Wang Software-based speculative pre-computation and multithreading

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7574588B2 (en) * 2000-05-31 2009-08-11 Sun Microsystems, Inc. Time-multiplexed speculative multi-threading to support single-threaded applications
US20060149946A1 (en) * 2000-05-31 2006-07-06 Shailender Chaudhry Time-multiplexed speculative multi-threading to support single-threaded applications
US20030135711A1 (en) * 2002-01-15 2003-07-17 Intel Corporation Apparatus and method for scheduling threads in multi-threading processors
US7500240B2 (en) * 2002-01-15 2009-03-03 Intel Corporation Apparatus and method for scheduling threads in multi-threading processors
US20030188141A1 (en) * 2002-03-29 2003-10-02 Shailender Chaudhry Time-multiplexed speculative multi-threading to support single-threaded applications
US20040193849A1 (en) * 2003-03-25 2004-09-30 Dundas James D. Predicated load miss handling
US20040225870A1 (en) * 2003-05-07 2004-11-11 Srinivasan Srikanth T. Method and apparatus for reducing wrong path execution in a speculative multi-threaded processor
US20040255104A1 (en) * 2003-06-12 2004-12-16 Intel Corporation Method and apparatus for recycling candidate branch outcomes after a wrong-path execution in a superscalar processor
US20070271420A1 (en) * 2004-08-18 2007-11-22 Mcdonald Christopher F Scaling Address Space Utilization In A Multi-Threaded, Multi-Processor Computer
US7536531B2 (en) * 2004-08-18 2009-05-19 International Business Machines Corporation Scaling address space utilization in a multi-threaded, multi-processor computer
US7278058B1 (en) * 2004-08-25 2007-10-02 Unisys Corporation Methods and apparatus to diagnose software
US7774531B1 (en) * 2005-09-13 2010-08-10 Oracle America, Inc. Allocating processor resources during speculative execution using a temporal ordering policy
US20070255782A1 (en) * 2005-09-26 2007-11-01 Advanced Cluster Systems, Llc Clustered computer system
US8849889B1 (en) 2005-09-26 2014-09-30 Advanced Cluster Systems, Inc. Clustered computer system
US8402080B2 (en) 2005-09-26 2013-03-19 Advanced Cluster Systems, Inc. Clustered computer system
US10333768B2 (en) 2006-06-13 2019-06-25 Advanced Cluster Systems, Inc. Cluster computing
US11128519B2 (en) 2006-06-13 2021-09-21 Advanced Cluster Systems, Inc. Cluster computing
US11563621B2 (en) 2006-06-13 2023-01-24 Advanced Cluster Systems, Inc. Cluster computing
US20090222543A1 (en) * 2006-06-13 2009-09-03 Zvi Tannenbaum Automatic cluster node discovery and configuration
US20080148244A1 (en) * 2006-06-13 2008-06-19 Zvi Tannenbaum Cluster computing support for application programs
US11570034B2 (en) 2006-06-13 2023-01-31 Advanced Cluster Systems, Inc. Cluster computing
US8082289B2 (en) 2006-06-13 2011-12-20 Advanced Cluster Systems, Inc. Cluster computing support for application programs
US8140612B2 (en) 2006-06-13 2012-03-20 Advanced Cluster Systems, Inc. Cluster computing support for application programs
US8676877B2 (en) 2006-06-13 2014-03-18 Advanced Cluster Systems, Inc. Cluster computing using special purpose microprocessors
US11811582B2 (en) 2006-06-13 2023-11-07 Advanced Cluster Systems, Inc. Cluster computing
US8402083B2 (en) 2006-06-13 2013-03-19 Advanced Cluster Systems, Inc. Automatic cluster node discovery and configuration
US20100005277A1 (en) * 2006-10-27 2010-01-07 Enric Gibert Communicating Between Multiple Threads In A Processor
US8261046B2 (en) * 2006-10-27 2012-09-04 Intel Corporation Access of register files of other threads using synchronization
US20080120489A1 (en) * 2006-11-16 2008-05-22 Shinri Inamori Scalable Multi-Threaded Sequencing/Synchronizing Processor Architecture
US7797514B2 (en) * 2006-11-16 2010-09-14 Texas Instruments Incorporated Scalable multi-threaded sequencing/synchronizing processor architecture
US7650485B1 (en) * 2007-04-10 2010-01-19 Sun Microsystems, Inc. Structure and method for achieving very large lookahead instruction window via non-sequential instruction fetch and issue
US20090222796A1 (en) * 2008-02-29 2009-09-03 International Business Machines Corporation Viral trace
US9098625B2 (en) * 2008-02-29 2015-08-04 International Business Machines Corporation Viral trace
US8195896B2 (en) * 2008-06-10 2012-06-05 International Business Machines Corporation Resource sharing techniques in a parallel processing computing system utilizing locks by replicating or shadowing execution contexts
US20090307466A1 (en) * 2008-06-10 2009-12-10 Eric Lawrence Barsness Resource Sharing Techniques in a Parallel Processing Computing System
US20100318998A1 (en) * 2009-06-16 2010-12-16 Golla Robert T System and Method for Out-of-Order Resource Allocation and Deallocation in a Threaded Machine
US9690625B2 (en) * 2009-06-16 2017-06-27 Oracle America, Inc. System and method for out-of-order resource allocation and deallocation in a threaded machine
US20130046936A1 (en) * 2011-08-19 2013-02-21 Thang M. Tran Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US9424190B2 (en) * 2011-08-19 2016-08-23 Freescale Semiconductor, Inc. Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US10241912B2 (en) 2011-09-30 2019-03-26 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy
US10282323B2 (en) 2011-09-30 2019-05-07 Intel Corporation Memory channel that supports near memory and far memory access
US10691626B2 (en) 2011-09-30 2020-06-23 Intel Corporation Memory channel that supports near memory and far memory access
US9342453B2 (en) 2011-09-30 2016-05-17 Intel Corporation Memory channel that supports near memory and far memory access
US10719443B2 (en) 2011-09-30 2020-07-21 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy
US10282322B2 (en) 2011-09-30 2019-05-07 Intel Corporation Memory channel that supports near memory and far memory access
US10241943B2 (en) 2011-09-30 2019-03-26 Intel Corporation Memory channel that supports near memory and far memory access
US9600416B2 (en) 2011-09-30 2017-03-21 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy
US9619408B2 (en) 2011-09-30 2017-04-11 Intel Corporation Memory channel that supports near memory and far memory access
US9378142B2 (en) 2011-09-30 2016-06-28 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy having different operating modes
US9317429B2 (en) 2011-09-30 2016-04-19 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy over common memory channels
US11132298B2 (en) 2011-09-30 2021-09-28 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy having different operating modes
US10102126B2 (en) 2011-09-30 2018-10-16 Intel Corporation Apparatus and method for implementing a multi-level memory hierarchy having different operating modes
US8966232B2 (en) * 2012-02-10 2015-02-24 Freescale Semiconductor, Inc. Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US20130212585A1 (en) * 2012-02-10 2013-08-15 Thang M. Tran Data processing system operable in single and multi-thread modes and having multiple caches and method of operation
US10430191B2 (en) 2013-03-15 2019-10-01 Intel Corporation Methods and apparatus to compile instructions for a vector of instruction pointers processor architecture to enable speculative execution and avoid data corruption
US20140281407A1 (en) * 2013-03-15 2014-09-18 Yevgeniy M. Astigeyevich Methods and apparatus to compile instructions for a vector of instruction pointers processor architecture
US9086873B2 (en) * 2013-03-15 2015-07-21 Intel Corporation Methods and apparatus to compile instructions for a vector of instruction pointers processor architecture
CN104111868A (en) * 2013-04-22 2014-10-22 华为技术有限公司 Scheduling method and device for speculative multithreading
CN104794128A (en) * 2014-01-20 2015-07-22 阿里巴巴集团控股有限公司 Data processing method and device
TWI553484B (en) * 2014-04-01 2016-10-11 Nat Univ Chung Cheng Prospective measurement processing device and processing method thereof
US9652248B2 (en) * 2015-02-13 2017-05-16 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
US9928075B2 (en) * 2015-02-13 2018-03-27 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
US20160364242A1 (en) * 2015-02-13 2016-12-15 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
US9495167B2 (en) * 2015-02-13 2016-11-15 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
US20160239307A1 (en) * 2015-02-13 2016-08-18 International Business Machines Corporation Load queue entry reuse for operand store compare history table update
US10558463B2 (en) 2016-06-03 2020-02-11 Synopsys, Inc. Communication between threads of multi-thread processor
US10628320B2 (en) 2016-06-03 2020-04-21 Synopsys, Inc. Modulization of cache structure utilizing independent tag array and data array in microprocessor
US10318302B2 (en) * 2016-06-03 2019-06-11 Synopsys, Inc. Thread switching in microprocessor without full save and restore of register file
US10552158B2 (en) 2016-08-18 2020-02-04 Synopsys, Inc. Reorder buffer scoreboard having multiple valid bits to indicate a location of data
US10613859B2 (en) 2016-08-18 2020-04-07 Synopsys, Inc. Triple-pass execution using a retire queue having a functional unit to independently execute long latency instructions and dependent instructions
US10356015B2 (en) * 2017-04-27 2019-07-16 Dell Products L.P. Execution traces
US20180316629A1 (en) * 2017-04-27 2018-11-01 Dell Products L.P. Execution Traces

Also Published As

Publication number Publication date
WO2003003196A3 (en) 2003-05-01
GB0329899D0 (en) 2004-01-28
GB2393297A (en) 2004-03-24
US7752423B2 (en) 2010-07-06
WO2003003196A2 (en) 2003-01-09
GB2393297B (en) 2005-11-23
DE10296989T5 (en) 2004-10-14
JP2005521924A (en) 2005-07-21
DE10296989B4 (en) 2008-06-26
GB2412204B (en) 2005-11-23
CN1732433A (en) 2006-02-08
KR100783828B1 (en) 2007-12-10
CN100403257C (en) 2008-07-16
GB2412204A (en) 2005-09-21
KR20040022436A (en) 2004-03-12
HK1062056A1 (en) 2004-10-15
GB0507006D0 (en) 2005-05-11

Similar Documents

Publication Publication Date Title
US7752423B2 (en) Avoiding execution of instructions in a second processor by committing results obtained from speculative execution of the instructions in a first processor
US10534616B2 (en) Load-hit-load detection in an out-of-order processor
US10977047B2 (en) Hazard detection of out-of-order execution of load and store instructions in processors without using real addresses
US6484254B1 (en) Method, apparatus, and system for maintaining processor ordering by checking load addresses of unretired load instructions against snooping store addresses
US8275976B2 (en) Hierarchical instruction scheduler facilitating instruction replay
JP4578042B2 (en) Fast multithreading for closely coupled multiprocessors.
US7055021B2 (en) Out-of-order processor that reduces mis-speculation using a replay scoreboard
US7523266B2 (en) Method and apparatus for enforcing memory reference ordering requirements at the L1 cache level
US20070083735A1 (en) Hierarchical processor
US20040128448A1 (en) Apparatus for memory communication during runahead execution
US20060248319A1 (en) Validating branch resolution to avoid mis-steering instruction fetch
US7076640B2 (en) Processor that eliminates mis-steering instruction fetch resulting from incorrect resolution of mis-speculated branch instructions
US9176741B2 (en) Method and apparatus for segmented sequential storage
US20080133893A1 (en) Hierarchical register file
US10310988B2 (en) Address translation for sending real address to memory subsystem in effective address based load-store unit
US10606593B2 (en) Effective address based load store unit in out of order processors
WO2007027671A2 (en) Scheduling mechanism of a hierarchical processor including multiple parallel clusters
US20090164758A1 (en) System and Method for Performing Locked Operations
US10067875B2 (en) Processor with instruction cache that performs zero clock retires
US10545765B2 (en) Multi-level history buffer for transaction memory in a microprocessor
US10078581B2 (en) Processor with instruction cache that performs zero clock retires
US7836281B1 (en) Continuing execution in scout mode while a main thread resumes normal execution
Kessler THE ALPHA 21264 MICR0PR00ESS0R

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AKKARY, HAITHAM;HILY, SEBASTIEN;REEL/FRAME:011963/0216

Effective date: 20010628

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.)

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.)

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20180706