US20030049937A1 - Apparatus and method for surface treatment to substrate - Google Patents

Apparatus and method for surface treatment to substrate Download PDF

Info

Publication number
US20030049937A1
US20030049937A1 US10/233,440 US23344002A US2003049937A1 US 20030049937 A1 US20030049937 A1 US 20030049937A1 US 23344002 A US23344002 A US 23344002A US 2003049937 A1 US2003049937 A1 US 2003049937A1
Authority
US
United States
Prior art keywords
substrate
surface treatment
reaction chamber
detecting device
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/233,440
Inventor
Naoki Suzuki
Kazuto Nishida
Kazuyuki Tomita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. reassignment MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NISHIDA, KAZUTO, SUZUKI, NAOKI, TOMITA, KAZUYUKI
Publication of US20030049937A1 publication Critical patent/US20030049937A1/en
Priority to US11/598,067 priority Critical patent/US7771561B2/en
Assigned to PANASONIC CORPORATION reassignment PANASONIC CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/09Treatments involving charged particles
    • H05K2203/095Plasma, e.g. for treating a substrate to improve adhesion with a conductor or for cleaning holes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/30Assembling printed circuits with electric components, e.g. with resistor
    • H05K3/32Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits
    • H05K3/321Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by conductive adhesives
    • H05K3/323Assembling printed circuits with electric components, e.g. with resistor electrically connecting electric components or wires to printed circuits by conductive adhesives by applying an anisotropic conductive adhesive layer over an array of pads

Definitions

  • the present invention relates to an apparatus for executing surface treatment such as cleaning, modifying or the like to substrate surfaces by plasma, and a method for substrate surface treatment carried out by the substrate surface treatment apparatus.
  • the plasma treatment can remove an organic contaminant adhering to the substrate surface, and the bonding strength between a gold electrode and a wire in the case of wire bonding can be improved when an inorganic substance such as nickel hydroxide or the like deposited on an electrode face as a bonding face formed of copper, nickel, and gold on a printed board is removed by the sputtering action of argon plasma.
  • the bonding strength between the polyimide film and the ACF can be improved through activation of a surface of the polyimide film substrate by irradiating oxygen plasma to the film before bonding.
  • the plasma treatment carried out to the substrate improves the fluidity of a sealing resin on the substrate and the adhesion between the substrate and the sealing resin.
  • FIG. 3 roughly shows the configuration of a conventional apparatus 20 for surface treatment to mounting substrates, in which a reaction chamber 1 being grounded is provided with a gas introduction port 2 and a vacuum exhaust port 3 .
  • a RF electrode 5 is arranged in the reaction chamber 1 via an insulating ring 4 to a side wall of the reaction chamber 1 .
  • the RF electrode 5 has a constitution on which a mounting substrate 6 can be placed.
  • An opposed electrode 7 is arranged in the reaction chamber 1 , which is arranged with facing to the RF electrode 5 and is grounded.
  • a RF(Radio-Frequency) is applied to the RF electrode 5 by a RF supply source 8 through a matching tuner (not shown) and a RF power supply part.
  • O rings (not shown) are interposed between the RF electrode 5 and the insulating ring 4 and between the insulating ring 4 and the side wall of the reaction chamber 1 .
  • a cooling groove 9 where a cooling water flows is formed in the side wall of the reaction chamber 1 .
  • the substrate 6 before subjected to wire bonding is placed on the RF electrode 5 . While a degree of vacuum in the reaction chamber 1 is kept to be 30 Pa with 50 SCCM(standard cc/min) of the argon gas being supplied from the gas introduction port 2 , a RF(Radio-Frequency) of 200 W is applied to the RF electrode 5 , thereby generating plasma. Argon ions in the plasma are irradiated onto a face of the substrate 6 exposed in the plasma.
  • the substrate 6 is formed of glass cloth epoxy resin.
  • An electrode 10 formed on the surface of the substrate 6 is constituted of three layers of a copper layer 11 having a film thickness of 35 ⁇ m, a nickel layer 12 having a film thickness of 3 ⁇ m and a gold layer 13 having a film thickness of 0.05 ⁇ m as shown in FIG. 4.
  • the undercoat nickel 12 is moved onto a surface of the gold 13 through a heat process or the like, whereby nickel hydroxide or the like is deposited.
  • the nickel hydroxide is sputtered and removed by the irradiation of argon ions.
  • the surface of the gold 13 is cleaned accordingly.
  • FIG. 5 is a schematic diagram of a case in which a silicon chip IC 16 is bonded via an ACF (anisotropic conductive film) 15 to a polyimide film substrate 14 .
  • ACF anisotropic conductive film
  • electrodes 18 of the IC 16 are bonded via the ACF 15 composed of a resin containing conductive particles to electrode parts 17 on the polyimide film substrate 14 .
  • a surface treatment method for the polyimide film substrate 14 having the above constitution will be described below.
  • the polyimide film substrate 14 is placed on the RF electrode 5 .
  • a RF(Radio-Frequency) of 200 W is applied to the RF electrode 5 while a degree of vacuum in the vacuum chamber 1 is kept to be 30 Pa with 50 SCCM of an oxygen gas supplied from the gas introduction port 2 .
  • plasma is generated.
  • Oxygen radicals or oxygen ions present in the plasma are irradiated onto a surface of the polyimide film substrate 14 exposed in the plasma.
  • the oxygen radicals react with contamination organic substances adhering on the polyimide film substrate 14 , whereby the contamination organic substances are decomposed to be sublimation compounds such as CO 2 or the like and then removed.
  • functional groups such as C ⁇ O, COOH and the like are generated on the surface of the polyimide film substrate 14 , activating the surface of the polyimide film substrate.
  • the bonding strength between the polyimide film substrate 14 and the ACF 15 is improved accordingly.
  • the plasma treatment is carried out to the substrate 6 before subjected to wire bonding, not only the organic contaminant, inorganic substance, or the like, but the substrate 6 is sputtered by argon ions simultaneously.
  • Br bromine
  • the substrate 6 formed of glass cloth epoxy resin Br (bromine) included in the substrate 6 adheres again to the substrate after separated from the substrate 6 by the plasma treatment.
  • the trouble is that the Br adhering on the electrode 10 reacts with moisture in the air and becomes HOBr or HBr when the substrate 6 is exposed to the atmosphere, which causes corrosion of the electrode 10 .
  • the plasma treatment When the plasma treatment is carried out with the aim of removing residual ions adhering to the polyimide film substrate 14 , since there is no means for observing whether or not the residual ions are actually removed, the plasma treatment may be executed to an excessive stage in order to perfectly remove the residual ions. Thus the trouble is that the excessive plasma treatment damages also the polyimide film substrate 14 .
  • the present invention is devised to solve the above-discussed problems inherent in the conventional art and an object of the present invention is to provide an apparatus and a method for surface treatment to substrates whereby the substrates quality can be maintained by preventing an excessive plasma treatment to the substrates.
  • a substrate surface treatment apparatus for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber, which comprises:
  • a detecting device arranged to the reaction chamber for detecting at least either whether or not components constituting the substrate are separated from the substrate, or whether or not impurities adhering to a surface of the substrate are removed by the surface treatment; and a controller connected to the detecting device for controlling to reduce an energy of the ions in the plasma on a basis of the detected information by the detecting device when the separation of components is brought about, and for controlling to terminate the surface treatment on a basis of the detected information by the detecting device when the removal of impurities ends.
  • the substrate surface treatment apparatus may be further provided with a plasma generating device including electrodes arranged in the reaction chamber for generating the plasma and a power supply unit for supplying electricity to the electrodes, and
  • a vacuum degree adjusting device connected to the reaction chamber for adjusting a degree of vacuum in the reaction chamber
  • controller controls operations of the power supply unit and the vacuum degree adjusting device on the basis of the detected information by the detecting device so as to control to reduce the energy of the ions and to end the surface treatment.
  • the above detecting device may be comprised of a spectroscopic analyzer for conducting spectral observation of light generated by the plasma and detecting the components and the impurities of the substrate on a basis of the observation.
  • the above detecting device may be comprised of a mass analyzer for analyzing gas elements in the reaction chamber and detecting the components and the impurities of the substrate on a basis of the gas analysis.
  • the components of the substrate to be detected by the detecting device may be bromine (Br).
  • the impurities to be detected by the detecting device may be chlorine.
  • a substrate surface treatment method for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber which comprises:
  • the detecting device and the controller so that the energy of ions in the plasma is controlled to decrease when the constituent separated from the substrate is detected, and the surface treatment to the substrate is controlled to terminate when the completion of removing impurities adhering to the substrate is detected.
  • the constituent of the substrate can be prevented from separating and scattering from the substrate. Therefore the phenomenon that the separated constituent from the substrate adheres again to the substrate and the redeposit of the separated constituent causes corrosion to the substrate is avoided.
  • the ions are prevented from excessively irradiated to the substrate when the removal of impurities is completed, therefore reducing damages to the substrate.
  • the first embodiment and the second embodiment of the present invention enable preventing the excessive plasma treatment to substrates and maintaining quality of the substrates.
  • the controller can control the power supply unit installed to the plasma generating device and the vacuum degree adjusting device on the basis of detected information by the detecting device.
  • the power to be supplied to the electrode in the plasma generating device is reduced by controlling the power supply unit, so that the energy of ions in the plasma can be decreased.
  • the efficiency for sputtering can be lowered.
  • a collision probability between gas molecules and ions in the reaction chamber increases by raising the pressure in the reaction chamber by the vacuum degree adjusting device, and eventually the energy of the ions can be decreased.
  • the plasma treatment can be stopped by, e.g., stopping the power supply.
  • the detecting device can be arranged to the outside of the reaction chamber, and the whole constitution of the substrate surface treatment apparatus is simplified.
  • the constituent and impurities of the substrate can be detected more highly accurately than by the spectroscopic analyzer, thus enabling the quality of the substrate to be maintained at a high level.
  • FIG. 1 is a schematic diagram of the configuration of a surface treatment apparatus for substrates according to a first embodiment of the present invention
  • FIG. 2 is a schematic diagram of the configuration of a surface treatment apparatus for substrates according to a second embodiment of the present invention
  • FIG. 3 is a schematic diagram of the configuration of a conventional surface treatment apparatus for substrates
  • FIG. 4 is a diagram showing the constitution of a substrate electrode
  • FIG. 5 is a diagram for briefly explaining bonding when an IC chip is bonded via an ACF to a film substrate.
  • FIG. 1 is a schematic diagram showing the constitution of a substrate surface treatment apparatus 101 according to a first embodiment.
  • the apparatus 101 has a reaction chamber 110 , a plasma generating device 120 , a vacuum degree adjusting device 130 , a detecting device 140 , and a controller 150 .
  • the reaction chamber 110 in which a substrate 109 is stored is a vessel for carrying out surface treatment by plasma to the substrate 109 , which comprises a reaction gas introduction port 111 , an exhaust port 112 and an observation window 113 , and is grounded.
  • a reaction gas supply unit 161 which supplies a reaction gas for generating desired ions into the reaction chamber 110 via the reaction gas introduction port 111 .
  • the reaction gas supply unit 161 is controlled to operate by the controller 150 .
  • an electrode 121 Inside the reaction chamber 110 , there is arranged an electrode 121 via an insulating ring 162 to a side wall 110 a of the reaction chamber 110 .
  • the electrode 121 is constituted so that the substrate 109 can be placed on the electrode 121 .
  • O rings are interposed between the electrode 121 and the insulating ring 162 and between the insulating ring 162 and the side wall 110 a, whereby the reaction chamber 110 is kept in vacuum.
  • a cooling groove 163 for passing a coolant, e.g., cooling water is formed in the side wall llOa so as to prevent the O rings from being heated to 200° C. or more.
  • a coolant supply unit 164 which is controlled in operation by the controller 150 for supplying the coolant, i.e., cooling water in this embodiment is connected to the cooling groove 163 .
  • an opposed electrode 122 which is grounded is arranged opposite to the electrode 121 .
  • a RF(Radio-Frequency) is applied to the electrode 121 by a power supply unit 123 including a matching tuner and a RF power supply part.
  • the plasma generating device 120 is constituted of the electrode 121 , the opposed electrode 122 and the power supply unit 123 .
  • the power supply unit 123 is controlled to operate by the controller 150 .
  • Plasma can be generated between the electrode 121 and the opposed electrode 122 by supplying the RF to the electrode 121 in the reaction chamber 110 to which a predetermined reaction gas is supplied in a vacuum state.
  • an emission spectroscopic analysis device 141 is arranged as an example of a spectroscopic analyzer and the detecting device 140 for observing a state of the plasma in the reaction chamber 110 from the outside of the apparatus, more specifically, for observing an emission state of the plasma from the outside of the apparatus.
  • the emission spectroscopic analysis device 141 is disposed adjacent to the observation window 113 .
  • the detecting device 140 detects at least either whether or not components constituting the substrate 109 are separated from the substrate 109 by the surface treatment carried out to the substrate 109 with the utilization of the plasma, or whether or not impurities adhering to a surface of the substrate 109 are removed by the surface treatment.
  • the vacuum degree adjusting device 130 connected to the reaction chamber 110 is a device for adjusting a degree of vacuum in the reaction chamber 110 .
  • the vacuum degree adjusting device 130 has a valve 131 for shutting the inside from the outside of the reaction chamber 110 , more precisely, for shutting the inside from a vacuum pump 133 to be described below, a valve switch 132 for controlling an opening degree of the valve 131 , and the vacuum pump 133 for turning the interior of the reaction chamber 110 to vacuum via the valve 131 .
  • the vacuum degree adjusting device 130 adjusts the degree of vacuum inside the reaction chamber 110 in accordance with a control signal sent from the controller 150 on a basis of detected information sent from the detecting device 140 , namely, the emission spectroscopic analysis device 141 in the embodiment to the controller 150 .
  • the control signal is supplied to the valve switch 132 , whereby the valve 131 is opened at the opening degree conforming to the control signal.
  • the degree of vacuum in the reaction chamber 110 is adjusted in this manner.
  • the controller 150 carries out control related to the substrate surface treatment method. The following description is based on a state in which the substrate 109 is already placed on the electrode 121 .
  • the nickel hydroxide or the like is removed by the sputtering action because of the irradiation of argon ions, and therefore, the surface of the electrode 10 formed of gold is cleaned.
  • the surface of the substrate 109 except the electrode 10 is also sputtered by the irradiation of argon ions.
  • Br bromine
  • the substrate 109 is formed of glass cloth epoxy resin, Br (bromine) as one of components constituting the substrate 109 is sputtered as well, emitted into the reaction chamber 110 . So there is apprehension that the emitted Br adheres again to the surface of the substrate 109 .
  • the plasma state in the reaction chamber 110 is monitored at all times through the observation window 113 by the emission spectroscopic analysis device 141 .
  • the emission spectroscopic analysis device 141 sends a signal to the controller 150 at a time point when an emission spectrum of the Br is observed.
  • the controller 150 in return controls and adjusts the power supply unit 123 and the valve switch 132 to reduce an energy of argon ions in the plasma to prevent the Br from being sputtered. More specifically, the controller 150 decreases an electric power to be supplied from the power supply unit 123 to the electrode 121 and also drives the valve 131 in a direction to close the valve to raise the pressure in the reaction chamber 110 .
  • the sputtering efficiency to the nickel hydroxide is deteriorated by the reduction in the energy of argon ions as above. But, where the nickel hydroxide is deposited is the surface of the electrode 10 as mentioned above, and therefore the nickel hydroxide is sputtered with priority by the irradiation of argon ions. In contrast, since the Br is included in the substrate 109 , amount of Br to be sputtered is relatively small. That is, a sequence of the above operations is based on an idea that the nickel hydroxide has been removed as much as possible before the Br is emitted from the substrate 109 .
  • the nickel hydroxide can be removed from the surface of the gold electrode 10 of the substrate 109 , the bonding strength between the gold electrode 10 and a wire can be improved when the gold electrode 10 is to be wire bonded.
  • the plasma state in the reaction chamber 110 is always monitored through the observation window 113 by the emission spectroscopic analysis device 141 , and the controller 150 controls the power supply unit 123 and the valve switch 132 to adjust not to sputter the Br when the emission spectrum of the Br is observed. Therefore, only the nickel hydroxide deposited on the gold electrode 10 of the substrate 109 can be removed by irradiating argon ions while the Br as a constituent of the substrate 109 is prevented from scattering.
  • the controller 150 controls both of the power supply unit 123 and the valve switch 132 when the emission spectrum of the Br is observed.
  • the aforementioned effect is obtained by controlling at least one of the power supply unit 123 and the valve switch 132 as is apparent from the foregoing description.
  • the substrate 109 is formed of glass cloth epoxy resin in the above description. Hereinbelow will be discussed the substrate 109 formed of a polyimide film.
  • the plasma state in the reaction chamber 110 is monitored at all times by the emission spectroscopic analysis device 141 through the observation window 113 .
  • the emission spectroscopic analysis device 141 observes an emission spectrum of chlorine.
  • the emission spectroscopic analysis device 141 sends a signal to the controller 150 , and the controller 150 controls the power supply unit 123 , the valve switch 132 and the reaction gas supply unit 161 to stop the plasma treatment.
  • the plasma treatment to the substrate 109 is stopped when chlorine as impurities is removed. Therefore, chlorine ions remaining at the substrate 109 can be removed while the effect of oxygen ions to the substrate 109 is restricted to a minimum.
  • the substrate 109 is formed of polyimide, an organic contaminant at the polyimide surface can be removed by the oxygen radicals and oxygen ions as described in the “BACKGROUND OF THE INVENTION”, and also functional groups such as C ⁇ O, COOH, etc. are formed to the surface, thereby activating the surface of the substrate 109 . The bonding strength between the substrate and the ACF is improved accordingly.
  • the above substrate surface treatment apparatus 101 is exemplified in the arrangement of using the emission spectroscopic analysis device 141 as the detecting device 140 as shown in FIG. 1.
  • the detecting device 140 is not limited to the emission spectroscopic analysis device, and a mass analyzer 142 may be employed as will be described below with reference to FIG. 2.
  • a substrate surface treatment apparatus 102 indicated in FIG. 2 is constituted including the mass analyzer 142 in place of the emission spectroscopic analysis device 141 installed to the foregoing substrate surface treatment apparatus 101 .
  • the same parts in the substrate surface treatment apparatus 102 as those of the substrate surface treatment apparatus 101 are designated by the same reference numerals, and omitted from the description. Only different parts will be discussed below.
  • the mass analyzer 142 is mounted to the exhaust port 112 communicating with the valve 131 from the reaction chamber 110 so as to analyze a plurality of gas elements present at the exhaust port part 112 , that is, in the reaction chamber 110 .
  • the mass analyzer 142 is connected to the controller 150 .
  • an argon gas is supplied by 50 SCCM into the reaction chamber 110 from the reaction gas introduction port 111 while the air in the reaction chamber 110 is discharged by the vacuum pump 133 .
  • 200 W RF is applied to the electrode 121 thereby generating plasma.
  • argon ions in the plasma are irradiated to the surface of the substrate 109 , and nickel hydroxide or the like deposited to the surface of the gold electrode 10 is removed by sputtering.
  • a plurality of kinds of gases present in the reaction chamber 110 are monitored by the mass analyzer 142 at all times after the reaction chamber 110 reaches a specified degree of vacuum or when the plasma is generated.
  • the mass analyzer 142 sends a signal to the controller 150 when the element Br separated from the substrate 109 formed of the glass cloth epoxy resin material and emitted to the reaction chamber 110 is observed.
  • the controller 150 controls the power supply unit 123 and the valve switch 132 to prevent the Br from being sputtered. Accordingly, only the nickel hydroxide deposited on the gold electrode 10 of the substrate 109 can be removed by the irradiation of argon ions without scattering the Br as a constituent of the substrate 109 . Corrosion of the electrode 10 caused by the Br can be thus prevented.
  • the controller 150 controls both of the power supply unit 123 and the valve switch 132 when the mass analyzer 142 detects the Br.
  • the above-described effect can be obtained by controlling at least one of the power supply unit 123 and the valve switch 132 .
  • the oxygen gas is supplied by 50 SCCM into the reaction chamber 110 while the air in the reaction chamber 110 is discharged by the vacuum pump 133 so that the reaction chamber 110 is kept to the degree of vacuum of 30 Pa.
  • 200 W RF is applied to the electrode 121 , thereby generating plasma.
  • Oxygen ions present in the plasma are irradiated onto the surface of the substrate 109 exposed in the plasma. Residual chlorine ions on the surface of the substrate 109 are hence removed.
  • the mass analyzer 142 always monitors gases present in the reaction chamber 110 . When impurities are completely removed, that is, when the chlorine comes not to be detected in the embodiment or when a concentration of the chlorine decreases to a level where no trouble is brought about, the mass analyzer 142 sends a signal to the controller 150 . In response to the signal, the controller 150 controls the power supply unit 123 , the valve switch 132 and the reaction gas supply unit 161 to stop the plasma treatment.
  • the organic contaminant on the polymide surface can also be removed by the oxygen radicals and the oxygen ions, and functional groups such as C ⁇ O, COOH and the like are formed to the surface, whereby the bonding strength between the substrate and the ACF is improved.
  • the element within the substrate 109 controlled to emit from the substrate 109 is Br in the foregoing embodiments.
  • the substrate surface treatment apparatuses 101 and 102 in the embodiments can be applied to the other corrosive elements.
  • the element adhering to the substrate 109 is chlorine in the foregoing embodiments, the apparatuses 101 and 102 of the embodiments are applicable to the other elements as well.
  • suppressing the emission of the element Br in the substrate 109 , and removing the chlorine adhering to the substrate 109 are described separately from each other. Needless to say, however, suppressing the emission of substrate constituents and removing impurities of the substrate may be carried out simultaneously by detecting a plurality of elements by the detecting device 140 such as the above emission spectroscopic analysis device 141 , the mass analyzer 142 , etc.
  • reaction gas injected to the reaction chamber 110 is the argon and the oxygen respectively in the embodiments as above, the present invention is not restricted to the specific kind of gas, and for instance, a mixed gas of argon and oxygen, hydrogen or nitrogen gas is utilizable. It is to be noted, however, that the reaction gas should be selected in some cases from a view point of a relationship with the substance to be processed by the surface treatment, because it is necessary to generate ions or the like effective for the substance to be processed by the surface treatment.
  • the grounded opposed electrode 122 is arranged in the reaction chamber 110 .
  • the grounded reaction chamber 110 may be adapted to function by itself as an opposed electrode, and the opposed electrode 122 can be eliminated depending on the circumstances.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • ing And Chemical Polishing (AREA)
  • Electric Connection Of Electric Components To Printed Circuits (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention provides an apparatus and a method for surface treatment to substrates whereby the quality of substrates can be maintained by preventing an excessive plasma treatment to substrates. In carrying out the plasma treatment to a surface of the substrate in a reaction chamber, there are provided an emission spectroscopic analysis device or a mass analyzer, and a controller, so that an energy of ions in plasma is controlled to decrease when, e.g., bromine included in the substrate is detected, and the surface treatment to the substrate is controlled to stop when removing impurities of the substrate is detected to end. The bromine once separated from the substrate is prevented from adhering again to the substrate to corrode the substrate. Moreover, ions are prevented from being excessively irradiated to the substrate when the removal of impurities ends, thereby reducing damages to the substrate. The substrate quality is maintained accordingly.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to an apparatus for executing surface treatment such as cleaning, modifying or the like to substrate surfaces by plasma, and a method for substrate surface treatment carried out by the substrate surface treatment apparatus. [0001]
  • High-packaging density has been required in the field of a mounting technique in accordance with miniaturization and multifunction of electronic devices. Consequently, connection between elements and substrates should be carried out on a remarkably fine scale, and mounting with a higher reliability is being required. There is a method of modifying substrate surfaces by plasma, i.e., plasma treatment as one example for securing the reliability. For instance, the plasma treatment can remove an organic contaminant adhering to the substrate surface, and the bonding strength between a gold electrode and a wire in the case of wire bonding can be improved when an inorganic substance such as nickel hydroxide or the like deposited on an electrode face as a bonding face formed of copper, nickel, and gold on a printed board is removed by the sputtering action of argon plasma. Also in the case where an IC is to be bonded to a lead electrode on a polyimide film substrate via an ACF (anisotropic conductive film), the bonding strength between the polyimide film and the ACF can be improved through activation of a surface of the polyimide film substrate by irradiating oxygen plasma to the film before bonding. Moreover, the plasma treatment carried out to the substrate improves the fluidity of a sealing resin on the substrate and the adhesion between the substrate and the sealing resin. [0002]
  • An example of the plasma treatment method referred to above will be described below with reference to drawings. [0003]
  • FIG. 3 roughly shows the configuration of a [0004] conventional apparatus 20 for surface treatment to mounting substrates, in which a reaction chamber 1 being grounded is provided with a gas introduction port 2 and a vacuum exhaust port 3. A RF electrode 5 is arranged in the reaction chamber 1 via an insulating ring 4 to a side wall of the reaction chamber 1. The RF electrode 5 has a constitution on which a mounting substrate 6 can be placed. An opposed electrode 7 is arranged in the reaction chamber 1, which is arranged with facing to the RF electrode 5 and is grounded. A RF(Radio-Frequency) is applied to the RF electrode 5 by a RF supply source 8 through a matching tuner (not shown) and a RF power supply part. O rings (not shown) are interposed between the RF electrode 5 and the insulating ring 4 and between the insulating ring 4 and the side wall of the reaction chamber 1. For preventing the O rings from being heated to 200° C. or higher and maintaining the reaction chamber 1 in vacuum, a cooling groove 9 where a cooling water flows is formed in the side wall of the reaction chamber 1.
  • The surface treatment method to mounting substrates carried out by the above-constituted [0005] surface treatment apparatus 20 will be depicted hereinbelow in an example in which an argon gas is used to substrates before wire bonded.
  • The [0006] substrate 6 before subjected to wire bonding is placed on the RF electrode 5. While a degree of vacuum in the reaction chamber 1 is kept to be 30 Pa with 50 SCCM(standard cc/min) of the argon gas being supplied from the gas introduction port 2, a RF(Radio-Frequency) of 200 W is applied to the RF electrode 5, thereby generating plasma. Argon ions in the plasma are irradiated onto a face of the substrate 6 exposed in the plasma. The substrate 6 is formed of glass cloth epoxy resin. An electrode 10 formed on the surface of the substrate 6 is constituted of three layers of a copper layer 11 having a film thickness of 35 μm, a nickel layer 12 having a film thickness of 3 μm and a gold layer 13 having a film thickness of 0.05 μm as shown in FIG. 4. The undercoat nickel 12 is moved onto a surface of the gold 13 through a heat process or the like, whereby nickel hydroxide or the like is deposited. The nickel hydroxide is sputtered and removed by the irradiation of argon ions. The surface of the gold 13 is cleaned accordingly.
  • FIG. 5 is a schematic diagram of a case in which a [0007] silicon chip IC 16 is bonded via an ACF (anisotropic conductive film) 15 to a polyimide film substrate 14. As shown in FIG. 5, electrodes 18 of the IC 16 are bonded via the ACF 15 composed of a resin containing conductive particles to electrode parts 17 on the polyimide film substrate 14. A surface treatment method for the polyimide film substrate 14 having the above constitution will be described below.
  • The [0008] polyimide film substrate 14 is placed on the RF electrode 5. A RF(Radio-Frequency) of 200 W is applied to the RF electrode 5 while a degree of vacuum in the vacuum chamber 1 is kept to be 30 Pa with 50 SCCM of an oxygen gas supplied from the gas introduction port 2. As a result, plasma is generated. Oxygen radicals or oxygen ions present in the plasma are irradiated onto a surface of the polyimide film substrate 14 exposed in the plasma. The oxygen radicals react with contamination organic substances adhering on the polyimide film substrate 14, whereby the contamination organic substances are decomposed to be sublimation compounds such as CO2 or the like and then removed. Further, functional groups such as C═O, COOH and the like are generated on the surface of the polyimide film substrate 14, activating the surface of the polyimide film substrate. The bonding strength between the polyimide film substrate 14 and the ACF 15 is improved accordingly.
  • In the case of [0009] polyimide film substrate 14, residual ions of chlorine or the like are left yet on the polyimide film substrate 14 when the apparatus receives the polyimide film substrate 14. The reason for this is that hydrochloric acid is used as one of components of a plating solution for forming a pattern of the electrodes 17 on the polyimide film substrate 14 by plating, and, for example, chlorine ions are left if the substrate is not fully cleaned by water after the pattern is formed. In the event that the IC 16 is connected with the use of the ACF 15 to the polyimide film substrate 14 having the residual ions, the residual ions cause corrosion and electrical failures such as ion migration, etc. As such, the plasma treatment is carried out to remove the chlorine ions.
  • However, if the plasma treatment is carried out to the [0010] substrate 6 before subjected to wire bonding, not only the organic contaminant, inorganic substance, or the like, but the substrate 6 is sputtered by argon ions simultaneously. In the case of the substrate 6 formed of glass cloth epoxy resin, Br (bromine) included in the substrate 6 adheres again to the substrate after separated from the substrate 6 by the plasma treatment. In the case of the Br adheres to the electrode 10, the trouble is that the Br adhering on the electrode 10 reacts with moisture in the air and becomes HOBr or HBr when the substrate 6 is exposed to the atmosphere, which causes corrosion of the electrode 10.
  • When the plasma treatment is carried out with the aim of removing residual ions adhering to the [0011] polyimide film substrate 14, since there is no means for observing whether or not the residual ions are actually removed, the plasma treatment may be executed to an excessive stage in order to perfectly remove the residual ions. Thus the trouble is that the excessive plasma treatment damages also the polyimide film substrate 14.
  • SUMMARY OF THE INVENTION
  • Accordingly, the present invention is devised to solve the above-discussed problems inherent in the conventional art and an object of the present invention is to provide an apparatus and a method for surface treatment to substrates whereby the substrates quality can be maintained by preventing an excessive plasma treatment to the substrates. [0012]
  • In accomplishing the above objective, according to a first aspect of the present invention, there is provided a substrate surface treatment apparatus for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber, which comprises: [0013]
  • a detecting device arranged to the reaction chamber for detecting at least either whether or not components constituting the substrate are separated from the substrate, or whether or not impurities adhering to a surface of the substrate are removed by the surface treatment; and a controller connected to the detecting device for controlling to reduce an energy of the ions in the plasma on a basis of the detected information by the detecting device when the separation of components is brought about, and for controlling to terminate the surface treatment on a basis of the detected information by the detecting device when the removal of impurities ends. [0014]
  • The substrate surface treatment apparatus may be further provided with a plasma generating device including electrodes arranged in the reaction chamber for generating the plasma and a power supply unit for supplying electricity to the electrodes, and [0015]
  • a vacuum degree adjusting device connected to the reaction chamber for adjusting a degree of vacuum in the reaction chamber, [0016]
  • wherein the controller controls operations of the power supply unit and the vacuum degree adjusting device on the basis of the detected information by the detecting device so as to control to reduce the energy of the ions and to end the surface treatment. [0017]
  • The above detecting device may be comprised of a spectroscopic analyzer for conducting spectral observation of light generated by the plasma and detecting the components and the impurities of the substrate on a basis of the observation. [0018]
  • The above detecting device may be comprised of a mass analyzer for analyzing gas elements in the reaction chamber and detecting the components and the impurities of the substrate on a basis of the gas analysis. [0019]
  • The components of the substrate to be detected by the detecting device may be bromine (Br). [0020]
  • The impurities to be detected by the detecting device may be chlorine. [0021]
  • According to a second aspect of the present invention, there is provided a substrate surface treatment method for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber, which comprises: [0022]
  • detecting at least either whether or not components constituting the substrate are separated from the substrate by the surface treatment, or whether or not impurities adhering to a surface of the substrate are removed by the surface treatment; and [0023]
  • controlling on a basis of the detected information an energy of the ions in the plasma to reduce when the separation of components is detected to take place and the surface treatment to end when the removal of impurities is detected to end. [0024]
  • By the above construction of the aspects of the present invention, there are provided the detecting device and the controller, so that the energy of ions in the plasma is controlled to decrease when the constituent separated from the substrate is detected, and the surface treatment to the substrate is controlled to terminate when the completion of removing impurities adhering to the substrate is detected. In the arrangement as above, the constituent of the substrate can be prevented from separating and scattering from the substrate. Therefore the phenomenon that the separated constituent from the substrate adheres again to the substrate and the redeposit of the separated constituent causes corrosion to the substrate is avoided. Furthermore, the ions are prevented from excessively irradiated to the substrate when the removal of impurities is completed, therefore reducing damages to the substrate. [0025]
  • The first embodiment and the second embodiment of the present invention enable preventing the excessive plasma treatment to substrates and maintaining quality of the substrates. [0026]
  • When the plasma generating device and the vacuum degree adjusting device are provided additionally, the controller can control the power supply unit installed to the plasma generating device and the vacuum degree adjusting device on the basis of detected information by the detecting device. In other words, the power to be supplied to the electrode in the plasma generating device is reduced by controlling the power supply unit, so that the energy of ions in the plasma can be decreased. As a result, the efficiency for sputtering can be lowered. Moreover, a collision probability between gas molecules and ions in the reaction chamber increases by raising the pressure in the reaction chamber by the vacuum degree adjusting device, and eventually the energy of the ions can be decreased. Furthermore, the plasma treatment can be stopped by, e.g., stopping the power supply. [0027]
  • When the spectroscopic analyzer is used as the detecting device, the detecting device can be arranged to the outside of the reaction chamber, and the whole constitution of the substrate surface treatment apparatus is simplified. [0028]
  • When the mass analyzer is used as the detecting device, the constituent and impurities of the substrate can be detected more highly accurately than by the spectroscopic analyzer, thus enabling the quality of the substrate to be maintained at a high level.[0029]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other objects and features of the present invention will become clear from the following description taken in conjunction with the preferred embodiments thereof with reference to the accompanying drawings in which: [0030]
  • FIG. 1 is a schematic diagram of the configuration of a surface treatment apparatus for substrates according to a first embodiment of the present invention; [0031]
  • FIG. 2 is a schematic diagram of the configuration of a surface treatment apparatus for substrates according to a second embodiment of the present invention; [0032]
  • FIG. 3 is a schematic diagram of the configuration of a conventional surface treatment apparatus for substrates; [0033]
  • FIG. 4 is a diagram showing the constitution of a substrate electrode; and [0034]
  • FIG. 5 is a diagram for briefly explaining bonding when an IC chip is bonded via an ACF to a film substrate.[0035]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • An apparatus for surface treatment to substrates and a method for surface treatment to substrates which is carried out by the apparatus according to the preferred embodiments of the present invention will be described below with reference to the attached drawings. It is to be is noted that like parts are designated by like reference numerals throughout the accompanying drawings. [0036]
  • First Embodiment [0037]
  • FIG. 1 is a schematic diagram showing the constitution of a substrate surface treatment apparatus [0038] 101 according to a first embodiment. Roughly speaking, the apparatus 101 has a reaction chamber 110, a plasma generating device 120, a vacuum degree adjusting device 130, a detecting device 140, and a controller 150. The reaction chamber 110 in which a substrate 109 is stored is a vessel for carrying out surface treatment by plasma to the substrate 109, which comprises a reaction gas introduction port 111, an exhaust port 112 and an observation window 113, and is grounded. To the reaction gas introduction port 111 is connected a reaction gas supply unit 161 which supplies a reaction gas for generating desired ions into the reaction chamber 110 via the reaction gas introduction port 111. The reaction gas supply unit 161 is controlled to operate by the controller 150.
  • Inside the [0039] reaction chamber 110, there is arranged an electrode 121 via an insulating ring 162 to a side wall 110 a of the reaction chamber 110. The electrode 121 is constituted so that the substrate 109 can be placed on the electrode 121. O rings are interposed between the electrode 121 and the insulating ring 162 and between the insulating ring 162 and the side wall 110 a, whereby the reaction chamber 110 is kept in vacuum. Moreover, a cooling groove 163 for passing a coolant, e.g., cooling water is formed in the side wall llOa so as to prevent the O rings from being heated to 200° C. or more. A coolant supply unit 164 which is controlled in operation by the controller 150 for supplying the coolant, i.e., cooling water in this embodiment is connected to the cooling groove 163.
  • Also in the [0040] reaction chamber 110, an opposed electrode 122 which is grounded is arranged opposite to the electrode 121. A RF(Radio-Frequency) is applied to the electrode 121 by a power supply unit 123 including a matching tuner and a RF power supply part. The plasma generating device 120 is constituted of the electrode 121, the opposed electrode 122 and the power supply unit 123. The power supply unit 123 is controlled to operate by the controller 150. Plasma can be generated between the electrode 121 and the opposed electrode 122 by supplying the RF to the electrode 121 in the reaction chamber 110 to which a predetermined reaction gas is supplied in a vacuum state.
  • According to the embodiment, an emission [0041] spectroscopic analysis device 141 is arranged as an example of a spectroscopic analyzer and the detecting device 140 for observing a state of the plasma in the reaction chamber 110 from the outside of the apparatus, more specifically, for observing an emission state of the plasma from the outside of the apparatus. The emission spectroscopic analysis device 141 is disposed adjacent to the observation window 113. Although described in detail later, the detecting device 140 detects at least either whether or not components constituting the substrate 109 are separated from the substrate 109 by the surface treatment carried out to the substrate 109 with the utilization of the plasma, or whether or not impurities adhering to a surface of the substrate 109 are removed by the surface treatment.
  • The vacuum degree adjusting device [0042] 130 connected to the reaction chamber 110 is a device for adjusting a degree of vacuum in the reaction chamber 110. The vacuum degree adjusting device 130 has a valve 131 for shutting the inside from the outside of the reaction chamber 110, more precisely, for shutting the inside from a vacuum pump 133 to be described below, a valve switch 132 for controlling an opening degree of the valve 131, and the vacuum pump 133 for turning the interior of the reaction chamber 110 to vacuum via the valve 131. As will be detailed later, the vacuum degree adjusting device 130 adjusts the degree of vacuum inside the reaction chamber 110 in accordance with a control signal sent from the controller 150 on a basis of detected information sent from the detecting device 140, namely, the emission spectroscopic analysis device 141 in the embodiment to the controller 150. Specifically, the control signal is supplied to the valve switch 132, whereby the valve 131 is opened at the opening degree conforming to the control signal. The degree of vacuum in the reaction chamber 110 is adjusted in this manner.
  • Operation, i.e., the surface treatment method in the above-constituted substrate surface treatment apparatus [0043] 101 will be depicted below. The controller 150 carries out control related to the substrate surface treatment method. The following description is based on a state in which the substrate 109 is already placed on the electrode 121.
  • While the air in the [0044] reaction chamber 110 is discharged by the vacuum pump 133, 50 SCCM of an argon gas is supplied from the reaction gas introduction port 111 by the reaction gas supply unit 161 so that the reaction chamber 110 is held in a degree of vacuum of 30 Pa. In this state, 200 W RF(Radio-Frequency) is applied to the electrode 121 from the power supply unit 123 to generate plasma between the electrode 121 and the opposed electrode 122 in the reaction chamber 110. Argon ions present in the plasma are irradiated to the surface of the substrate 109 exposed in the plasma. Although nickel hydroxide or the like is deposited onto a surface of the electrode 10, which is formed of gold on the substrate 109 in the same constitution as that described with reference to FIG. 4, through a heat process or the like as discussed in the “BACKGROUND OF THE INVENTION”, the nickel hydroxide or the like is removed by the sputtering action because of the irradiation of argon ions, and therefore, the surface of the electrode 10 formed of gold is cleaned.
  • At this time, the surface of the [0045] substrate 109 except the electrode 10 is also sputtered by the irradiation of argon ions. In the case where the substrate 109 is formed of glass cloth epoxy resin, Br (bromine) as one of components constituting the substrate 109 is sputtered as well, emitted into the reaction chamber 110. So there is apprehension that the emitted Br adheres again to the surface of the substrate 109.
  • Meanwhile, according to the present embodiment, the plasma state in the [0046] reaction chamber 110 is monitored at all times through the observation window 113 by the emission spectroscopic analysis device 141. The emission spectroscopic analysis device 141 sends a signal to the controller 150 at a time point when an emission spectrum of the Br is observed. The controller 150 in return controls and adjusts the power supply unit 123 and the valve switch 132 to reduce an energy of argon ions in the plasma to prevent the Br from being sputtered. More specifically, the controller 150 decreases an electric power to be supplied from the power supply unit 123 to the electrode 121 and also drives the valve 131 in a direction to close the valve to raise the pressure in the reaction chamber 110. Since the energy of argon ions is reduced by decreasing the electric power, whereby an efficiency for sputtering can be deteriorated. At the same time, a collision probability between gas molecules and the argon ions in the reaction chamber 110 is increased by raising the pressure, and eventually the energy of argon ions is reduced. Thus the sputtering efficiency can be decreased. Accordingly, only the nickel hydroxide deposited on the electrode 10 of the substrate 109 can be removed by the sputtering action through the irradiation of argon ions, while the Br contained in the substrate 109 is prevented from being sputtered.
  • The sputtering efficiency to the nickel hydroxide is deteriorated by the reduction in the energy of argon ions as above. But, where the nickel hydroxide is deposited is the surface of the [0047] electrode 10 as mentioned above, and therefore the nickel hydroxide is sputtered with priority by the irradiation of argon ions. In contrast, since the Br is included in the substrate 109, amount of Br to be sputtered is relatively small. That is, a sequence of the above operations is based on an idea that the nickel hydroxide has been removed as much as possible before the Br is emitted from the substrate 109.
  • Since the nickel hydroxide can be removed from the surface of the [0048] gold electrode 10 of the substrate 109, the bonding strength between the gold electrode 10 and a wire can be improved when the gold electrode 10 is to be wire bonded.
  • According to the embodiment as described hereinabove, in processing the [0049] substrate 109 formed of glass cloth epoxy resin by argon plasma, the plasma state in the reaction chamber 110 is always monitored through the observation window 113 by the emission spectroscopic analysis device 141, and the controller 150 controls the power supply unit 123 and the valve switch 132 to adjust not to sputter the Br when the emission spectrum of the Br is observed. Therefore, only the nickel hydroxide deposited on the gold electrode 10 of the substrate 109 can be removed by irradiating argon ions while the Br as a constituent of the substrate 109 is prevented from scattering. In consequence of this, the phenomenon that the sputtered Br adheres again to the substrate 6 does not arise, and the conventional trouble that the Br and the moisture in the air react with each other when the substrate 6 having the Br adhering to the electrode 10 is exposed to the atmosphere, thereby forming HOBr or HBr, does not arise. Therefore the corrosion of the electrode 10 of the substrate 109 can be prevented.
  • In the present embodiment, the [0050] controller 150 controls both of the power supply unit 123 and the valve switch 132 when the emission spectrum of the Br is observed. However, the aforementioned effect is obtained by controlling at least one of the power supply unit 123 and the valve switch 132 as is apparent from the foregoing description.
  • The [0051] substrate 109 is formed of glass cloth epoxy resin in the above description. Hereinbelow will be discussed the substrate 109 formed of a polyimide film.
  • As is described in the “BACKGROUND OF THE INVENTION”, in the case of the [0052] substrate 109 formed of a polyimide film, chlorine ions are sometimes left as an example of impurities to the surface of the substrate 109 if cleaning at a manufacturing time of the substrate is insufficient. For removing the chlorine ions, an oxygen gas is supplied by 50 SCCM into the reaction chamber 110 through the reaction gas introduction port 111 by the reaction gas supply unit 161. While the degree of vacuum in the reaction chamber 110 is kept to be 30 Pa, 200 W RF(Radio-Frequency) is applied to the electrode 121, thereby generating plasma. Oxygen ions present in the plasma are irradiated onto the surface of the substrate 109 exposed in the plasma, which sputter and remove residual chlorine ions on the surface of the substrate 109.
  • In the meantime, the plasma state in the [0053] reaction chamber 110 is monitored at all times by the emission spectroscopic analysis device 141 through the observation window 113. The emission spectroscopic analysis device 141 observes an emission spectrum of chlorine. At a time point when the removal of impurities terminates, that is, the emission spectrum of chlorine disappears or the emission spectrum of chlorine decreases to a level where no trouble is substantially brought about, the emission spectroscopic analysis device 141 sends a signal to the controller 150, and the controller 150 controls the power supply unit 123, the valve switch 132 and the reaction gas supply unit 161 to stop the plasma treatment.
  • Since the chlorine ions remaining at the [0054] substrate 109 formed of the polyimide film can be removed in the manner as above, the problems of corrosion by residual ions, electrical failures such as ion migration or the like which are caused by connecting the IC with the use of ACF in a state in which chlorine ions remain can be prevented.
  • In addition, by observing the emission spectrum of chlorine by the emission [0055] spectroscopic analysis device 141, the plasma treatment to the substrate 109 is stopped when chlorine as impurities is removed. Therefore, chlorine ions remaining at the substrate 109 can be removed while the effect of oxygen ions to the substrate 109 is restricted to a minimum. At the same time, since the substrate 109 is formed of polyimide, an organic contaminant at the polyimide surface can be removed by the oxygen radicals and oxygen ions as described in the “BACKGROUND OF THE INVENTION”, and also functional groups such as C═O, COOH, etc. are formed to the surface, thereby activating the surface of the substrate 109. The bonding strength between the substrate and the ACF is improved accordingly.
  • Second Embodiment [0056]
  • The above substrate surface treatment apparatus [0057] 101 is exemplified in the arrangement of using the emission spectroscopic analysis device 141 as the detecting device 140 as shown in FIG. 1. The detecting device 140 is not limited to the emission spectroscopic analysis device, and a mass analyzer 142 may be employed as will be described below with reference to FIG. 2.
  • A substrate [0058] surface treatment apparatus 102 indicated in FIG. 2 is constituted including the mass analyzer 142 in place of the emission spectroscopic analysis device 141 installed to the foregoing substrate surface treatment apparatus 101. The same parts in the substrate surface treatment apparatus 102 as those of the substrate surface treatment apparatus 101 are designated by the same reference numerals, and omitted from the description. Only different parts will be discussed below.
  • Since the emission [0059] spectroscopic analysis device 141 is eliminated from the substrate surface treatment apparatus 102, no observation window 113 is formed to the reaction chamber 110. On the other hand, the mass analyzer 142 is mounted to the exhaust port 112 communicating with the valve 131 from the reaction chamber 110 so as to analyze a plurality of gas elements present at the exhaust port part 112, that is, in the reaction chamber 110. The mass analyzer 142 is connected to the controller 150.
  • Operation, i.e., surface treatment method in the substrate [0060] surface treatment apparatus 102 constituted as above will be described hereinbelow. Comparing the substrate surface treatment method in the apparatus 102 with that in the apparatus 101, only a manner of detecting a detection object in the reaction chamber 110 is different while the operation and effect obtained in the apparatus 102 are fundamentally equal to the operation and effect exerted in the apparatus 101. Therefore, an operation of detecting the detection object will be primarily depicted below, with the rest being omitted from the description or roughly described.
  • In the case where the [0061] substrate 109 is formed of the glass cloth epoxy resin material, an argon gas is supplied by 50 SCCM into the reaction chamber 110 from the reaction gas introduction port 111 while the air in the reaction chamber 110 is discharged by the vacuum pump 133. In a state with the reaction chamber kept to 30 Pa of the degree of vacuum, 200 W RF is applied to the electrode 121 thereby generating plasma. Similar to the case of the substrate surface treatment apparatus 101, argon ions in the plasma are irradiated to the surface of the substrate 109, and nickel hydroxide or the like deposited to the surface of the gold electrode 10 is removed by sputtering. On the other hand, a plurality of kinds of gases present in the reaction chamber 110 are monitored by the mass analyzer 142 at all times after the reaction chamber 110 reaches a specified degree of vacuum or when the plasma is generated. The mass analyzer 142 sends a signal to the controller 150 when the element Br separated from the substrate 109 formed of the glass cloth epoxy resin material and emitted to the reaction chamber 110 is observed. Based on the supply of the signal, the controller 150 controls the power supply unit 123 and the valve switch 132 to prevent the Br from being sputtered. Accordingly, only the nickel hydroxide deposited on the gold electrode 10 of the substrate 109 can be removed by the irradiation of argon ions without scattering the Br as a constituent of the substrate 109. Corrosion of the electrode 10 caused by the Br can be thus prevented.
  • The [0062] controller 150 controls both of the power supply unit 123 and the valve switch 132 when the mass analyzer 142 detects the Br. However, the above-described effect can be obtained by controlling at least one of the power supply unit 123 and the valve switch 132.
  • If the [0063] substrate 109 is formed of a polyimide film, in order to eliminate residual chlorine ions, the oxygen gas is supplied by 50 SCCM into the reaction chamber 110 while the air in the reaction chamber 110 is discharged by the vacuum pump 133 so that the reaction chamber 110 is kept to the degree of vacuum of 30 Pa. In this state, 200 W RF is applied to the electrode 121, thereby generating plasma. Oxygen ions present in the plasma are irradiated onto the surface of the substrate 109 exposed in the plasma. Residual chlorine ions on the surface of the substrate 109 are hence removed.
  • The [0064] mass analyzer 142 always monitors gases present in the reaction chamber 110. When impurities are completely removed, that is, when the chlorine comes not to be detected in the embodiment or when a concentration of the chlorine decreases to a level where no trouble is brought about, the mass analyzer 142 sends a signal to the controller 150. In response to the signal, the controller 150 controls the power supply unit 123, the valve switch 132 and the reaction gas supply unit 161 to stop the plasma treatment.
  • As above, since it is enabled to remove the chlorine ions remaining at the [0065] substrate 109 formed of the polyimide film, this can prevent corrosion by residual ions, electrical failures such as ion migration or the like which are to be caused if the IC is connected with the use of ACF in a state with the chlorine ions remaining. Moreover, since the plasma treatment to the substrate 109 is stopped when the removal of the chlorine is completed as described hereinabove, it is possible to remove the chlorine ions remaining at the substrate 109 while the effect of oxygen ions to the substrate 109 is limited to a minimum. At the same time, since the substrate 109 is formed of polyimide, as discussed in the “BACKGROUND OF THE INVENTION”, the organic contaminant on the polymide surface can also be removed by the oxygen radicals and the oxygen ions, and functional groups such as C═O, COOH and the like are formed to the surface, whereby the bonding strength between the substrate and the ACF is improved.
  • The element within the [0066] substrate 109 controlled to emit from the substrate 109 is Br in the foregoing embodiments. However, the substrate surface treatment apparatuses 101 and 102 in the embodiments can be applied to the other corrosive elements. Similarly, although the element adhering to the substrate 109 is chlorine in the foregoing embodiments, the apparatuses 101 and 102 of the embodiments are applicable to the other elements as well.
  • In each of the above embodiments, suppressing the emission of the element Br in the [0067] substrate 109, and removing the chlorine adhering to the substrate 109 are described separately from each other. Needless to say, however, suppressing the emission of substrate constituents and removing impurities of the substrate may be carried out simultaneously by detecting a plurality of elements by the detecting device 140 such as the above emission spectroscopic analysis device 141, the mass analyzer 142, etc.
  • Although the reaction gas injected to the [0068] reaction chamber 110 is the argon and the oxygen respectively in the embodiments as above, the present invention is not restricted to the specific kind of gas, and for instance, a mixed gas of argon and oxygen, hydrogen or nitrogen gas is utilizable. It is to be noted, however, that the reaction gas should be selected in some cases from a view point of a relationship with the substance to be processed by the surface treatment, because it is necessary to generate ions or the like effective for the substance to be processed by the surface treatment.
  • In each embodiment, the grounded [0069] opposed electrode 122 is arranged in the reaction chamber 110. The grounded reaction chamber 110 may be adapted to function by itself as an opposed electrode, and the opposed electrode 122 can be eliminated depending on the circumstances.
  • Although the present invention has been fully described in connection with the preferred embodiments thereof with reference to the accompanying drawings, it is to be noted that various changes and modifications are apparent to those skilled in the art. Such changes and modifications are to be understood as included within the scope of the present invention as defined by the appended claims unless they depart therefrom. [0070]

Claims (15)

What is claimed is:
1. A substrate surface treatment apparatus for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber, which comprises:
a detecting device arranged to the reaction chamber for detecting at least either whether or not components constituting the substrate are separated from the substrate, or whether or not impurities adhering to a surface of the substrate are removed by the surface treatment; and
a controller connected to the detecting device for controlling to reduce an energy of the ions in the plasma on a basis of the detected information by the detecting device when the separation of components is brought about, and for controlling to terminate the surface treatment on a basis of the detected information by the detecting device when the removal of impurities ends.
2. The substrate surface treatment apparatus according to claim 1, further comprising
a plasma generating device including electrodes arranged in the reaction chamber for generating the plasma and a power supply unit for supplying electricity to the electrodes, and
a vacuum degree adjusting device connected to the reaction chamber for adjusting a degree of vacuum in the reaction chamber,
wherein the controller controls operations of the power supply unit and the vacuum degree adjusting device on the basis of the detected information by the detecting device so as to control to reduce the energy of the ions and to end the surface treatment.
3. The substrate surface treatment apparatus according to claim 1, wherein the detecting device is comprised of a spectroscopic analyzer for conducting spectral observation of light generated by the plasma and detecting the components and the impurities of the substrate on a basis of the observation.
4. The substrate surface treatment apparatus according to claim 2, wherein the detecting device is comprised of a spectroscopic analyzer for conducting spectral observation of light generated by the plasma and detecting the components and the impurities of the substrate on a basis of the observation.
5. The substrate surface treatment apparatus according to claim 1, wherein the detecting device is comprised of a mass analyzer for analyzing gas elements in the reaction chamber and detecting the components and the impurities of the substrate on a basis of the gas analysis.
6. The substrate surface treatment apparatus according to claim 2, wherein the detecting device is comprised of a mass analyzer for analyzing gas elements in the reaction chamber and detecting the components and the impurities of the substrate on a basis of the gas analysis.
7. The substrate surface treatment apparatus according to claim 1, wherein the components of the substrate to be detected by the detecting device is bromine.
8. The substrate surface treatment apparatus according to claim 2, wherein the components of the substrate to be detected by the detecting device is bromine.
9. The substrate surface treatment apparatus according to claim 3, wherein the components of the substrate to be detected by the detecting device is bromine.
10. The substrate surface treatment apparatus according to claim 5, wherein the components of the substrate to be detected by the detecting device is bromine.
11. The substrate surface treatment apparatus according to claim 1, wherein the impurities to be detected by the detecting device is chlorine.
12. The substrate surface treatment apparatus according to claim 2, wherein the impurities to be detected by the detecting device is chlorine.
13. The substrate surface treatment apparatus according to claim 3, wherein the impurities to be detected by the detecting device is chlorine.
14. The substrate surface treatment apparatus according to claim 5, wherein the impurities to be detected by the detecting device is chlorine.
15. A substrate surface treatment method for executing surface treatment to a substrate arranged in a reaction chamber by ions in plasma generated in the reaction chamber, which comprises:
detecting at least either whether or not components constituting the substrate are separated from the substrate by the surface treatment, or whether or not impurities adhering to a surface of the substrate are removed by the surface treatment; and
controlling on a basis of the detected information an energy of the ions in the plasma to reduce when the separation of components is detected to take place and the surface treatment to end when the removal of impurities is detected to end.
US10/233,440 2001-09-05 2002-09-04 Apparatus and method for surface treatment to substrate Abandoned US20030049937A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/598,067 US7771561B2 (en) 2001-09-05 2006-11-13 Apparatus and method for surface treatment to substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001268801A JP4460803B2 (en) 2001-09-05 2001-09-05 Substrate surface treatment method
JP2001-268801 2001-09-05

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/598,067 Division US7771561B2 (en) 2001-09-05 2006-11-13 Apparatus and method for surface treatment to substrate

Publications (1)

Publication Number Publication Date
US20030049937A1 true US20030049937A1 (en) 2003-03-13

Family

ID=19094732

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/233,440 Abandoned US20030049937A1 (en) 2001-09-05 2002-09-04 Apparatus and method for surface treatment to substrate
US11/598,067 Expired - Fee Related US7771561B2 (en) 2001-09-05 2006-11-13 Apparatus and method for surface treatment to substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/598,067 Expired - Fee Related US7771561B2 (en) 2001-09-05 2006-11-13 Apparatus and method for surface treatment to substrate

Country Status (2)

Country Link
US (2) US20030049937A1 (en)
JP (1) JP4460803B2 (en)

Cited By (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090137129A1 (en) * 2005-08-22 2009-05-28 Hitachi Chemical Dupont Microsystems Ltd. Method for manufacturing semiconductor device
US20090189254A1 (en) * 2005-08-22 2009-07-30 Hitachi Chemical Dupont Microsystems, Ltd. Circuit connection structure, method for producing the same and semiconductor substrate for circuit connection structure
US20140069456A1 (en) * 2012-09-07 2014-03-13 Samsung Electronics Co., Ltd. Method and apparatus for removing organic materials
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US20190284716A1 (en) * 2012-08-30 2019-09-19 Devi Shanker Misra Apparatus and method of producing diamond and performing real time in situ analysis
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5125165B2 (en) * 2006-09-27 2013-01-23 日立化成デュポンマイクロシステムズ株式会社 Surface modification treatment method, electrode connection substrate, and semiconductor device manufacturing method
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (en) 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a dual loadrock configuration
JP6054314B2 (en) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
US8992689B2 (en) * 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
KR102068186B1 (en) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a load lock configuration
KR101791870B1 (en) * 2013-02-19 2017-11-02 세메스 주식회사 Inspecting method, apparatus and method for treating substrate including the same
DE102013211178A1 (en) 2013-06-14 2014-12-18 Ihp Gmbh - Innovations For High Performance Microelectronics / Leibniz-Institut Für Innovative Mikroelektronik Method and device for producing nanotips
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
CN106783693B (en) * 2017-01-17 2020-06-02 京东方科技集团股份有限公司 Vacuum system and control method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5415718A (en) * 1990-09-21 1995-05-16 Tadahiro Ohmi Reactive ion etching device
US5552016A (en) * 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5607602A (en) * 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US20010019897A1 (en) * 1999-10-29 2001-09-06 Applied Materials, Inc. High etch rate method for plasma etching silicon nitride
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US20030052083A1 (en) * 2001-05-14 2003-03-20 Nam-Hun Kim Treatment and evaluation of a substrate processing chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0812858B2 (en) 1988-01-26 1996-02-07 三菱電機株式会社 Method for manufacturing semiconductor device
JPH01298181A (en) 1988-05-25 1989-12-01 Hitachi Ltd Dry etching method
US5302238A (en) * 1992-05-15 1994-04-12 Micron Technology, Inc. Plasma dry etch to produce atomically sharp asperities useful as cold cathodes
JPH08222544A (en) 1995-02-13 1996-08-30 Sony Corp Treatment of laminated films
JP3019002B2 (en) 1996-09-20 2000-03-13 日本電気株式会社 Dry etching apparatus and dry etching method

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5415718A (en) * 1990-09-21 1995-05-16 Tadahiro Ohmi Reactive ion etching device
US5552016A (en) * 1993-04-28 1996-09-03 Applied Materials, Inc. Method and apparatus for etchback endpoint detection
US5685942A (en) * 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
US5607602A (en) * 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5971591A (en) * 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US20010019897A1 (en) * 1999-10-29 2001-09-06 Applied Materials, Inc. High etch rate method for plasma etching silicon nitride
US20030052083A1 (en) * 2001-05-14 2003-03-20 Nam-Hun Kim Treatment and evaluation of a substrate processing chamber

Cited By (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8975192B2 (en) 2005-08-22 2015-03-10 Hitachi Chemical Dupont Microsystems Ltd. Method for manufacturing semiconductor device
US20090189254A1 (en) * 2005-08-22 2009-07-30 Hitachi Chemical Dupont Microsystems, Ltd. Circuit connection structure, method for producing the same and semiconductor substrate for circuit connection structure
US8148204B2 (en) * 2005-08-22 2012-04-03 Hitachi Chemical Dupont Microsystems, Ltd. Circuit connection structure, method for producing the same and semiconductor substrate for circuit connection structure
EP1918989A4 (en) * 2005-08-22 2012-07-04 Hitachi Chem Dupont Microsys Circuit connection structure, method for manufacturing same, and semiconductor substrate for circuit connection structure
TWI386121B (en) * 2005-08-22 2013-02-11 Hitachi Chem Dupont Microsys Circuit connection structure and method of manufacturing the same and semiconductor substrate for circuit connection structure
KR101284512B1 (en) * 2005-08-22 2013-07-16 히다치 가세이듀퐁 마이쿠로시스데무즈 가부시키가이샤 Method for manufacturing semiconductor device
CN103258753A (en) * 2005-08-22 2013-08-21 日立化成杜邦微系统股份有限公司 Circuit connection structure, method for manufacturing same, and semiconductor substrate for circuit connection structure
TWI412090B (en) * 2005-08-22 2013-10-11 Hitachi Chem Dupont Microsys Method of manufacturing a semiconductor apparatus
US20090137129A1 (en) * 2005-08-22 2009-05-28 Hitachi Chemical Dupont Microsystems Ltd. Method for manufacturing semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) * 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US20190284716A1 (en) * 2012-08-30 2019-09-19 Devi Shanker Misra Apparatus and method of producing diamond and performing real time in situ analysis
US20140069456A1 (en) * 2012-09-07 2014-03-13 Samsung Electronics Co., Ltd. Method and apparatus for removing organic materials
KR20140032812A (en) * 2012-09-07 2014-03-17 삼성전자주식회사 Method for removal of organic material and apparatus for the same
KR102014991B1 (en) * 2012-09-07 2019-08-27 삼성전자주식회사 Method for removal of organic material and apparatus for the same
US9352364B2 (en) * 2012-09-07 2016-05-31 Samsung Electronics Co., Ltd. Method and apparatus for removing organic materials
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
JP2003073862A (en) 2003-03-12
US7771561B2 (en) 2010-08-10
JP4460803B2 (en) 2010-05-12
US20070062558A1 (en) 2007-03-22

Similar Documents

Publication Publication Date Title
US7771561B2 (en) Apparatus and method for surface treatment to substrate
KR101697285B1 (en) Chamber cleaning method
US7504040B2 (en) Plasma processing apparatus and plasma processing method
KR101570552B1 (en) Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US4012307A (en) Method for conditioning drilled holes in multilayer wiring boards
KR20160140420A (en) Plasma processing apparatus and substrate separation detecting method
JP5461759B2 (en) Plasma processing apparatus, plasma processing method, and storage medium
JP2003502831A (en) Apparatus and method for minimizing semiconductor wafer arcing during semiconductor wafer processing
US20080216957A1 (en) Plasma processing apparatus, cleaning method thereof, control program and computer storage medium
JP2007324154A (en) Plasma treating apparatus
JP2001319922A (en) Apparatus and method for detecting abnormal discharge
US20100193131A1 (en) Ashing device
JP3643580B2 (en) Plasma processing apparatus and semiconductor manufacturing apparatus
US6667250B2 (en) Film substrate treatment apparatus, film substrate treatment method, and film substrate transport method
JPH08330095A (en) Plasma processing device
JP2006100705A (en) Method for cleaning semiconductor manufacturing device
JP3288306B2 (en) Method for manufacturing semiconductor device
US6641747B1 (en) Method and apparatus for determining an etch endpoint
JPH09209179A (en) Dry etching device and its cleaning method
JP2002270565A (en) Method and apparatus for treating surface of mounting substrate
JP5638682B2 (en) Plasma processing apparatus, plasma processing method, and storage medium
JP2006073751A (en) Endpoint detecting method and device for plasma cleaning treatment
JP2001217226A (en) Device and method for manufacturing semiconductor device
JP2003332315A (en) Plasma treatment equipment and method
JP6067210B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUZUKI, NAOKI;NISHIDA, KAZUTO;TOMITA, KAZUYUKI;REEL/FRAME:013477/0711;SIGNING DATES FROM 20021007 TO 20021008

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: PANASONIC CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.;REEL/FRAME:021930/0876

Effective date: 20081001