US20030066752A1 - Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology - Google Patents

Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology Download PDF

Info

Publication number
US20030066752A1
US20030066752A1 US10/213,399 US21339902A US2003066752A1 US 20030066752 A1 US20030066752 A1 US 20030066752A1 US 21339902 A US21339902 A US 21339902A US 2003066752 A1 US2003066752 A1 US 2003066752A1
Authority
US
United States
Prior art keywords
unit
microelectronic workpiece
workpiece
seed layer
microelectronic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/213,399
Inventor
Thomas Ritzdorf
Steve Eudy
Gregory Wilson
Paul McHugh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/213,399 priority Critical patent/US20030066752A1/en
Publication of US20030066752A1 publication Critical patent/US20030066752A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Definitions

  • the present invention is directed to an apparatus and method for processing a microelectronic workpiece. More particularly, the present invention is directed to an improved apparatus and method of processing a microelectronic workpiece using a metrology result representative of a microelectronic workpiece condition.
  • a microelectronic workpiece is defined to include a microelectronic workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed.
  • microelectronic components from a microelectronic workpiece, such as a semiconductor wafer substrate, polymer or ceramic substrate, etc., involves a substantial number of operations performed on the microelectronic workpiece. Such operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment.
  • Material deposition processing involves depositing or otherwise forming thin layers of material on the surface of the microelectronic workpiece. Patterning provides deposition or removal of selected portions of these added layers. Doping of a microelectronic workpiece such as a the semiconductor wafer, is the process of adding impurities known as “dopants” to the selected portions of the microelectronic workpiece to alter the electrical characteristics of the substrate material. Heat treatment of the microelectronic workpiece involves heating and/or cooling the microelectronic workpiece to achieve specific process results. Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process, while electropolishing involves the removal of material from a microelectronic workpiece surface using electrochemical reactions.
  • microelectronic workpieces such as semiconductor wafers
  • production of semiconductor integrated circuits and other microelectronic devices from microelectronic workpieces, such as semiconductor wafers typically requires the formation and/or electrochemical processing or one or more thin film layers on the microelectronic workpiece.
  • the microelectronic manufacturing industry has applied a wide range of thin film layer materials to form such microelectronic structures. These thin film materials include metals and metal alloys such as, for example, nickel, tungsten, tantalum, solder, platinum, copper, aluminum, gold, etc., as well as dielectric materials, such as metal oxides, semiconductor oxides, and perovskite materials.
  • Electroplating and other electrochemical processes have become important in the production of semiconductor integrated circuits and other microelectronic devices from such microelectronic workpieces.
  • electroplating is often used in the formation of one or more metal layers on the microelectronic workpiece. These metal layers are typically used to electrically interconnect the various devices of the integrated circuit. Further, the structures formed from the metal layers may constitute microelectronic devices such as read/write heads, etc.
  • Electroplated metals typically include copper, nickel, gold, platinum, solder, nickel-iron, etc. Electroplating is generally effected by initial formation of a seed layer on the microelectronic workpiece in the form of a very thin layer of metal, whereby the surface of the microelectronic workpiece is rendered electrically conductive. This electro-conductivity permits subsequent formation of a blanket or patterned layer of the desired metal by electroplating. Subsequent processing, such as chemical mechanical planarization, may be used to remove unwanted portions of the patterned or metal blanket layer formed during electroplating, resulting in the formation of the desired metallized structure.
  • Electropolishing of metals at the surface of a microelectronic workpiece involves the removal of at least some of the metal using an electrochemical process.
  • the electrochemical process is effectively the reverse of the electroplating reaction and is often carried out using the same or similar reactors as electroplating.
  • Anodization typically involves oxidizing a thin-film layer at the surface of the microelectronic workpiece. For example, it may be desirable to selectively oxidize certain portions of a metal layer, such as a Cu layer, to facilitate subsequent removal of the selected portions in a solution that matches the oxidized material faster than the non-oxidized material. Further, anodization may be used to deposit certain materials, such as perovskite materials, onto the surface of the microelectronic workpiece.
  • the microelectronic workpieces are processed individually at the various processing stations. Furthermore, multiple microelectronic workpieces are concurrently processed at different processing stations. Thus, one microelectronic workpiece may be processed in one of the processing stations while another microelectronic workpiece is concurrently processed in another one of the processing stations. In this way, a pipeline processing approach can be developed, which enhances production throughput. Additionally, processing steps that take longer to perform may have multiple processing stations devoted to performing that particular processing step, thereby enhancing production throughput.
  • One tool configuration known as the LT-210CTM processing tool and available from Semitool, Inc., of Kalispell, Mont., includes a plurality of microelectronic workpiece processing stations such as one or more rinsing/drying stations, one or more wet processing stations, and one or more thermal processing stations that includes a rapid thermal processing (“RTP”) reactor.
  • Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc.
  • the output of one process is the input for the next process, and such output typically influences the output of the next process.
  • the barrier/seed layer process output influencing the output of the copper electrochemical deposition (“ECD”) process
  • the output of the copper ECD process influences the output of the copper chemical mechanical polishing (“CMP”) process.
  • CMP copper chemical mechanical polishing
  • the present inventors have recognized the desirability of automatically adjusting a workpiece processing step to effect its output to compensate for a condition on the workpiece such as a layer thickness, to provide an output which is tuned to the requirements determined in part by the incoming material.
  • the present invention provides an apparatus and method for processing a microelectronic workpiece, using a metrology measurement of a microelectronic characteristic, such as seed layer thickness or uniformity, measured on a microelectronic workpiece, to influence or control the process.
  • the metrology measurement can be taken subsequent to a prior processing step, i.e., a feed forward control, or subsequent to a process being controlled, i.e., a feed back control.
  • the metrology measurement can be taken on each microelectronic workpiece to be processed, or on a first microelectronic workpiece, or a sample microelectronic workpiece, for a batch of microelectronic workpieces.
  • the invention is useful in situations where a process output affects the output of a subsequent process output in a known manner, or in a manner that can be empirically determined.
  • the second process can be modified in a manner determined by the output of the first process, in order to ensure that the output from the second process is as desired (e.g. as uniform and repeatable as possible), regardless of variation in the output of the first process.
  • the desired output could be different than merely trying to produce uniform results, however; for example, it is possible that intentional variation in one parameter (e.g. film thickness) could be introduced in order to compensate for another non-uniformity (e.g. line width) to produce uniform electrical results.
  • a measurement of the output of the first process can be incorporated into the apparatus that performs the second process, and the data from this measurement can be used as an input to a mathematical algorithm that is used to tune the second process.
  • the apparatus of the invention can include a control that modifies the process parameters of a process in order to compensate for material variations in the incoming microelectronic workpiece, in order to produce a uniform output or desired output from the process.
  • the material variations in the microelectronic workpiece fed to this second process could be due to variability in a prior process step or to the use of different operations or processing chambers to feed the process.
  • the apparatus of the invention can include an in-line metrology measurement system to determine the condition of the incoming microelectronic workpiece material, and a control for altering the process conditions based on the measurement results, i.e., a feed forward control.
  • the metrology system may additionally be used to measure the output of the process as well. Alternately, the metrology system can measure the output of the process and the control can alter the process conditions of subsequently processed microelectronic workpieces, i.e., a feed back control.
  • metrology integration and ECD seed layer integration are utilized.
  • the metrology integration either physical or virtual through a network link, allows dynamic control of the process.
  • the ECD seed layer integration allows clustered processing which lowers costs and facilitates “split lot” processing, i.e., differing process recipes for two or more groups of workpieces within a batch.
  • the invention can be advantageously configured in a high volume manufacturing configuration or a process development configuration.
  • the tool preserves high volume ECD capability while also adding a “repair or recovery” mode to maintain the finished plating integrity.
  • the tool may be used with or without periodic verification through in-line metrology.
  • the metrology system can be used to measure the first workpiece of a lot, or to measure from a specific process location of the prior step (e.g., a given chamber on a seed layer sputtering tool) to verify good incoming quality of seed layers or other parameters. Likewise, the metrology system can feed forward or feed back uniformity and thickness data to drive the process recipe for electroplating reactors.
  • the metrology system of the invention is particularly useful in the case of reactors having the advantageous ability to manipulate wafer uniformity through process recipe control.
  • the reactors can be adjusted to varied electrochemical processing requirements, such as in response to metrology data, to provide a controlled, substantially uniform diffusion layer and electrical potential at the surface of the microelectronic workpiece that assists in providing a corresponding substantially uniform processing of the microelectronic workpiece surface (e.g., uniform deposition of the electroplated material).
  • electrochemical processing techniques can be used in the deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers.
  • the process and apparatus can be controlled with increased versatility when using the metrology data. Based upon the output from the metrology unit, the user can decide to stop the subsequent process to resolve the issues driving the prior process. For example, an electroplating process can be stopped when seed layer thicknesses are below acceptable tolerances. Alternately, the user can continue the subsequent processing and adjust the subsequent process steps or process parameter based upon the output from the metrology unit. For example, where seed layer thickness or uniformity is unacceptable, the user can insert an intermediate step and automatically “fix” a seed layer problem with a seed layer enhancement process, such as an electrochemical deposition (ECD) seed layer enhancement process. The user can also continue the processing and automatically adjust the process recipe on ECD reactors to achieve acceptable plating uniformity and thickness.
  • ECD electrochemical deposition
  • the apparatus of the invention is easily configured for high volume manufacturing with ECD seed layer enhancement integrated as part of the standard process, irrespective of the presence of seed layer non-uniformity.
  • the number of ECD seed layer chambers can correlate to the throughput requirement.
  • PVD physical vapor deposition
  • the ECD seed layer is a promising approach to extend ECD processes beyond the limits of current PVD technology.
  • An alternate exemplary embodiment of the tool incorporating the present invention is a process development configuration.
  • This tool design is directed to developing optimized processes.
  • the configuration allows a wide range of flexibility in process sequence and control. For example, a process engineer might want to measure incoming seed layer thickness, ECD seed layer deposition results, ECD fill results, and post annealing results. Since the plating solution reservoirs can be much smaller, the user may also quickly and easily interchange chemistries for rapid and low-cost experimentation. The user may want to run split lots with a wide variety of process combinations to determine feasibility of a production process.
  • FIG. 1 is an exploded isometric view of a prior art processing tool
  • FIG. 2 is a schematic plan view of a microelectronic workpiece processing apparatus of the present invention.
  • FIG. 3 is a schematic plan view of a first embodiment of the present invention.
  • FIG. 4 is a schematic plan view of a second embodiment of the invention.
  • FIG. 5 is a block diagram of a sequence of processing steps in accordance with a first method of the present invention.
  • FIG. 6 is a block diagram of a sequence of processing steps in accordance with a second method of the present invention.
  • FIG. 7 is a block diagram of a sequence of processing steps in accordance with a third method of the present invention.
  • FIG. 8 is a block diagram of a sequence of processing steps in accordnce with a fourth method of the present invention.
  • FIG. 1 is an exploded isometric view of a prior art integrated microelectronic workpiece-processing tool 10 .
  • This exemplary tool embodiment is disclosed in U.S. patent application Ser. No. 08/991,062, filed Dec. 15, 1997, entitled “Semiconductor Processing Apparatus Having Lift and Tilt Mechanism.”
  • the exemplary integrated microelectronic workpiece processing tool 10 of FIG. 1 comprises an input/output section 20 , a processing section including first and second processing subsections 30 and 40 , a microelectronic workpiece transfer apparatus 50 , an exhaust assembly 60 , and an end panel 70 .
  • the input/output section 20 includes an opening 80 through which one or more cassettes can be received or removed.
  • cassettes that are received at the input/output section 20 include microelectronic workpieces that are to be processed within the tool 10
  • cassettes that are removed from the input/output section 20 include microelectronic workpieces that have already been processed within the tool 10 .
  • a processed microelectronic workpiece may be returned directly to the cassette from which it was respectively provided to the tool.
  • the cassettes are received directly by one or more direct-access assemblies that, in turn, allow direct access to individual microelectronic workpiece slots of the cassettes.
  • the cassettes are directly received by and removed from one or more direct-access assemblies.
  • the direct-access assemblies of the illustrated embodiment are constructed as lift/tilt assemblies that both lift the cassette and reorient it for presentation to a subsequent microelectronic workpiece transfer assembly.
  • the lift/tilt assemblies initially receive the cassettes, the microelectronic workpieces are in a first position with respect to horizontal, such as a substantially vertical position. Each lift/tilt assembly then reorients (i.e.
  • microelectronic workpiece transfer apparatus 50 includes one or more microelectronic workpiece transport units 90 and 100 .
  • the microelectronic workpiece transport units 90 and 100 may be used to transport individual microelectronic workpieces along the conveyor path 110 , between the cassettes and one or more processing stations 120 of processing subsections 30 and 40 and, further, may be used to transport microelectronic workpieces between individual processing stations 120 .
  • the various sections of the integrated microelectronic workpiece processing tool 10 may define an enclosed space that is generally separate from the external environment.
  • exhaust assembly 60 enables venting of airborne contaminants initially present or produced during processing of the microelectronic workpieces to thereby generate and/or maintain a relatively clean processing environment within the enclosed space.
  • the transfer apparatus 50 places the microelectronic workpieces into a cassette, and the cassette containing the processed microelectronic workpieces are removed from the integrated microelectronic workpiece-processing tool 10 via the opening 80 in the input/output section 20 .
  • FIG. 2 illustrates in schematic fashion a processing tool 200 of the present invention, which is similar to the tool shown in FIG. 1 except as noted.
  • the tool 200 includes an input/output station 224 at one end, a linear conveyor arrangement or linear robot 226 extending from the input/output station along a length of the tool 200 , and a number of processing stations.
  • the processing stations can include a metrology unit 228 , one or more ECD seed layer enhancement units 232 , one or more stripping units 236 , and one or more plating units 240 .
  • the tool 200 includes one or more annealing units 244 and a non-process station or staging station 248 .
  • the linear robot 226 includes a rail 250 (FIG. 3) which extends substantially the length of the processing units, and which carries a robot arm manipulator or transport unit 256 thereon.
  • the robot arm manipulator 256 can remove a wafer from the input/output station 224 and deliver the microelectronic workpiece to and from any of the processing units 232 , 236 , 240 , 244 or to and from the metrology unit 228 and to and from the non-process station 248 .
  • the in-film metrology unit 228 measures a seed layer thickness or uniformity on a workpiece and communicates the data to a controller 270 .
  • the controller can be a programmable controller. Based on the data, decisions concerning the process parameters or recipe downstream from the metrology unit are made.
  • the process recipe for one or more downstream units can be modified based on the metrology results. Alternatively, or additionally, the process sequence can be modified according to the metrology results. For example, if the seed layer thickness or uniformity is insufficient, or less than a tolerance value, the microelectronic workpiece can be delivered to one of the seed layer enhancement units 232 before being delivered to one of the electroplating units 240 .
  • the microelectronic workpiece can be delivered to one of the stripping units 236 wherein the microelectronic workpiece can be etched, including its process side surface and beveled edge, to be thereafter delivered by the manipulator 256 to the non-process station 248 .
  • the non-process station can be a non-compliance station, including a cassette 248 a for holding microelectronic workpieces for returning microelectronic workpieces to a seed layer application station, typically a physical vapor deposition (PVD) apparatus external to the described tool 200 .
  • PVD physical vapor deposition
  • the microelectronic workpiece After the microelectronic workpiece has been plated according to the process recipe in one of the electroplating units 240 , it can be delivered to the in-line anneal unit for annealing, and thereafter delivered to the input/output station 224 for exporting to a next process tool.
  • a high volume or high throughput tool 300 is illustrated in FIG. 3.
  • the tool preserves high volume ECD capability while also adding a “repair or recovery” mode to maintain the finished plate integrity.
  • the tool may be used with or without periodic verification through in-line metrology at the metrology unit 228 .
  • the metrology unit can be used to measure the first substrate of a lot, or from a specific process location of the prior step (e.g., a given chamber on a seed layer sputtering tool) to verify good incoming quality of seed layers or other parameters. Likewise, the metrology unit can feed forward or feed back uniformity and thickness data to drive the process recipe for the electroplating reactors 240 .
  • the electroplating units 240 are preferably adjustable reactors (described below) or other type reactors that can adapt to varied electrochemical processing requirements while concurrently providing a controlled, substantially uniform diffusion layer and electrical potential at the surface of the microelectronic workpiece that assists in providing a corresponding substantially uniform processing of the microelectronic workpiece surface (e.g., uniform deposition of the electroplated material).
  • the electroplating units 240 can be controlled by the controller 270 (FIG. 2) to compensate for non-uniformities of the seed layer determined by the metrology unit.
  • Such electrochemical processing techniques can be used in the deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers.
  • the tool 300 can be controlled with increased flexibility when using the metrology unit. Based upon an output from the metrology unit 228 derived from the programmable recipe from the metrology unit 228 , the user can decide to stop the subsequent microelectronic workpiece processing, such as the electroplating units 240 , and resolve the issues driving the prior process, such as a seed layer deposition process. For example, the electroplating process can be stopped where seed layer thicknesses are below acceptable tolerances.
  • the user can continue the subsequent processing and adjust the order of subsequent process steps, or insert a remedial process step, based upon the output from the metrology unit.
  • the user can first transport the wafer to a seed layer enhancement unit 232 to automatically “fix” or adjust a seed layer problem with the ECD seed layer enhancement process and then transport the microelectronic workpiece to an electroplating unit 240 .
  • the user can also continue the processing and automatically adjust the process recipe in the electroplating unit 240 , particularly using variable recipe reactors, for enhanced plating uniformity and thickness.
  • the microelectronic workpiece can be transported to one of the stripping units 236 where the microelectronic workpiece processing side is stripped.
  • the microelectronic workpiece can then be transported to the non-compliance station 248 , particularly to the cassette 248 a , for recycling.
  • the tool 300 is also easily configured for high volume manufacturing with ECD seed layer enhancement integrated as part of the standard process, i.e., the number of ECD seed layer enhancement chambers 232 can correlate with the throughput requirement.
  • the stripping units 236 can also be used to clean copper contamination from the prior PVD seed layer process from the microelectronic workpiece back, edge and bevel to eliminate problems during chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • the tool 300 can also include a microelectronic workpiece pre-aligner (not shown).
  • the pre-aligner is described in “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, U.S. Ser. No. 08/991,062 filed Dec. 15, 1997, and is used to rotationally align microelectronic workpieces initially for precise processing. This is particularly important given the fact that the metrology unit can be utilized for measuring precise points in patterned film layers, i.e., accurate positioning of the microelectronic workpiece is important to obtain an accurate reading.
  • An alternate exemplary embodiment of the tool incorporating the present invention is a process development configuration tool 400 illustrated in FIG. 4.
  • This tool 400 is directed to developing optimized processes, i.e., for research and development.
  • the tool 400 has a compact layout.
  • the tool configuration allows increased flexibility in process sequence and control. For example, a process engineer might want to measure any combination of incoming seed layer thickness, ECD seed layer deposition results, ECD fill results, and post annealing results. Since the plating solution reservoirs can be much smaller, the user may also quickly and easily interchange chemistries for rapid and low-cost experimentation. The user may want to run split lots with a wide variety of process combinations to determine feasibility of a production process.
  • the tool 400 includes fewer processing stations than the tool 300 shown in FIG. 3.
  • the tool 400 includes two electroplating units 240 , an in-line metrology unit 228 , an annealing unit 244 , a seed layer enhancement unit 232 , and two stripping and/or cleaning units 236 for stripping films or backside cleaning as needed.
  • the tool 400 also includes a staging station 248 , in this case configured as a wafer pre-aligner 248 b.
  • FIGS. 5 through 8 illustrate different process sequences which can be employed according to the invention.
  • the process sequences are examples and the process order can, in some cases, be rearranged, and process steps can be eliminated or added, without departing from the invention.
  • FIG. 5 illustrates a first process sequence wherein the microelectronic workpiece is first processed in an ECD unit such as an electroplating unit in a first step 502 . Subsequently the workpiece is transferred to a stripping unit and the workpiece is bevel-etched, rinsed and dried in a step 504 .
  • ECD unit such as an electroplating unit
  • the workpiece is transferred to a pre-align station to be accurately positioned, in step 506 .
  • the microelectronic workpiece is then transported to the metrology unit in a step 508 and film thickness and/or other parameters are measured.
  • the workpiece is annealed in a annealing unit.
  • the workpiece is thereafter transported to be pre-aligned in a step 512 for accurate reference position.
  • the workpiece is transported to the metrology unit to have parameters such as post annealing film thicknesses measured.
  • the pre-alignment unit can be incorporated into the metrology unit which would eliminate the need to transport the workpiece to and from a pre-alignment unit.
  • the metrology data derived from steps 508 and 514 can be used to feed back control information, for example, to the ECD (step 502 ) for controlling process recipe for subsequent workpieces.
  • FIG. 6 illustrates a second sequence of process steps including a first step 602 in which a microelectronic workpiece has a seed layer applied by an ECD reactor.
  • the workpiece is then transported to a rinse and dry station in a step 603 and then to a pre-align station for accurate positioning in a step 604 .
  • the workpiece is then transported to a metrology unit in a step 606 for parameter measurements, such as film thickness.
  • the workpiece is then transported to the ECD unit, such as an electroplating unit, to be further processed.
  • the workpiece is then transported to a stripping unit for bevel etch, rinse and dry processing.
  • the microelectronic workpiece is annealed.
  • the metrology measurement taken in step 606 can be used to control the recipe of the downstream ECD reactor (step 608 ).
  • FIG. 7 illustrates a third sequence of process steps 700 which commences with a pre-align of the workpiece in step 702 .
  • the workpiece is then transported to the metrology unit for accurate measuring in a step 704 .
  • a barrier layer can be measured in this step.
  • the workpiece is transported to an ECD seed layer unit for the deposition of a seed layer onto the workpiece.
  • the workpiece is then transported to a rinse and dry station in a step 707 , and then to the pre-align station in a step 708 , for accurate reference positioning.
  • the workpiece is then transported back to the metrology unit 710 for accurate measuring of the applied seed layer, for example.
  • the workpiece is transported to an ECD unit, such as an electroplating unit, in a step 712 and a further processing of the workpiece ensues.
  • ECD unit such as an electroplating unit
  • the workpiece is transported to a stripping unit for a bevel etch rinse and dry in a step 714 .
  • the workpiece is then transported to an annealing unit in a step 716 and the workpiece is annealed.
  • the metrology measurements taken in steps 704 and/or 710 can be used to control the recipe in steps 706 and/or 712 as a feed forward or feed back control.
  • FIG. 8 illustrates a fourth process sequence of steps 800 which commences at a step 802 with pre-aligning the microelectronic workpiece.
  • the workpiece is then transported to the metrology unit for measurements in a step 804 .
  • the workpiece is subsequently transported to and ECD seed layer unit wherein a seed layer is applied to the workpiece in a step 806 .
  • the workpiece is transported to a bevel etch rinse and dry station in a step 808 .
  • the workpiece is then transported back to the pre-align station to be accurately reference positioned in a step 810 .
  • the workpiece is transported to the metrology unit for further accurate measurements in step 812 .
  • the workpiece is thereupon transported to an ECD unit such as an electroplating reactor, wherein further processing of the workpiece ensues in a step 814 .
  • the workpiece is transported to the bevel etch, rinse and dry station and processed accordingly in a step 816 .
  • the workpiece is then transported to a pre-align station and accurately positioned in a step 818 . After being accurately positioned, the workpiece is returned to the metrology unit and in a step 820 is accurately measured. The workpiece is then transported to an annealing unit in a step 822 and is annealed.
  • a step 824 the workpiece is transported to a pre-align station and is accurately reference positioned.
  • a step 826 the workpiece is transported back to the metrology unit and accurately measured.
  • the workplace is transported to a chemical mechanical polishing unit (“CMP”) for further processing.
  • CMP chemical mechanical polishing unit
  • the metrology steps 804 , 812 , 820 and/or 826 can be utilized to feed forward or feed back control of process recipes or control step sequences.
  • the electroplating units 240 of the tools 200 , 300 , 400 each include a plating reactor such as described in “Improved Anode Assembly For Electroplating Apparatus”, U.S. Ser. No. 09/112,300 filed Jul. 9, 1998, or an adjustable plating reactor as described in “Workpiece Processor Having Processing Chamber With Improved Processing Fluid Flow”, PCT/US00/10210 flied Apr. 13, 2000 or “System For Electrochemically Processing A Workpiece”, PCT/US00/10120 filed Apr. 13, 2000, WO 00/14308 Mar. 16, 2000 all herein incorporated by reference.
  • Alternate reactor types are described in WO 00/20663, published Apr. 13, 2000; WO 99/10566, published Mar. 4, 1999; WO 99/54527, published Oct. 28, 1999; WO 99/54920, published Oct. 28, 1999; and WO 99/25904, published May 27, 1999, and are encompassed by the invention.
  • the plating reactor is an adjustable reactor (as referenced above) that includes a processing container for providing a flow of a processing fluid during immersion processing of at least one surface of a microelectronic workpiece.
  • the processing container comprises a principal fluid flow chamber providing a flow of processing fluid to at least one surface of the microelectronic workpiece.
  • the fluid flow inlets are arranged and directed to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the surface of the microelectronic workpiece.
  • the reactor comprises a reactor head including a microelectronic workpiece support that has one or more electrical contacts positioned to make electrical contact with the microelectronic workpiece.
  • a plurality of anodes are disposed at different elevations in the principal fluid flow chamber so as to place them at different distances from a microelectronic workplace under process.
  • One or more of the plurality of anodes may be in close proximity to the microelectronic workplace under process.
  • one or more of the plurality of anodes may be a virtual anode.
  • the anodes used in the electroplating reactor can be placed in close proximity to the surface of the microelectronic workpiece to thereby provide substantial control over local electrical field/current density parameters used in the electroplating process.
  • control can be taken of this increased control to achieve greater uniformity of the resulting electroplated film.
  • Such control is exercised, for example, by placing the electroplating power provided to the individual anodes under the control of a programmable controller or the like. Adjustments to the electroplating power can thus be made subject to software control based on a metrology-based signal, based on seed layer thickness, for example.
  • adjustable reactor controls electroplating power to individual anodes
  • other methods of controlling electroplating film uniformity in response to metrology results are encompassed by the invention including adjusting current density using current thieves or controlling workpiece rotation and/or fluid flow.
  • electroless plating reactors (as described below) can be utilized in some applications.
  • stripping unit 236 An example of the stripping unit 236 is described in “Micro-Environment For Processing A Workpiece”, PCT/US99/05676 filed Mar. 15, 1999 and/or in “Selective Treatment Of A Microelectronic Workpiece”, PCT/US99/05674 filed Mar. 15, 1999, herein incorporated by reference.
  • the “stripping units” are multifunctional processing capsules which can perform cleaning, stripping, bevel etching, rinsing and drying.
  • the apparatus includes a rotor motor and a microelectronic workpiece housing.
  • the microelectronic workpiece housing is connected-to-be-rotated by the rotor motor.
  • the microelectronic workpiece housing further defines a substantially closed processing chamber therein in which one or more processing fluids are distributed across at least one face of the microelectronic workpiece by centripetal accelerations generated during rotation of the housing.
  • the microelectronic workpiece housing includes an upper chamber member having a fluid inlet opening and a lower chamber member having a fluid inlet opening.
  • the upper chamber member and the lower chamber member are joined to one another to form the substantially closed processing chamber.
  • the processing chamber generally conforms to the shape of the microelectronic workpiece and includes at least one fluid outlet disposed at a peripheral region thereof.
  • At least one microelectronic workpiece support is provided. The support is adapted to support a microelectronic workpiece in the substantially closed processing chamber in a position to allow centripetal acceleration distribution of a fluid supplied through the inlet opening of the upper chamber member across at least an upper face of the microelectronic workpiece when the microelectronic workpiece housing is rotated.
  • the wafer is further positioned by the support to allow centripetal acceleration distribution of a fluid supplied through the inlet opening of the lower chamber member across at least a lower face of the microelectronic workpiece during the rotation.
  • the at least one fluid outlet is positioned to allow extraction of fluid in the processing chamber through the action of centripetal acceleration.
  • An etchant capable of removing one or more of the thin film layers, such as the seed layer can be caused to flow over the front side and an outer margin of the back side while the etchant is prevented from flowing over the back side except for the outer margin.
  • a non-uniform seed layer for example, can be stripped from the workpiece.
  • seed layer enhancement unit 232 An example of the seed layer enhancement unit 232 is described in “Apparatus And Method For Electrolytically Depositing Copper On A Semiconductor Workpiece”, PCT/US99/06306, filed Mar. 22, 1999 and herein incorporated by reference.
  • the seed layer enhancement unit 232 can be embodied as an adjustable type plating reactor as described in “Workpiece Processor Having Processing Chamber With Improved Processing Fluid Flow”, PCT/US00/10210 filed Apr. 13, 2000 or “System For Electrochemically Processing A Workpiece”, PCT/US00/10120 filed Apr. 13, 2000 herein incorporated by reference.
  • an ultra-thin adhesion layer formed by physical vapor deposition (PVD) is enhanced by subjecting the semiconductor microelectronic workpiece to an electrochemical copper deposition process in which an alkaline bath having a complexing agent is employed.
  • the copper complexing agent may be at least one complexing agent selected from a group consisting of EDTA, ED, and a polycarboxylic acid such as citric acid or salts thereof.
  • the alkaline electrolytic copper bath is used to enhance the ultra-thin copper adhesion layer which has been deposited on a barrier layer using a deposition process such as PVD.
  • the enhanced copper seed layer provides an excellent conformal copper coating that allows trenches and vias to be subsequently filled with a copper layer having good uniformity using electrochemical deposition techniques.
  • the seed layer enhancement units 232 can be embodied as electroless plating reactors as described below.
  • Electroless plating Another process for depositing a layer (such as copper) onto a microelectronic workpiece is known as “electroless” plating. Unlike an electroplating reactor, electroless plating does not conduct external electrical power to the surface of a microelectronic workpiece. A catalytic material is used to effect plating of the material on the microelectronic workpiece. Electroless plating reactors and corresponding processes are disclosed in WO 00/03072, published Jan. 20, 2000; and U.S. Pat. Nos. 5,500,315; 5,389,496; and 5,139,818, all incorporated herein by reference. Electroless plating can be used instead of electroplating, or can be used as a seed layer enhancement step.
  • the annealing units 244 can be as described in “Method And Apparatus For Tuning Multiple Electrodes Used In A Reactor For Electrochemically Processing A Microelectronic Workpiece”, U.S. Serial No. 60/206,663, filed May 24, 2000, or as in “Method And Apparatus For Low Temperature Annealing Of Metallization Micro-Structures In The Production Of A Microelectronic Device”, PCT/US99/02504, filed Feb. 2, 1999; or as in “Method And Apparatus For Processing A Microelectronic Workpiece Including An Apparatus And Method For Executing A Processing Step At An Elevated Temperature”, U.S. Ser. No. 09/501,002, filed Feb. 9, 2000; herein incorporated by reference.
  • the annealing units 244 can include a thermal reactor that is adapted for rapid thermal processing (RTP).
  • microelectronic workpieces are transferred between the processing stations and the annealing units 244 using the transport unit 256 that is disposed for linear movement along the central track.
  • Each of the metrology unit 228 can be a four-point probe style metrology tool.
  • the metrology unit can use sheet resistance or capacitance to determine layer thickness.
  • the metrology unit can use optical or thermal reference methods.
  • the metrology unit uses a laser based non-constant metrology system wherein the laser induces an acoustic response in the measured film and the acoustic response is related to film thickness. This is known as impulsive stimulated thermal scattering (ISTS).
  • IMPULSE impulsive stimulated thermal scattering
  • EMERALD impulsive stimulated thermal scattering
  • Another such metrology unit is manufactured by Rudolf Technologies, under the model name “METAPULSE.”
  • the input/output station is described in “Apparatus For Processing A Microelectronic Workpiece Including Improved Input/Output Station,” attorney docket no. SEM4492P1240, filed on Jul. 7, 2000 or in “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, PCT/US98/00076 filed Jan. 5, 1998, both herein incorporated by reference.
  • the input/output section includes an opening through which the one or more cassettes are received by a multi-cassette interface.
  • the multi-cassette interface can selectively adjust the alignment of the one or more cassettes with respect to one or more corresponding direct-access assemblies for transfer therebetween.
  • the one or more direct-access assemblies receive the one or more cassettes from the multi-cassette interface and position them to allow direct access to individual microelectronic workpiece positions of the one or more cassettes, including direct access to any microelectronic workpieces disposed at the microelectronic workpiece positions.
  • the non-compliance station comprises a cassette for holding multiple microelectronic workpieces.
  • the cassette can be automated, for example to be sent back to the PVD seed layer deposition station for reestablishing a seed layer on the microelectronic workpiece substrates.
  • the linear robot system can be as described in “Semiconductor Processing Apparatus Having Linear Conveyor System”, PCT/US98/00132 filed Jan. 6, 1998; or “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, PCT/US98/00076 filed Jan. 5, 1998; or “Robots For Microelectronic Workpiece Handling”, PCT/US99/15567 filed Jul. 9, 1999, all herein incorporated by reference.
  • CMP Chemical mechanical polishing

Abstract

An electrochemical processing apparatus for processing a microelectronic workpiece includes a metrology unit and a control, signal-connected to the metrology unit. An electrochemical deposition unit provides a space to receive said microelectronic workpiece to deposit a subsequent film layer onto a prior layer, wherein a condition signal from the metrology unit influences the process control of the electrochemical deposition unit. The signal can also be used to transfer the microelectronic workpiece to a layer stripping unit, or a layer enhancement unit, or to a non-compliance station. The apparatus is particularly useful in measuring seed layer thickness and adjusting the operating control of a computational fluid dynamic reactor, which electroplates a process layer onto the seed layer.

Description

    BACKGROUND OF THE INVENTION
  • The present invention is directed to an apparatus and method for processing a microelectronic workpiece. More particularly, the present invention is directed to an improved apparatus and method of processing a microelectronic workpiece using a metrology result representative of a microelectronic workpiece condition. For purposes of the present application, a microelectronic workpiece is defined to include a microelectronic workpiece formed from a substrate upon which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are formed. [0001]
  • The fabrication of microelectronic components from a microelectronic workpiece, such as a semiconductor wafer substrate, polymer or ceramic substrate, etc., involves a substantial number of operations performed on the microelectronic workpiece. Such operations include, for example, material deposition, patterning, doping, chemical mechanical polishing, electropolishing, and heat treatment. [0002]
  • Material deposition processing involves depositing or otherwise forming thin layers of material on the surface of the microelectronic workpiece. Patterning provides deposition or removal of selected portions of these added layers. Doping of a microelectronic workpiece such as a the semiconductor wafer, is the process of adding impurities known as “dopants” to the selected portions of the microelectronic workpiece to alter the electrical characteristics of the substrate material. Heat treatment of the microelectronic workpiece involves heating and/or cooling the microelectronic workpiece to achieve specific process results. Chemical mechanical polishing involves the removal of material through a combined chemical/mechanical process, while electropolishing involves the removal of material from a microelectronic workpiece surface using electrochemical reactions. [0003]
  • Production of semiconductor integrated circuits and other microelectronic devices from microelectronic workpieces, such as semiconductor wafers, typically requires the formation and/or electrochemical processing or one or more thin film layers on the microelectronic workpiece. The microelectronic manufacturing industry has applied a wide range of thin film layer materials to form such microelectronic structures. These thin film materials include metals and metal alloys such as, for example, nickel, tungsten, tantalum, solder, platinum, copper, aluminum, gold, etc., as well as dielectric materials, such as metal oxides, semiconductor oxides, and perovskite materials. [0004]
  • Electroplating and other electrochemical processes, such as electropolishing, electro-etching, anodization, etc., have become important in the production of semiconductor integrated circuits and other microelectronic devices from such microelectronic workpieces. For example, electroplating is often used in the formation of one or more metal layers on the microelectronic workpiece. These metal layers are typically used to electrically interconnect the various devices of the integrated circuit. Further, the structures formed from the metal layers may constitute microelectronic devices such as read/write heads, etc. [0005]
  • Electroplated metals typically include copper, nickel, gold, platinum, solder, nickel-iron, etc. Electroplating is generally effected by initial formation of a seed layer on the microelectronic workpiece in the form of a very thin layer of metal, whereby the surface of the microelectronic workpiece is rendered electrically conductive. This electro-conductivity permits subsequent formation of a blanket or patterned layer of the desired metal by electroplating. Subsequent processing, such as chemical mechanical planarization, may be used to remove unwanted portions of the patterned or metal blanket layer formed during electroplating, resulting in the formation of the desired metallized structure. [0006]
  • Electropolishing of metals at the surface of a microelectronic workpiece involves the removal of at least some of the metal using an electrochemical process. The electrochemical process is effectively the reverse of the electroplating reaction and is often carried out using the same or similar reactors as electroplating. [0007]
  • Anodization typically involves oxidizing a thin-film layer at the surface of the microelectronic workpiece. For example, it may be desirable to selectively oxidize certain portions of a metal layer, such as a Cu layer, to facilitate subsequent removal of the selected portions in a solution that matches the oxidized material faster than the non-oxidized material. Further, anodization may be used to deposit certain materials, such as perovskite materials, onto the surface of the microelectronic workpiece. [0008]
  • As the size of various microelectronic circuits and components decreases, there is a corresponding decrease in the manufacturing tolerances that must be met by the manufacturing tools. It is desirable that electrochemical processes uniformly process the surface of a given microelectronic workpiece. It is also desirable that the electrochemical process meet microelectronic workpiece-to-microelectronic workpiece uniformity requirements. [0009]
  • Multiple processes must be executed upon a microelectronic workpiece to manufacture the desired microelectronic circuits, devices, or components. These processes are generally executed in processing tools that are specifically designed to implement one or more of the requisite processes. In order to automate the processing and minimize operator handling, tool architectures have been developed that incorporate multiple processing stations and automated transfer of the microelectronic workpieces from one processing station to the next. [0010]
  • In such tools, the microelectronic workpieces are processed individually at the various processing stations. Furthermore, multiple microelectronic workpieces are concurrently processed at different processing stations. Thus, one microelectronic workpiece may be processed in one of the processing stations while another microelectronic workpiece is concurrently processed in another one of the processing stations. In this way, a pipeline processing approach can be developed, which enhances production throughput. Additionally, processing steps that take longer to perform may have multiple processing stations devoted to performing that particular processing step, thereby enhancing production throughput. [0011]
  • Numerous processing tools have been developed to implement the foregoing processing operations. These tools take on different configurations depending on the type of microelectronic workpiece used in the fabrication process and the process or processes executed by the tool. An exemplary tool embodiment is disclosed in U.S. patent application Ser. No. 08/991,062, filed Dec. 15, 1997, entitled “Semiconductor Processing Apparatus Having Lift and Tilt Mechanism.”[0012]
  • One tool configuration, known as the LT-210C™ processing tool and available from Semitool, Inc., of Kalispell, Mont., includes a plurality of microelectronic workpiece processing stations such as one or more rinsing/drying stations, one or more wet processing stations, and one or more thermal processing stations that includes a rapid thermal processing (“RTP”) reactor. Such wet processing operations include electroplating, etching, cleaning, electroless deposition, electropolishing, etc. [0013]
  • In the processing of microelectronic workpieces, the output of one process is the input for the next process, and such output typically influences the output of the next process. This is true, for instance, in the case of a copper damascene interconnect process, with the barrier/seed layer process output influencing the output of the copper electrochemical deposition (“ECD”) process, or the output of the copper ECD process influences the output of the copper chemical mechanical polishing (“CMP”) process. This is also the case in most thin film ECD processes, where the thickness and the thickness uniformity of the seed layer affect the thickness uniformity of the plated film. [0014]
  • The present inventors have recognized the desirability of automatically adjusting a workpiece processing step to effect its output to compensate for a condition on the workpiece such as a layer thickness, to provide an output which is tuned to the requirements determined in part by the incoming material. [0015]
  • SUMMARY OF THE INVENTION
  • The present invention provides an apparatus and method for processing a microelectronic workpiece, using a metrology measurement of a microelectronic characteristic, such as seed layer thickness or uniformity, measured on a microelectronic workpiece, to influence or control the process. The metrology measurement can be taken subsequent to a prior processing step, i.e., a feed forward control, or subsequent to a process being controlled, i.e., a feed back control. The metrology measurement can be taken on each microelectronic workpiece to be processed, or on a first microelectronic workpiece, or a sample microelectronic workpiece, for a batch of microelectronic workpieces. In general, the invention is useful in situations where a process output affects the output of a subsequent process output in a known manner, or in a manner that can be empirically determined. [0016]
  • When a relationship between a first process output and a subsequent, second process output as described above exists, the second process can be modified in a manner determined by the output of the first process, in order to ensure that the output from the second process is as desired (e.g. as uniform and repeatable as possible), regardless of variation in the output of the first process. The desired output could be different than merely trying to produce uniform results, however; for example, it is possible that intentional variation in one parameter (e.g. film thickness) could be introduced in order to compensate for another non-uniformity (e.g. line width) to produce uniform electrical results. Furthermore, a measurement of the output of the first process can be incorporated into the apparatus that performs the second process, and the data from this measurement can be used as an input to a mathematical algorithm that is used to tune the second process. [0017]
  • The apparatus of the invention can include a control that modifies the process parameters of a process in order to compensate for material variations in the incoming microelectronic workpiece, in order to produce a uniform output or desired output from the process. The material variations in the microelectronic workpiece fed to this second process could be due to variability in a prior process step or to the use of different operations or processing chambers to feed the process. The apparatus of the invention can include an in-line metrology measurement system to determine the condition of the incoming microelectronic workpiece material, and a control for altering the process conditions based on the measurement results, i.e., a feed forward control. The metrology system may additionally be used to measure the output of the process as well. Alternately, the metrology system can measure the output of the process and the control can alter the process conditions of subsequently processed microelectronic workpieces, i.e., a feed back control. [0018]
  • According to one exemplary aspect of the invention, metrology integration and ECD seed layer integration are utilized. The metrology integration, either physical or virtual through a network link, allows dynamic control of the process. The ECD seed layer integration allows clustered processing which lowers costs and facilitates “split lot” processing, i.e., differing process recipes for two or more groups of workpieces within a batch. [0019]
  • The invention can be advantageously configured in a high volume manufacturing configuration or a process development configuration. [0020]
  • According to the high volume configuration, such as for an ECD tool, the tool preserves high volume ECD capability while also adding a “repair or recovery” mode to maintain the finished plating integrity. Under normal operation, the tool may be used with or without periodic verification through in-line metrology. [0021]
  • The metrology system can be used to measure the first workpiece of a lot, or to measure from a specific process location of the prior step (e.g., a given chamber on a seed layer sputtering tool) to verify good incoming quality of seed layers or other parameters. Likewise, the metrology system can feed forward or feed back uniformity and thickness data to drive the process recipe for electroplating reactors. [0022]
  • The metrology system of the invention is particularly useful in the case of reactors having the advantageous ability to manipulate wafer uniformity through process recipe control. The reactors can be adjusted to varied electrochemical processing requirements, such as in response to metrology data, to provide a controlled, substantially uniform diffusion layer and electrical potential at the surface of the microelectronic workpiece that assists in providing a corresponding substantially uniform processing of the microelectronic workpiece surface (e.g., uniform deposition of the electroplated material). Such electrochemical processing techniques can be used in the deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers. [0023]
  • The process and apparatus can be controlled with increased versatility when using the metrology data. Based upon the output from the metrology unit, the user can decide to stop the subsequent process to resolve the issues driving the prior process. For example, an electroplating process can be stopped when seed layer thicknesses are below acceptable tolerances. Alternately, the user can continue the subsequent processing and adjust the subsequent process steps or process parameter based upon the output from the metrology unit. For example, where seed layer thickness or uniformity is unacceptable, the user can insert an intermediate step and automatically “fix” a seed layer problem with a seed layer enhancement process, such as an electrochemical deposition (ECD) seed layer enhancement process. The user can also continue the processing and automatically adjust the process recipe on ECD reactors to achieve acceptable plating uniformity and thickness. Also, rather than attempt to fix or compensate for a seed layer non-uniformity, a rejected workpiece can be recovered in a non-compliance station, or sent first to a stripping unit to have the nonconforming layer removed and then sent to the non-compliance station. Microelectronic workplaces stored in the non-compliance station can be removed from the apparatus for recovery (reuse). [0024]
  • Furthermore, the apparatus of the invention is easily configured for high volume manufacturing with ECD seed layer enhancement integrated as part of the standard process, irrespective of the presence of seed layer non-uniformity. The number of ECD seed layer chambers can correlate to the throughput requirement. As dual damascene features continue to become more aggressive, the capability of physical vapor deposition (“PVD”) to conformably deposit the requisite seed layer in these features becomes limited. The ECD seed layer is a promising approach to extend ECD processes beyond the limits of current PVD technology. [0025]
  • An alternate exemplary embodiment of the tool incorporating the present invention is a process development configuration. This tool design is directed to developing optimized processes. The configuration allows a wide range of flexibility in process sequence and control. For example, a process engineer might want to measure incoming seed layer thickness, ECD seed layer deposition results, ECD fill results, and post annealing results. Since the plating solution reservoirs can be much smaller, the user may also quickly and easily interchange chemistries for rapid and low-cost experimentation. The user may want to run split lots with a wide variety of process combinations to determine feasibility of a production process. [0026]
  • Numerous other advantages and features of the present invention will become readily apparent from the following detailed description of the invention and the embodiments thereof, from the claims and from the accompanying drawings. [0027]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an exploded isometric view of a prior art processing tool; [0028]
  • FIG. 2 is a schematic plan view of a microelectronic workpiece processing apparatus of the present invention; [0029]
  • FIG. 3 is a schematic plan view of a first embodiment of the present invention; [0030]
  • FIG. 4 is a schematic plan view of a second embodiment of the invention; [0031]
  • FIG. 5 is a block diagram of a sequence of processing steps in accordance with a first method of the present invention; [0032]
  • FIG. 6 is a block diagram of a sequence of processing steps in accordance with a second method of the present invention; [0033]
  • FIG. 7 is a block diagram of a sequence of processing steps in accordance with a third method of the present invention; and [0034]
  • FIG. 8 is a block diagram of a sequence of processing steps in accordnce with a fourth method of the present invention. [0035]
  • DETAILED DESCRIPTION OF THE INVENTION
  • While this invention is susceptible of embodiment in many different forms, there are shown in the drawings and will be described herein in detail, specific embodiments thereof with the understanding that the present disclosure is to be considered as an exemplification of the principles of the invention and is not intended to limit the invention to the specific embodiments illustrated. [0036]
  • Systems [0037]
  • Integrated Processing Tool [0038]
  • FIG. 1 is an exploded isometric view of a prior art integrated microelectronic workpiece-processing [0039] tool 10. This exemplary tool embodiment is disclosed in U.S. patent application Ser. No. 08/991,062, filed Dec. 15, 1997, entitled “Semiconductor Processing Apparatus Having Lift and Tilt Mechanism.”
  • Although modularity is not necessary to the overall tool function, the [0040] tool 10 is shown as having been separated into individual modular components. The exemplary integrated microelectronic workpiece processing tool 10 of FIG. 1 comprises an input/output section 20, a processing section including first and second processing subsections 30 and 40, a microelectronic workpiece transfer apparatus 50, an exhaust assembly 60, and an end panel 70.
  • The input/[0041] output section 20 includes an opening 80 through which one or more cassettes can be received or removed. Generally stated, cassettes that are received at the input/output section 20 include microelectronic workpieces that are to be processed within the tool 10, while cassettes that are removed from the input/output section 20 include microelectronic workpieces that have already been processed within the tool 10. However, it will be recognized that a processed microelectronic workpiece may be returned directly to the cassette from which it was respectively provided to the tool.
  • In the embodiment of FIG. 1, the cassettes are received directly by one or more direct-access assemblies that, in turn, allow direct access to individual microelectronic workpiece slots of the cassettes. For example, in the specific tool shown here, the cassettes are directly received by and removed from one or more direct-access assemblies. The direct-access assemblies of the illustrated embodiment are constructed as lift/tilt assemblies that both lift the cassette and reorient it for presentation to a subsequent microelectronic workpiece transfer assembly. When the lift/tilt assemblies initially receive the cassettes, the microelectronic workpieces are in a first position with respect to horizontal, such as a substantially vertical position. Each lift/tilt assembly then reorients (i.e. tilts) the respective cassette to a second position with respect to horizontal, such as a microelectronic workpiece horizontal position. Each lift/tilt assembly is used to position the respective microelectronic workpiece cassettes to an orientation in which the microelectronic workpiece holding positions, such as microelectronic workpiece slot positions, of the cassette are individually accessible. While oriented in this second position, the microelectronic workpiece slots and corresponding microelectronic workpiece, if any, of each cassette are therefore generally accessible to the microelectronic [0042] workpiece transfer apparatus 50. In the illustrated tool, microelectronic workpiece transfer apparatus 50 includes one or more microelectronic workpiece transport units 90 and 100. The microelectronic workpiece transport units 90 and 100 may be used to transport individual microelectronic workpieces along the conveyor path 110, between the cassettes and one or more processing stations 120 of processing subsections 30 and 40 and, further, may be used to transport microelectronic workpieces between individual processing stations 120. The various sections of the integrated microelectronic workpiece processing tool 10 may define an enclosed space that is generally separate from the external environment. To this end, exhaust assembly 60 enables venting of airborne contaminants initially present or produced during processing of the microelectronic workpieces to thereby generate and/or maintain a relatively clean processing environment within the enclosed space.
  • After the microelectronic workpieces are processed, the [0043] transfer apparatus 50 places the microelectronic workpieces into a cassette, and the cassette containing the processed microelectronic workpieces are removed from the integrated microelectronic workpiece-processing tool 10 via the opening 80 in the input/output section 20.
  • Metrology Controlled Processing Tool [0044]
  • FIG. 2 illustrates in schematic fashion a [0045] processing tool 200 of the present invention, which is similar to the tool shown in FIG. 1 except as noted. The tool 200 includes an input/output station 224 at one end, a linear conveyor arrangement or linear robot 226 extending from the input/output station along a length of the tool 200, and a number of processing stations. The processing stations can include a metrology unit 228, one or more ECD seed layer enhancement units 232, one or more stripping units 236, and one or more plating units 240. Additionally, the tool 200 includes one or more annealing units 244 and a non-process station or staging station 248.
  • The [0046] linear robot 226 includes a rail 250 (FIG. 3) which extends substantially the length of the processing units, and which carries a robot arm manipulator or transport unit 256 thereon. The robot arm manipulator 256 can remove a wafer from the input/output station 224 and deliver the microelectronic workpiece to and from any of the processing units 232, 236, 240, 244 or to and from the metrology unit 228 and to and from the non-process station 248.
  • In one mode of operation, the in-[0047] film metrology unit 228 measures a seed layer thickness or uniformity on a workpiece and communicates the data to a controller 270. The controller can be a programmable controller. Based on the data, decisions concerning the process parameters or recipe downstream from the metrology unit are made. The process recipe for one or more downstream units can be modified based on the metrology results. Alternatively, or additionally, the process sequence can be modified according to the metrology results. For example, if the seed layer thickness or uniformity is insufficient, or less than a tolerance value, the microelectronic workpiece can be delivered to one of the seed layer enhancement units 232 before being delivered to one of the electroplating units 240. Alternatively, if the seed layer is defective or has a thickness out of tolerance by an unacceptable amount, such that the seed layer cannot be repaired or enhanced in the seed layer enhancement unit 232, the microelectronic workpiece can be delivered to one of the stripping units 236 wherein the microelectronic workpiece can be etched, including its process side surface and beveled edge, to be thereafter delivered by the manipulator 256 to the non-process station 248. The non-process station can be a non-compliance station, including a cassette 248 a for holding microelectronic workpieces for returning microelectronic workpieces to a seed layer application station, typically a physical vapor deposition (PVD) apparatus external to the described tool 200. After the microelectronic workpiece has been plated according to the process recipe in one of the electroplating units 240, it can be delivered to the in-line anneal unit for annealing, and thereafter delivered to the input/output station 224 for exporting to a next process tool.
  • High Throughput Embodiment [0048]
  • A high volume or high throughput tool [0049] 300 is illustrated in FIG. 3. According to the high volume configuration, the tool preserves high volume ECD capability while also adding a “repair or recovery” mode to maintain the finished plate integrity. Under normal operation, the tool may be used with or without periodic verification through in-line metrology at the metrology unit 228.
  • The metrology unit can be used to measure the first substrate of a lot, or from a specific process location of the prior step (e.g., a given chamber on a seed layer sputtering tool) to verify good incoming quality of seed layers or other parameters. Likewise, the metrology unit can feed forward or feed back uniformity and thickness data to drive the process recipe for the electroplating [0050] reactors 240.
  • The [0051] electroplating units 240 are preferably adjustable reactors (described below) or other type reactors that can adapt to varied electrochemical processing requirements while concurrently providing a controlled, substantially uniform diffusion layer and electrical potential at the surface of the microelectronic workpiece that assists in providing a corresponding substantially uniform processing of the microelectronic workpiece surface (e.g., uniform deposition of the electroplated material). The electroplating units 240 can be controlled by the controller 270 (FIG. 2) to compensate for non-uniformities of the seed layer determined by the metrology unit. Such electrochemical processing techniques can be used in the deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers.
  • The tool [0052] 300 can be controlled with increased flexibility when using the metrology unit. Based upon an output from the metrology unit 228 derived from the programmable recipe from the metrology unit 228, the user can decide to stop the subsequent microelectronic workpiece processing, such as the electroplating units 240, and resolve the issues driving the prior process, such as a seed layer deposition process. For example, the electroplating process can be stopped where seed layer thicknesses are below acceptable tolerances.
  • Alternately, the user can continue the subsequent processing and adjust the order of subsequent process steps, or insert a remedial process step, based upon the output from the metrology unit. For example, the user can first transport the wafer to a seed [0053] layer enhancement unit 232 to automatically “fix” or adjust a seed layer problem with the ECD seed layer enhancement process and then transport the microelectronic workpiece to an electroplating unit 240.
  • Rather than changing the order of the process steps or inserting an intermediate step, the user can also continue the processing and automatically adjust the process recipe in the [0054] electroplating unit 240, particularly using variable recipe reactors, for enhanced plating uniformity and thickness.
  • Still further, if a microelectronic workpiece seed layer is too far out of tolerance in thickness or uniformity, the microelectronic workpiece can be transported to one of the stripping [0055] units 236 where the microelectronic workpiece processing side is stripped. The microelectronic workpiece can then be transported to the non-compliance station 248, particularly to the cassette 248 a, for recycling.
  • The tool [0056] 300 is also easily configured for high volume manufacturing with ECD seed layer enhancement integrated as part of the standard process, i.e., the number of ECD seed layer enhancement chambers 232 can correlate with the throughput requirement.
  • The stripping [0057] units 236 can also be used to clean copper contamination from the prior PVD seed layer process from the microelectronic workpiece back, edge and bevel to eliminate problems during chemical mechanical polishing (CMP).
  • The tool [0058] 300 can also include a microelectronic workpiece pre-aligner (not shown). The pre-aligner is described in “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, U.S. Ser. No. 08/991,062 filed Dec. 15, 1997, and is used to rotationally align microelectronic workpieces initially for precise processing. This is particularly important given the fact that the metrology unit can be utilized for measuring precise points in patterned film layers, i.e., accurate positioning of the microelectronic workpiece is important to obtain an accurate reading.
  • Process Development Embodiment [0059]
  • An alternate exemplary embodiment of the tool incorporating the present invention is a process development configuration tool [0060] 400 illustrated in FIG. 4. This tool 400 is directed to developing optimized processes, i.e., for research and development. The tool 400 has a compact layout. The tool configuration allows increased flexibility in process sequence and control. For example, a process engineer might want to measure any combination of incoming seed layer thickness, ECD seed layer deposition results, ECD fill results, and post annealing results. Since the plating solution reservoirs can be much smaller, the user may also quickly and easily interchange chemistries for rapid and low-cost experimentation. The user may want to run split lots with a wide variety of process combinations to determine feasibility of a production process.
  • The tool [0061] 400 includes fewer processing stations than the tool 300 shown in FIG. 3. The tool 400 includes two electroplating units 240, an in-line metrology unit 228, an annealing unit 244, a seed layer enhancement unit 232, and two stripping and/or cleaning units 236 for stripping films or backside cleaning as needed. The tool 400 also includes a staging station 248, in this case configured as a wafer pre-aligner 248 b.
  • Process Sequences [0062]
  • FIGS. 5 through 8 illustrate different process sequences which can be employed according to the invention. The process sequences are examples and the process order can, in some cases, be rearranged, and process steps can be eliminated or added, without departing from the invention. [0063]
  • FIG. 5 illustrates a first process sequence wherein the microelectronic workpiece is first processed in an ECD unit such as an electroplating unit in a [0064] first step 502. Subsequently the workpiece is transferred to a stripping unit and the workpiece is bevel-etched, rinsed and dried in a step 504.
  • Subsequent to the [0065] step 504 the workpiece is transferred to a pre-align station to be accurately positioned, in step 506. The microelectronic workpiece is then transported to the metrology unit in a step 508 and film thickness and/or other parameters are measured. In a step 510 the workpiece is annealed in a annealing unit. The workpiece is thereafter transported to be pre-aligned in a step 512 for accurate reference position. In a step 514 the workpiece is transported to the metrology unit to have parameters such as post annealing film thicknesses measured. The pre-alignment unit can be incorporated into the metrology unit which would eliminate the need to transport the workpiece to and from a pre-alignment unit. The metrology data derived from steps 508 and 514 can be used to feed back control information, for example, to the ECD (step 502) for controlling process recipe for subsequent workpieces.
  • FIG. 6 illustrates a second sequence of process steps including a [0066] first step 602 in which a microelectronic workpiece has a seed layer applied by an ECD reactor. The workpiece is then transported to a rinse and dry station in a step 603 and then to a pre-align station for accurate positioning in a step 604. The workpiece is then transported to a metrology unit in a step 606 for parameter measurements, such as film thickness. In the step 608 the workpiece is then transported to the ECD unit, such as an electroplating unit, to be further processed. In a step 610 the workpiece is then transported to a stripping unit for bevel etch, rinse and dry processing. Subsequently, in a step 612 the microelectronic workpiece is annealed.
  • The metrology measurement taken in [0067] step 606 can be used to control the recipe of the downstream ECD reactor (step 608).
  • FIG. 7 illustrates a third sequence of process steps [0068] 700 which commences with a pre-align of the workpiece in step 702. The workpiece is then transported to the metrology unit for accurate measuring in a step 704. A barrier layer can be measured in this step. Subsequent to the step 704 the workpiece is transported to an ECD seed layer unit for the deposition of a seed layer onto the workpiece. The workpiece is then transported to a rinse and dry station in a step 707, and then to the pre-align station in a step 708, for accurate reference positioning. The workpiece is then transported back to the metrology unit 710 for accurate measuring of the applied seed layer, for example. After the metrology measurements are taken, the workpiece is transported to an ECD unit, such as an electroplating unit, in a step 712 and a further processing of the workpiece ensues. Upon completion of the ECD processing the workpiece is transported to a stripping unit for a bevel etch rinse and dry in a step 714. The workpiece is then transported to an annealing unit in a step 716 and the workpiece is annealed.
  • The metrology measurements taken in [0069] steps 704 and/or 710 can be used to control the recipe in steps 706 and/or 712 as a feed forward or feed back control.
  • FIG. 8 illustrates a fourth process sequence of [0070] steps 800 which commences at a step 802 with pre-aligning the microelectronic workpiece. The workpiece is then transported to the metrology unit for measurements in a step 804. The workpiece is subsequently transported to and ECD seed layer unit wherein a seed layer is applied to the workpiece in a step 806.
  • After the seed layer is applied, the workpiece is transported to a bevel etch rinse and dry station in a [0071] step 808. The workpiece is then transported back to the pre-align station to be accurately reference positioned in a step 810. After being accurately positioned the workpiece is transported to the metrology unit for further accurate measurements in step 812. The workpiece is thereupon transported to an ECD unit such as an electroplating reactor, wherein further processing of the workpiece ensues in a step 814. After such processing, the workpiece is transported to the bevel etch, rinse and dry station and processed accordingly in a step 816.
  • The workpiece is then transported to a pre-align station and accurately positioned in a [0072] step 818. After being accurately positioned, the workpiece is returned to the metrology unit and in a step 820 is accurately measured. The workpiece is then transported to an annealing unit in a step 822 and is annealed.
  • After annealing, in a [0073] step 824 the workpiece is transported to a pre-align station and is accurately reference positioned. After being accurately positioned, in a step 826 the workpiece is transported back to the metrology unit and accurately measured. In a step 828, the workplace is transported to a chemical mechanical polishing unit (“CMP”) for further processing.
  • The metrology steps [0074] 804, 812, 820 and/or 826 can be utilized to feed forward or feed back control of process recipes or control step sequences.
  • It should be noted that in FIGS. 5, 6, [0075] 7, and 8 the pre-align steps are optional depending on the tool configuration.
  • Components [0076]
  • Electroplating Units [0077]
  • The [0078] electroplating units 240 of the tools 200, 300, 400, each include a plating reactor such as described in “Improved Anode Assembly For Electroplating Apparatus”, U.S. Ser. No. 09/112,300 filed Jul. 9, 1998, or an adjustable plating reactor as described in “Workpiece Processor Having Processing Chamber With Improved Processing Fluid Flow”, PCT/US00/10210 flied Apr. 13, 2000 or “System For Electrochemically Processing A Workpiece”, PCT/US00/10120 filed Apr. 13, 2000, WO 00/14308 Mar. 16, 2000 all herein incorporated by reference. Alternate reactor types are described in WO 00/20663, published Apr. 13, 2000; WO 99/10566, published Mar. 4, 1999; WO 99/54527, published Oct. 28, 1999; WO 99/54920, published Oct. 28, 1999; and WO 99/25904, published May 27, 1999, and are encompassed by the invention.
  • Preferably, the plating reactor is an adjustable reactor (as referenced above) that includes a processing container for providing a flow of a processing fluid during immersion processing of at least one surface of a microelectronic workpiece. The processing container comprises a principal fluid flow chamber providing a flow of processing fluid to at least one surface of the microelectronic workpiece. The fluid flow inlets are arranged and directed to provide vertical and radial fluid flow components that combine to generate a substantially uniform normal flow component radially across the surface of the microelectronic workpiece. [0079]
  • The reactor comprises a reactor head including a microelectronic workpiece support that has one or more electrical contacts positioned to make electrical contact with the microelectronic workpiece. A plurality of anodes are disposed at different elevations in the principal fluid flow chamber so as to place them at different distances from a microelectronic workplace under process. One or more of the plurality of anodes may be in close proximity to the microelectronic workplace under process. Still further, one or more of the plurality of anodes may be a virtual anode. The anodes used in the electroplating reactor can be placed in close proximity to the surface of the microelectronic workpiece to thereby provide substantial control over local electrical field/current density parameters used in the electroplating process. This substantial degree of control over the electrical parameters allows the reactor to be readily adapted to meet a wide range of electroplating requirements (e.g., seed layer thickness, seed layer type, electroplated material, electrolyte bath properties, etc.) without a corresponding change in the reactor hardware. Rather, adaptations can be implemented by altering the electrical parameters used in the electroplating process through, for example, software control of the power provided to the anodes. [0080]
  • Advantage can be taken of this increased control to achieve greater uniformity of the resulting electroplated film. Such control is exercised, for example, by placing the electroplating power provided to the individual anodes under the control of a programmable controller or the like. Adjustments to the electroplating power can thus be made subject to software control based on a metrology-based signal, based on seed layer thickness, for example. [0081]
  • It will be recognized that the particular currents that are to be provided to the anodes depends upon numerous factors including, but not necessarily limited to, the desired thickness and material of the electroplated film, the thickness and material of the initial seed layer, the distances between anodes and the surface of the microelectronic workpiece, electrolyte bath properties, etc. [0082]
  • Although the aforementioned adjustable reactor controls electroplating power to individual anodes, other methods of controlling electroplating film uniformity in response to metrology results are encompassed by the invention including adjusting current density using current thieves or controlling workpiece rotation and/or fluid flow. [0083]
  • As an alternative to the electroplating reactors, electroless plating reactors (as described below) can be utilized in some applications. [0084]
  • Stripping Units [0085]
  • An example of the stripping [0086] unit 236 is described in “Micro-Environment For Processing A Workpiece”, PCT/US99/05676 filed Mar. 15, 1999 and/or in “Selective Treatment Of A Microelectronic Workpiece”, PCT/US99/05674 filed Mar. 15, 1999, herein incorporated by reference. The “stripping units” are multifunctional processing capsules which can perform cleaning, stripping, bevel etching, rinsing and drying.
  • An apparatus for processing a microelectronic workpiece in a “micro-environment” is set forth in the aforementioned PCT applications. The apparatus includes a rotor motor and a microelectronic workpiece housing. The microelectronic workpiece housing is connected-to-be-rotated by the rotor motor. The microelectronic workpiece housing further defines a substantially closed processing chamber therein in which one or more processing fluids are distributed across at least one face of the microelectronic workpiece by centripetal accelerations generated during rotation of the housing. [0087]
  • The microelectronic workpiece housing includes an upper chamber member having a fluid inlet opening and a lower chamber member having a fluid inlet opening. The upper chamber member and the lower chamber member are joined to one another to form the substantially closed processing chamber. The processing chamber generally conforms to the shape of the microelectronic workpiece and includes at least one fluid outlet disposed at a peripheral region thereof. At least one microelectronic workpiece support is provided. The support is adapted to support a microelectronic workpiece in the substantially closed processing chamber in a position to allow centripetal acceleration distribution of a fluid supplied through the inlet opening of the upper chamber member across at least an upper face of the microelectronic workpiece when the microelectronic workpiece housing is rotated. The wafer is further positioned by the support to allow centripetal acceleration distribution of a fluid supplied through the inlet opening of the lower chamber member across at least a lower face of the microelectronic workpiece during the rotation. The at least one fluid outlet is positioned to allow extraction of fluid in the processing chamber through the action of centripetal acceleration. [0088]
  • An etchant capable of removing one or more of the thin film layers, such as the seed layer, can be caused to flow over the front side and an outer margin of the back side while the etchant is prevented from flowing over the back side except for the outer margin. Thus, a non-uniform seed layer, for example, can be stripped from the workpiece. [0089]
  • Seed Layer Enhancement Units [0090]
  • An example of the seed [0091] layer enhancement unit 232 is described in “Apparatus And Method For Electrolytically Depositing Copper On A Semiconductor Workpiece”, PCT/US99/06306, filed Mar. 22, 1999 and herein incorporated by reference. The seed layer enhancement unit 232 can be embodied as an adjustable type plating reactor as described in “Workpiece Processor Having Processing Chamber With Improved Processing Fluid Flow”, PCT/US00/10210 filed Apr. 13, 2000 or “System For Electrochemically Processing A Workpiece”, PCT/US00/10120 filed Apr. 13, 2000 herein incorporated by reference.
  • In accordance with a specific embodiment of the process, an ultra-thin adhesion layer, formed by physical vapor deposition (PVD), is enhanced by subjecting the semiconductor microelectronic workpiece to an electrochemical copper deposition process in which an alkaline bath having a complexing agent is employed. The copper complexing agent may be at least one complexing agent selected from a group consisting of EDTA, ED, and a polycarboxylic acid such as citric acid or salts thereof. The alkaline electrolytic copper bath is used to enhance the ultra-thin copper adhesion layer which has been deposited on a barrier layer using a deposition process such as PVD. The enhanced copper seed layer provides an excellent conformal copper coating that allows trenches and vias to be subsequently filled with a copper layer having good uniformity using electrochemical deposition techniques. [0092]
  • Alternately, the seed [0093] layer enhancement units 232 can be embodied as electroless plating reactors as described below.
  • Electroless Unit [0094]
  • Another process for depositing a layer (such as copper) onto a microelectronic workpiece is known as “electroless” plating. Unlike an electroplating reactor, electroless plating does not conduct external electrical power to the surface of a microelectronic workpiece. A catalytic material is used to effect plating of the material on the microelectronic workpiece. Electroless plating reactors and corresponding processes are disclosed in WO 00/03072, published Jan. 20, 2000; and U.S. Pat. Nos. 5,500,315; 5,389,496; and 5,139,818, all incorporated herein by reference. Electroless plating can be used instead of electroplating, or can be used as a seed layer enhancement step. [0095]
  • Annealing Units [0096]
  • The [0097] annealing units 244 can be as described in “Method And Apparatus For Tuning Multiple Electrodes Used In A Reactor For Electrochemically Processing A Microelectronic Workpiece”, U.S. Serial No. 60/206,663, filed May 24, 2000, or as in “Method And Apparatus For Low Temperature Annealing Of Metallization Micro-Structures In The Production Of A Microelectronic Device”, PCT/US99/02504, filed Feb. 2, 1999; or as in “Method And Apparatus For Processing A Microelectronic Workpiece Including An Apparatus And Method For Executing A Processing Step At An Elevated Temperature”, U.S. Ser. No. 09/501,002, filed Feb. 9, 2000; herein incorporated by reference. The annealing units 244 can include a thermal reactor that is adapted for rapid thermal processing (RTP).
  • The microelectronic workpieces are transferred between the processing stations and the [0098] annealing units 244 using the transport unit 256 that is disposed for linear movement along the central track.
  • Metrology Unit [0099]
  • Each of the [0100] metrology unit 228 can be a four-point probe style metrology tool. The metrology unit can use sheet resistance or capacitance to determine layer thickness. Alternately, the metrology unit can use optical or thermal reference methods. According to an exemplary embodiment, the metrology unit uses a laser based non-constant metrology system wherein the laser induces an acoustic response in the measured film and the acoustic response is related to film thickness. This is known as impulsive stimulated thermal scattering (ISTS). One such system is manufactured by Philips Analytical under the model name “IMPULSE” or “EMERALD”. Another such metrology unit is manufactured by Rudolf Technologies, under the model name “METAPULSE.”
  • Input/Output Station [0101]
  • The input/output station is described in “Apparatus For Processing A Microelectronic Workpiece Including Improved Input/Output Station,” attorney docket no. SEM4492P1240, filed on Jul. 7, 2000 or in “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, PCT/US98/00076 filed Jan. 5, 1998, both herein incorporated by reference. The input/output section includes an opening through which the one or more cassettes are received by a multi-cassette interface. The multi-cassette interface can selectively adjust the alignment of the one or more cassettes with respect to one or more corresponding direct-access assemblies for transfer therebetween. The one or more direct-access assemblies receive the one or more cassettes from the multi-cassette interface and position them to allow direct access to individual microelectronic workpiece positions of the one or more cassettes, including direct access to any microelectronic workpieces disposed at the microelectronic workpiece positions. [0102]
  • Non-Compliance Station [0103]
  • The non-compliance station comprises a cassette for holding multiple microelectronic workpieces. The cassette can be automated, for example to be sent back to the PVD seed layer deposition station for reestablishing a seed layer on the microelectronic workpiece substrates. [0104]
  • Linear Robot System [0105]
  • The linear robot system can be as described in “Semiconductor Processing Apparatus Having Linear Conveyor System”, PCT/US98/00132 filed Jan. 6, 1998; or “Semiconductor Processing Apparatus Having Lift And Tilt Mechanism”, PCT/US98/00076 filed Jan. 5, 1998; or “Robots For Microelectronic Workpiece Handling”, PCT/US99/15567 filed Jul. 9, 1999, all herein incorporated by reference. [0106]
  • Chemical Mechanical Polishing Station [0107]
  • Chemical mechanical polishing (“CMP”) tools are disclosed in WO 00/26609, published May 11, 20000, and U.S. Pat. No. 5,738,574, herein incorporated by reference. [0108]
  • From the foregoing, it will be observed that numerous variations and modifications may be effected without departing from the spirit and scope of the invention. It is to be understood that no limitation with respect to the specific apparatus illustrated herein is intended or should be inferred. It is, of course, intended to cover by the appended claims all such modifications as fall within the scope of the claims. [0109]

Claims (17)

We claim:
1. An electrochemical processing apparatus for processing a microelectronic workpiece, comprising:
a metrology unit having a space for receiving a microelectronic workpiece for measuring a condition of a first layer on said microelectronic workpiece and generating a condition signal;
a control, signal-connected to said metrology unit;
an electrochemical processing unit providing a space to receive said microelectronic workpiece and performing a process that is controlled by said control;
wherein said condition signal from said metrology unit to said control influences said process.
2. The apparatus according to claim 1, further comprising a non-compliance unit, and a microelectronic workpiece transport signal-connected to said control, wherein said condition signal from metrology unit influences said control to cause said microelectronic workpiece transport to transfer the microelectronic workpiece to said non-compliance unit.
3. The apparatus according to claim 1, wherein said first layer comprises a seed layer deposited by physical vapor deposition, and further comprising a seed layer enhancement unit and a microelectronic workpiece transport signal-connected to said control, wherein said condition signal from said metrology unit influences said control to cause said microelectronic workpiece transport to transport a microelectronic workpiece to said seed layer enhancement unit.
4. The apparatus according to claim 1, wherein said electrochemical processing unit comprises an electroplating reactor having at least one anode and a workpiece holder to hold a workpiece as cathode, and said reaction is dependent on the current between said anode and said cathode, said control adjusting said current in response to said condition signal.
5. The apparatus according to claim 4, wherein said condition signal is representative of a thickness of a seed layer applied onto said workpiece.
6. The apparatus according to claim 4, wherein said electroplating reactor comprises a plurality of anodes and said control adjusting current between each anode and said cathode.
7. A method of processing a microelectronic workpiece in an apparatus, comprising the steps of:
using a metrology unit, determining the condition of a seed layer on a microelectronic workpiece;
depending on the condition of the seed layer, undertaking one step of the following steps: placing the microelectronic workpiece into a seed layer enhancement process, placing the microelectronic workpiece into a seed layer stripping process to remove the seed layer, returning the microelectronic workpiece to a seed layer deposition process, or electroplating a layer onto said microelectronic workpiece.
8. The method according to claim 7, comprising the further step of controlling process parameters in one of said processes using a condition signal output from said metrology unit.
9. An electrochemical processing apparatus for processing a workpiece, comprising:
a metrology unit having a space for receiving a workpiece and configured to generate condition data in response to a condition on said workpiece;
an electrochemical processing unit providing a space to receive a microelectronic workpiece to process a layer on said microelectronic workpiece;
a control, signal-connected to said metrology unit and to said electrochemical processing unit to control said process of said microelectronic workpiece depending on said condition data.
10. The apparatus according to claim 9, further comprising an annealing unit which provides a space to receive said microelectronic workpiece to effect annealing of said microelectronic workpiece.
11. A processing apparatus for processing a microelectronic workpiece having a pre-applied seed layer, comprising:
a metrology unit having a space for receiving a microelectronic workpiece, and capable of measuring a seed layer thickness on said microelectronic workpiece and transmitting a condition signal;
a control unit, signal-connected to said metrology unit;
a seed layer stripping unit providing a space to receive said microelectronic workpiece to effect stripping of said seed layer from a process side thereof, the edge and the bevel of the microelectronic workplace;
a seed layer enhancement unit providing a space to receive said microelectronic workpiece to electrochemically deposit additional material onto said seed layer;
a electrochemical deposition unit providing a space to receive said microelectronic workplace to deposit a process layer thereon;
a microelectronic workplace transport unit signal-connected to said control;
wherein said condition signal from said metrology unit influences said control to command said microelectronic workpiece transport unit to transfer a microelectronic workpiece to one of said seed layer stripping unit, said seed layer enhancement unit, or said electrochemical deposition unit.
12. The apparatus according to claim 11, further comprising a non-compliance station having a storage device for receiving microelectronic workpieces, wherein said condition signal from metrology unit influences said control to transfer a workpiece to said the non-compliance unit.
13. The apparatus according to claim 11, wherein said condition signal from said metrology unit influences process controls in at least one of said seed layer dripping unit, said seed layer enhancement unit, end said electrochemical deposition unit.
14. A method of processing a microelectronic workpiece in an apparatus, comprising the steps of:
providing at least two process steps in a preselected process order, at least one of said steps being an electrochemical process;
using a metrology unit, determining the condition of a layer on a microelectronic workpiece, and providing resultant data;
controlling process parameters of at least one of said process steps in response to said data from said metrology unit.
15. The method according to claim 14, wherein said data controls the process parameters of a prior one of said process steps.
16. The method according to claim 15 wherein said data controls a subsequent one of said process steps.
17. The method according to claim 14 wherein one of said process steps is a seed layer deposition process and another of said process steps is an electrochemical deposition process.
US10/213,399 2000-07-08 2002-08-06 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology Abandoned US20030066752A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/213,399 US20030066752A1 (en) 2000-07-08 2002-08-06 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/612,898 US6428673B1 (en) 2000-07-08 2000-07-08 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US10/213,399 US20030066752A1 (en) 2000-07-08 2002-08-06 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/612,898 Continuation US6428673B1 (en) 2000-07-08 2000-07-08 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology

Publications (1)

Publication Number Publication Date
US20030066752A1 true US20030066752A1 (en) 2003-04-10

Family

ID=24455056

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/612,898 Expired - Lifetime US6428673B1 (en) 2000-07-08 2000-07-08 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US10/213,399 Abandoned US20030066752A1 (en) 2000-07-08 2002-08-06 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/612,898 Expired - Lifetime US6428673B1 (en) 2000-07-08 2000-07-08 Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology

Country Status (1)

Country Link
US (2) US6428673B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US20030070918A1 (en) * 2001-08-31 2003-04-17 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030176064A1 (en) * 2000-08-03 2003-09-18 Jiong-Ping Lu Pre-ECD wet surface modification to improve wettability and reduce void defect
US6787376B1 (en) * 2002-05-22 2004-09-07 Advanced Micro Devices, Inc. Creating a process recipe based on a desired result
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20050107971A1 (en) * 2000-07-08 2005-05-19 Ritzdorf Thomas L. Apparatus and method for processing a microelectronic workpiece using metrology
US20050194248A1 (en) * 1999-04-13 2005-09-08 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20100332208A1 (en) * 2009-06-29 2010-12-30 James Victory Apparatus and method for emulation of process variation induced in split process semiconductor wafers

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6812718B1 (en) 1999-05-27 2004-11-02 Nanonexus, Inc. Massively parallel interface for electronic circuits
US7382142B2 (en) 2000-05-23 2008-06-03 Nanonexus, Inc. High density interconnect system having rapid fabrication cycle
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6632334B2 (en) * 2001-06-05 2003-10-14 Semitool, Inc. Distributed power supplies for microelectronic workpiece processing tools
US20020192944A1 (en) * 2001-06-13 2002-12-19 Sonderman Thomas J. Method and apparatus for controlling a thickness of a copper film
IL144911A (en) * 2001-08-15 2007-02-11 Nova Measuring Instr Ltd Method and system for measurements in patterned structures
US6630360B2 (en) * 2002-01-10 2003-10-07 Advanced Micro Devices, Inc. Advanced process control (APC) of copper thickness for chemical mechanical planarization (CMP) optimization
US6514865B1 (en) * 2002-01-11 2003-02-04 Advanced Micro Devices, Inc. Method of reducing interlayer dielectric thickness variation feeding into a planarization process
US6500681B1 (en) * 2002-01-11 2002-12-31 Advanced Micro Devices, Inc. Run-to-run etch control by feeding forward measured metal thickness
TWI288958B (en) * 2002-03-18 2007-10-21 Nanonexus Inc A miniaturized contact spring
US20050202660A1 (en) * 2002-05-07 2005-09-15 Microfabrica Inc. Electrochemical fabrication process including process monitoring, making corrective action decisions, and taking appropriate actions
CN101724875A (en) * 2002-05-07 2010-06-09 南加州大学 Methods and apparatus for monitoring deposition quality during conformable contact mask plating operations
US7128803B2 (en) * 2002-06-28 2006-10-31 Lam Research Corporation Integration of sensor based metrology into semiconductor processing tools
JP4015531B2 (en) * 2002-10-31 2007-11-28 大日本スクリーン製造株式会社 Plating apparatus and plating method
JP4777658B2 (en) * 2002-11-22 2011-09-21 アプライド マテリアルズ インコーポレイテッド Method and apparatus for polishing control
US6730604B1 (en) * 2002-12-11 2004-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Dynamic contamination control of equipment controlled by a split runcard
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
US10297421B1 (en) 2003-05-07 2019-05-21 Microfabrica Inc. Plasma etching of dielectric sacrificial material from reentrant multi-layer metal structures
US20040235297A1 (en) * 2003-05-23 2004-11-25 Bih-Tiao Lin Reverse electroplating for damascene conductive region formation
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
WO2008070673A2 (en) * 2006-12-04 2008-06-12 Nanonexus, Inc. Construction structures and manufacturing processes for integrated circuit wafer probe card assemblies
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US7043325B1 (en) * 2005-03-01 2006-05-09 Advanced Micro Devices, Inc. Method and apparatus for determining product-specific error and tool drift
US7659203B2 (en) 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20060251801A1 (en) 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7736913B2 (en) * 2006-04-04 2010-06-15 Solopower, Inc. Composition control for photovoltaic thin film manufacturing
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
TWI384095B (en) * 2007-01-29 2013-02-01 Applied Materials Inc Apparatus and methods for electrochemical processing of wafers
TWI417428B (en) * 2009-05-27 2013-12-01 Univ Nat Central Continuous micro - anodic electroplating device and method thereof
DE102010043206A1 (en) * 2010-11-02 2012-05-03 Robert Bosch Gmbh Coating device and method for the controlled galvanic coating of an object
US9518332B2 (en) * 2011-03-17 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Electrochemical plating
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology

Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5363171A (en) * 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US5658183A (en) * 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US5924058A (en) * 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5964643A (en) * 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US6004047A (en) * 1997-03-05 1999-12-21 Tokyo Electron Limited Method of and apparatus for processing photoresist, method of evaluating photoresist film, and processing apparatus using the evaluation method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US6117780A (en) * 1999-04-22 2000-09-12 Mosel Vitelic Inc. Chemical mechanical polishing method with in-line thickness detection
US6122046A (en) * 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6132289A (en) * 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6238539B1 (en) * 1999-06-25 2001-05-29 Hughes Electronics Corporation Method of in-situ displacement/stress control in electroplating
US6244931B1 (en) * 1999-04-02 2001-06-12 Applied Materials, Inc. Buffer station on CMP system
US6247998B1 (en) * 1999-01-25 2001-06-19 Applied Materials, Inc. Method and apparatus for determining substrate layer thickness during chemical mechanical polishing
US6251692B1 (en) * 1997-09-30 2001-06-26 Semitool, Inc. Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6270634B1 (en) * 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
US6270619B1 (en) * 1998-01-13 2001-08-07 Kabushiki Kaisha Toshiba Treatment device, laser annealing device, manufacturing apparatus, and manufacturing apparatus for flat display device
US6277194B1 (en) * 1999-10-21 2001-08-21 Applied Materials, Inc. Method for in-situ cleaning of surfaces in a substrate processing chamber
US6280289B1 (en) * 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6284622B1 (en) * 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6296548B1 (en) * 1998-11-02 2001-10-02 Applied Materials, Inc. Method and apparatus for optical monitoring in chemical mechanical polishing
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6303931B1 (en) * 1998-11-17 2001-10-16 Applied Materials, Inc. Method for determining a profile quality grade of an inspected feature
US6309276B1 (en) * 2000-02-01 2001-10-30 Applied Materials, Inc. Endpoint monitoring with polishing rate change
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6331490B1 (en) * 1998-03-13 2001-12-18 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectric circuits or components
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6444481B1 (en) * 2001-07-02 2002-09-03 Advanced Micro Devices, Inc. Method and apparatus for controlling a plating process
US6447668B1 (en) * 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
US6486036B1 (en) * 2000-06-28 2002-11-26 Advanced Micro Devices, Inc. Method and apparatus for process control of alignment in dual damascene processes
US6511898B1 (en) * 2000-05-24 2003-01-28 Advanced Micro Devices Inc. Method for controlling deposition parameters based on polysilicon grain size feedback
US20030020298A1 (en) * 2001-07-14 2003-01-30 Michael Koch Cover device for a folding top compartment
US6534328B1 (en) * 2001-07-19 2003-03-18 Advanced Micro Devices, Inc. Method of modeling and controlling the endpoint of chemical mechanical polishing operations performed on a process layer, and system for accomplishing same
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US20040245094A1 (en) * 2003-06-06 2004-12-09 Mchugh Paul R. Integrated microfeature workpiece processing tools with registration systems for paddle reactors

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6556949B1 (en) 1999-05-18 2003-04-29 Applied Materials, Inc. Semiconductor processing techniques
US6408220B1 (en) 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
EP1058173A3 (en) 1999-06-01 2004-06-30 Applied Materials, Inc. Semiconductor processing techniques
US6456894B1 (en) 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques

Patent Citations (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5363171A (en) * 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
US5658183A (en) * 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5964643A (en) * 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5924058A (en) * 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US6004047A (en) * 1997-03-05 1999-12-21 Tokyo Electron Limited Method of and apparatus for processing photoresist, method of evaluating photoresist film, and processing apparatus using the evaluation method
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6077412A (en) * 1997-08-22 2000-06-20 Cutek Research, Inc. Rotating anode for a wafer processing chamber
US6251692B1 (en) * 1997-09-30 2001-06-26 Semitool, Inc. Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces
US6352467B1 (en) * 1997-11-10 2002-03-05 Applied Materials, Inc. Integrated electrodeposition and chemical mechanical polishing tool
US6110011A (en) * 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6270619B1 (en) * 1998-01-13 2001-08-07 Kabushiki Kaisha Toshiba Treatment device, laser annealing device, manufacturing apparatus, and manufacturing apparatus for flat display device
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6331490B1 (en) * 1998-03-13 2001-12-18 Semitool, Inc. Process for etching thin-film layers of a workpiece used to form microelectric circuits or components
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6132289A (en) * 1998-03-31 2000-10-17 Lam Research Corporation Apparatus and method for film thickness measurement integrated into a wafer load/unload unit
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6447668B1 (en) * 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
US6122046A (en) * 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6280289B1 (en) * 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6296548B1 (en) * 1998-11-02 2001-10-02 Applied Materials, Inc. Method and apparatus for optical monitoring in chemical mechanical polishing
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US6303931B1 (en) * 1998-11-17 2001-10-16 Applied Materials, Inc. Method for determining a profile quality grade of an inspected feature
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US6247998B1 (en) * 1999-01-25 2001-06-19 Applied Materials, Inc. Method and apparatus for determining substrate layer thickness during chemical mechanical polishing
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
US6244931B1 (en) * 1999-04-02 2001-06-12 Applied Materials, Inc. Buffer station on CMP system
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6117780A (en) * 1999-04-22 2000-09-12 Mosel Vitelic Inc. Chemical mechanical polishing method with in-line thickness detection
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6238539B1 (en) * 1999-06-25 2001-05-29 Hughes Electronics Corporation Method of in-situ displacement/stress control in electroplating
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6277194B1 (en) * 1999-10-21 2001-08-21 Applied Materials, Inc. Method for in-situ cleaning of surfaces in a substrate processing chamber
US6454899B1 (en) * 1999-10-25 2002-09-24 Advanced Micro Devices, Inc. Apparatus for filling trenches
US6284622B1 (en) * 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6270634B1 (en) * 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6309276B1 (en) * 2000-02-01 2001-10-30 Applied Materials, Inc. Endpoint monitoring with polishing rate change
US6511898B1 (en) * 2000-05-24 2003-01-28 Advanced Micro Devices Inc. Method for controlling deposition parameters based on polysilicon grain size feedback
US6486036B1 (en) * 2000-06-28 2002-11-26 Advanced Micro Devices, Inc. Method and apparatus for process control of alignment in dual damascene processes
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6444481B1 (en) * 2001-07-02 2002-09-03 Advanced Micro Devices, Inc. Method and apparatus for controlling a plating process
US20030020298A1 (en) * 2001-07-14 2003-01-30 Michael Koch Cover device for a folding top compartment
US6534328B1 (en) * 2001-07-19 2003-03-18 Advanced Micro Devices, Inc. Method of modeling and controlling the endpoint of chemical mechanical polishing operations performed on a process layer, and system for accomplishing same
US20040245094A1 (en) * 2003-06-06 2004-12-09 Mchugh Paul R. Integrated microfeature workpiece processing tools with registration systems for paddle reactors

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050194248A1 (en) * 1999-04-13 2005-09-08 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20080217167A9 (en) * 1999-04-13 2008-09-11 Hanson Kyle M Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030020928A1 (en) * 2000-07-08 2003-01-30 Ritzdorf Thomas L. Methods and apparatus for processing microelectronic workpieces using metrology
US20050107971A1 (en) * 2000-07-08 2005-05-19 Ritzdorf Thomas L. Apparatus and method for processing a microelectronic workpiece using metrology
US7161689B2 (en) 2000-07-08 2007-01-09 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US20030176064A1 (en) * 2000-08-03 2003-09-18 Jiong-Ping Lu Pre-ECD wet surface modification to improve wettability and reduce void defect
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20030070918A1 (en) * 2001-08-31 2003-04-17 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6787376B1 (en) * 2002-05-22 2004-09-07 Advanced Micro Devices, Inc. Creating a process recipe based on a desired result
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20100332208A1 (en) * 2009-06-29 2010-12-30 James Victory Apparatus and method for emulation of process variation induced in split process semiconductor wafers
US8204721B2 (en) * 2009-06-29 2012-06-19 Sentinel Ic Technologies, Inc. Apparatus and method for emulation of process variation induced in split process semiconductor wafers

Also Published As

Publication number Publication date
US6428673B1 (en) 2002-08-06

Similar Documents

Publication Publication Date Title
US6428673B1 (en) Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7161689B2 (en) Apparatus and method for processing a microelectronic workpiece using metrology
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US20160111342A1 (en) Method and apparatus for characterizing metal oxide reduction
US9385035B2 (en) Current ramping and current pulsing entry of substrates for electroplating
JP2006016692A (en) Method and apparatus for plating semiconductor wafer
JP2001085437A (en) Minute gap control for electric plating copper and optimal annealing technology for self anneal control
US9472377B2 (en) Method and apparatus for characterizing metal oxide reduction
WO2021101909A1 (en) Interconnect structure with selective electroplated via fill
US11208732B2 (en) Monitoring surface oxide on seed layers during electroplating
US10358738B2 (en) Gap fill process stability monitoring of an electroplating process using a potential-controlled exit step
KR20150050489A (en) Wafer entry port with gas concentration attenuators
US10508351B2 (en) Layer-by-layer deposition using hydrogen
KR102208202B1 (en) Current ramping and current pulsing entry of substrates for electroplating
EP2593590B1 (en) System for automated handling of masters and substrate
US20230167571A1 (en) Lipseal edge exclusion engineering to maintain material integrity at wafer edge
WO2022231922A1 (en) Integrated atmospheric plasma treatment station in processing tool
KR102653496B1 (en) Control of current density in an electroplating apparatus
TW202248466A (en) Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
WO2021067419A1 (en) Wafer shielding for prevention of lipseal plate-out
KR20240046835A (en) Control of current density in an electroplating apparatus
WO2023204978A1 (en) Conformal copper deposition on thin liner layer

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION