US20030100176A1 - Metal via contact of a semiconductor device and method for fabricating the same - Google Patents

Metal via contact of a semiconductor device and method for fabricating the same Download PDF

Info

Publication number
US20030100176A1
US20030100176A1 US10/314,298 US31429802A US2003100176A1 US 20030100176 A1 US20030100176 A1 US 20030100176A1 US 31429802 A US31429802 A US 31429802A US 2003100176 A1 US2003100176 A1 US 2003100176A1
Authority
US
United States
Prior art keywords
layer
insulating layer
etching
semiconductor device
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/314,298
Inventor
Won-Jin Kim
Seong-Ho Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US10/314,298 priority Critical patent/US20030100176A1/en
Publication of US20030100176A1 publication Critical patent/US20030100176A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to a semiconductor device and a method for fabricating the same. More particularly, the present invention relates to a metal via contact of a semiconductor device and a method for fabricating the same.
  • Multi-level metallization is carried out as a post-process after a pre-process such as forming a transistor, forming a capacitor and forming a bit line.
  • An insulator having a low dielectric constant is employed as an interlayer insulating layer formed in the post-process in order to reduce a parasitic capacitance due to metal lines in the same or a different layer.
  • the low dielectric layer has a poor gap filling characteristic due to its poor adhesion characteristic to the stepped-underlying layer.
  • a wetting layer such as a TEOS (Tetra Ethylene Ortho-Silicate) layer is interposed as a base layer between the underlying layer and the low dielectric layer for improving adhesion characteristics.
  • a HSQ (Hydro SilsesQuioxane) layer by SOG (spin on glass) method is employed as the low dielectric layer.
  • hydrogen of the HSQ layer interacts with oxygen from an ashing process for a photoresist pattern used as an etching mask for a contact hole in the interlayer insulating layer.
  • capping the insulating layer as for etching mask or hard mask such as a PE-TEOS (plasma enhanced Tetra Ethylene Ortho-Silicate) layer is additionally formed on the low dielectric layer.
  • PE-TEOS plasma enhanced Tetra Ethylene Ortho-Silicate
  • a via hole is formed in the insulator interposed between the metal lines.
  • the via hole is formed in advance by patterning the insulator to expose a lower metal line.
  • Aluminium is then deposited in the via hole by a sputtering technique and reflowed.
  • a recent trend toward high integration density in the semiconductor industry increases the height of the via hole but decreases the aperture of the contact hole, thereby increasing the aspect ratio (ratio of the height to width). As a result, the aluminium cannot fill the deep and narrow contact hole completely, causing a void. Thus, contact resistance is increased or the metal line is cut off.
  • the low dielectric layer such as SOG
  • SOG is relatively fast etched as compared to the base layer and the capping layer. Accordingly, a bowing phenomenon (i.e., the sidewall of the low dielectric layer becomes concave) can occur in a sidewall of the low dielectric layer due to its high etching rate during the etching the triple layer interlayer insulating layer and due to oxygen caused by subsequent plasma ashing.
  • FIG. 1 schematically illustrates a semiconductor substrate having a metal via contact as known in the prior art.
  • a PE-TEOS layer 11 , a low dielectric layer 13 and a PE-TEOS layer 15 are stacked on a semiconductor substrate 10 sequentially. Due to the bowing phenomenon 21 of the low dielectric layer 13 , the metal via contact 17 has a void 19 .
  • the top portion of the via hole may be over-etched thereby enlarging the aperture size of the via hole to an unacceptable value. This enlargement of the aperture size to an unacceptable value can cause an electrical bridge between adjacent metal lines.
  • the semiconductor device includes a multi-layer structure of an interlayer insulating layer comprising an interlayer insulating layer including a first insulating, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride layer formed in that order on a semiconductor substrate, and a metal via contact formed in the interlayer insulating layer, and tapered from a top surface of the interlayer insulating layer to a bottom surface of the interlayer insulating layer and formed by a sputtering technique.
  • an interlayer insulating layer comprising an interlayer insulating layer including a first insulating, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride layer formed in that order on a semiconductor substrate, and a metal via contact formed in the interlayer insulating layer, and tapered from a top surface of the interlayer insulating layer to a bottom surface of the interlayer insulating layer and formed by a
  • the first and second insulating layers are formed of a CVD silicon oxide layer.
  • the first and second insulating layers are formed of PE-TEOS oxide layer.
  • the low dielectric SOG layer is formed of Hydro SilsesQuioxane (HSQ).
  • a method for fabricating a metal via contact of a semiconductor device includes sequentially forming a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride (SiON) layer on a semiconductor substrate; forming a photoresist pattern; using the photoresist pattern as an etching mask and wet etching the silicon oxynitride layer and a portion of the second insulating layer; using the same photoresist pattern as an etching mask and anisotropically etching a remaining portion of the second insulating layer, the low dielectric SOG layer and the first insulating layer to form a via hole exposing a predetermined portion of the semiconductor substrate; removing the photoresist pattern; using radio frequency (RF) etching to remove a reverse slope of the via hole; and forming a metal
  • RF radio frequency
  • the removal of the photoresist pattern is carried out by ashing or a combination of ashing and stripping.
  • the radio frequency (RF) etching continues until the silicon oxynitride layer is completely removed.
  • the formation of the metal plug comprises sputtering and heat reflow techniques.
  • the sputtering technique uses aluminium as a sputtering source.
  • the radio frequency (RF) etching is carried out in a sputtering apparatus for the metal plug, thereby providing an in-situ process for the radio frequency etching and forming the metal plug.
  • the radio frequency (RF) etching is carried out so as not to enlarge a top width of the via hole beyond an undercut portion.
  • FIG. 1 schematically illustrates a semiconductor substrate having a metal via contact as known in the prior art
  • FIGS. 2 to 7 illustrate a series of cross-sectional views of a semiconductor substrate at selected stages of fabricating a metal via contact of a semiconductor device according to the present invention.
  • FIG. 2 schematically illustrates a cross-sectional view of a semiconductor substrate already having undergone several process steps in accordance with the present invention.
  • a PE-TEOS layer 11 , a low dielectric SOG layer 13 , a PE-TEOS layer 15 and a silicon oxynitride layer 31 are stacked on a semiconductor substrate 10 in that order.
  • the low dielectric SOG layer 13 is made of HSG (Hydro SilsesQuioxane), however, FOx®, HOSP®, Nano Glass® or the like can also be used.
  • the low dielectric layer refers to an insulator that has a dielectric constant lower than a conventional insulating layer, such as silicon dioxide having a dielectric constant of about 4.
  • the dielectric constant of the low dielectric layer is generally about 3.7 or less, and preferably is 3.3 or less.
  • SiOF may also be used.
  • the PE-TEOS layer 11 is used as a wetting layer for improving adhesion characteristics of the low dielectric layer to the underlying layer.
  • the PE-TEOS wetting layer 11 is formed to a thickness of approximately several hundred to several thousand angstroms.
  • the low dielectric layer 13 is formed by a SOG (Spin on glass) method.
  • the low dielectric layer is deposited to a thickness of about several hundred angstroms and its thickness can be controlled by a speed of a spinner.
  • the deposited low dielectric layer 13 is subject to a soft bake at a temperature of about 100° C. to 300° C. to remove moisture and solvent, and then subject to annealing at a temperature of about 400° C. for about 30 minutes to solidify the layer.
  • the PE-TEOS layer 15 is formed to a thickness of about 1000 to 3000 angstroms.
  • the silicon oxynitride layer 31 is formed to a thickness of about several hundred angstroms. It is preferable that the thickness of the silicon oxynitride layer 31 be controlled such that the silicon oxynitride layer 31 is removed after subsequent RF etching. Namely, the silicon oxynitride layer 31 serves as an RF mask for preventing the lateral etching of the underlying layer, and is finally removed after RF etching.
  • a photoresist pattern 33 defining a via hole is formed on the silicon oxynitride layer 31 .
  • the photoresist pattern 33 is formed by a conventional process, including exposing and developing.
  • the above-mentioned silicon oxynitride layer 31 also serves as an anti-reflection coating layer.
  • a wet etching is initially carried out to define a hole.
  • the wet etching is carefully controlled to etch the silicon oxynitride layer 31 and a part of the PE-TEOS layer 15 . Since the etching rate of the PE-TEOS layer 15 is faster than the silicon oxynitride layer 31 , the PE-TEOS layer 15 is undercut under the etched silicon oxynitride layer 31 ′. Due to isotropic etching characteristics, wet etching also undercuts the silicon oxynitride layer 31 under the photoresist pattern 33 .
  • the etched silicon oxynitride layer 31 ′ is relatively thin at a periphery of the hole.
  • Wet etching typically uses a LAL solution. Conventional wet etching using LAL solution is carried out for about 30 to 60 seconds. For completely removing the silicon oxynitride layer 31 and undercutting the PE-TEOS layer 15 , wet etching is carried out for approximately 100 seconds or more.
  • the remainder of the PE-TEOS layer 15 , the low dielectric SOG layer 13 and the PE-TEOS layer 11 are anisotropically etched by dry etching to form a via hole 35 .
  • the dry etching uses a RWE (reactive ion etching) technique, wherein plasma is generated, accelerated to a predetermined direction by an electric field and collided with the layer to be etched for improving etching efficiency.
  • the structure of the low dielectric SOG layer 13 is loose as compared to the underlying and overlying PE-TEOS layers 11 and 15 and thereby has a relatively high etching rate. As a result, a reverse slope can occur in the low dielectric SOG layer 13 . Accordingly, a laterally concave portion is generated (through the so-called bowing phenomenon) in the low dielectric SOG layer 13 .
  • the photoresist pattern 33 is removed through ashing or a combination of ashing and stripping.
  • oxygen introduced during the ashing process can react with hydrogen or carbon contained in the low dielectric SOG layer 13 to form moisture or carbonic acid gas.
  • moisture can out-diffuse at a high temperature to change the configuration of the layer, for example, to shrink the layer, thereby correcting the bowing phenomenon.
  • a sputtering apparatus (depicted by the arrows) is introduced to the semiconductor substrate 10 , which has undergone the via hole etching, including wet and dry etching.
  • sputtering etching or RF etching is carried out in order to clean the via hole.
  • RF etching conventionally is more concentrated on relatively convex portions than other portions, and thus enlarges the entrance part of the hole.
  • deposition and etching are repeatedly carried out to deposit a material on a relatively concave portion, thereby relaxing the slope of the step portion.
  • etching is carried out on the etched silicon oxynitride layer 31 ′ covering most of the substrate.
  • the etched silicon oxynitride layer 31 ′ is thin, the entrance part of the via hole is covered by the silicon oxynitride layer 31 ′, which prevents the entrance part from being widened beyond the width of the undercut portion in the PE-TEOS layer 15 .
  • the etching function is concentrated on the convex portion (i.e., the protruding part) of the sidewall.
  • the upper part of reverse slope portion in the bowing and the other protruding parts are etched rapidly and the width of these parts gradually increases. Accordingly, the entire shape of the slope of the via hole sidewall approaches a linear shape of average slope, and thus the slope becomes relaxed.
  • the etched silicon nitride layer 31 ′ covering the substrate is consumed, and the relatively thin nitride layer at the peripheral region of the via hole starts to be etched, thereby widening the width of the via hole.
  • the degree of the slope is sharply changed in order for the slope of the entire via hole to be linear.
  • the etched silicon nitride layer 31 ′ is almost entirely consumed. Namely, the etched silicon nitride layer 31 ′ prevents the TEOS layer 15 from being laterally etched beyond the undercut.
  • the etched silicon nitride layer 31 ′ When the etched silicon nitride layer 31 ′ is entirely consumed, the entrance of the via hole can be further enlarged slowly, thereby making discrimination of the upper wiring difficult. Accordingly, it is required that the maximum width of the via hole not be beyond the undercut width by the wet etching.
  • the process is carefully controlled to stop RF etching when the maximum width of the via hole reaches the undercut width.
  • Conventional RF etching for cleaning process is carried out for about several tens of seconds.
  • RF etching continues for approximately one to two minutes in order to flatten the sidewall profile of the via hole.
  • the RF etching is carried out by using a sputtering source gas such as argon with 6 seem (standard cubic centimetres per minute), at room temperature, at a power of about 400W, for one minute.
  • any silicon nitride remaining after the RF etching can be removed by additional etching. Alternatively, the remainder of the silicon nitride need not be removed since it is an insulator and negligible.
  • a metal layer 27 such as aluminium is deposited in the via hole via the same sputtering apparatus. Since the via decreases in width from top to bottom, any overhang phenomenon is decreased and the aluminium easily fills the entire via hole though a heat reflow process.
  • a barrier metal such as titanium, and titanium nitride layer can be formed prior to sputtering the aluminium. After filling the via hole, an upper wiring is formed by a pattering process.
  • the via hole has an excellent sidewall profile in the low dielectric SOG interlayer insulating layer application for reduced parasitic capacitance, thereby providing a reliable semiconductor device.

Abstract

A metal via contact of a semiconductor device and a method for fabricating the same, wherein the method includes sequentially forming a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride (SiON) layer on a semiconductor substrate, forming a photoresist pattern, using the photoresist pattern as an etching mask and wet etching the silicon oxynitride layer and a portion of the second insulating layer, using the same photoresist pattern as an etching mask and anisotropically etching remainder second insulating layer, the low dielectric SOG layer and the first insulating layer to form a via hole exposing a predetermined portion of the semiconductor substrate, removing the photoresist pattern, using radio frequency (RF) etching to remove a reverse slope of the via hole and forming a metal plug in the via hole.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a semiconductor device and a method for fabricating the same. More particularly, the present invention relates to a metal via contact of a semiconductor device and a method for fabricating the same. [0002]
  • 2. Description of Background Art [0003]
  • As integration density of an integrated circuit device increases, the size of a semiconductor device and interconnection lines thereon becomes smaller and smaller. In order to build more semiconductor devices in a given cell plane with reduced area, three-dimensional semiconductor devices and interconnection lines are being employed. A three-dimensional interconnection line is typically shown in multi-level metallization. Multi-level metallization is carried out as a post-process after a pre-process such as forming a transistor, forming a capacitor and forming a bit line. [0004]
  • An insulator having a low dielectric constant is employed as an interlayer insulating layer formed in the post-process in order to reduce a parasitic capacitance due to metal lines in the same or a different layer. However, in general, the low dielectric layer has a poor gap filling characteristic due to its poor adhesion characteristic to the stepped-underlying layer. In order to avoid the above-mentioned problems, a wetting layer such as a TEOS (Tetra Ethylene Ortho-Silicate) layer is interposed as a base layer between the underlying layer and the low dielectric layer for improving adhesion characteristics. Generally, a HSQ (Hydro SilsesQuioxane) layer by SOG (spin on glass) method is employed as the low dielectric layer. However, hydrogen of the HSQ layer interacts with oxygen from an ashing process for a photoresist pattern used as an etching mask for a contact hole in the interlayer insulating layer. As a result, a crack or the like can occur in the interlayer insulating layer due to water out-diffusion. Therefore, capping the insulating layer as for etching mask or hard mask such as a PE-TEOS (plasma enhanced Tetra Ethylene Ortho-Silicate) layer is additionally formed on the low dielectric layer. After all, a triple layer structure of interlayer insulating layer is used in the low dielectric layer application. Namely, a base layer, a low dielectric layer, and a capping layer structure is employed as the interlayer insulating layer. [0005]
  • In multi-level metallization, aluminium or an aluminium alloy is generally used as a metal line due to its excellent electrical characteristic. For interconnection between metal lines at different level layers, a via hole is formed in the insulator interposed between the metal lines. At this time, the via hole is formed in advance by patterning the insulator to expose a lower metal line. Aluminium is then deposited in the via hole by a sputtering technique and reflowed. However, a recent trend toward high integration density in the semiconductor industry increases the height of the via hole but decreases the aperture of the contact hole, thereby increasing the aspect ratio (ratio of the height to width). As a result, the aluminium cannot fill the deep and narrow contact hole completely, causing a void. Thus, contact resistance is increased or the metal line is cut off. [0006]
  • The low dielectric layer, such as SOG, is relatively fast etched as compared to the base layer and the capping layer. Accordingly, a bowing phenomenon (i.e., the sidewall of the low dielectric layer becomes concave) can occur in a sidewall of the low dielectric layer due to its high etching rate during the etching the triple layer interlayer insulating layer and due to oxygen caused by subsequent plasma ashing. [0007]
  • Bowing of the low dielectric layer results in a reverse slope in the sidewall of the via hole, particularly at the upper half of the bowing part. The reverse slope makes it difficult to fill the via hole completely. FIG. 1 schematically illustrates a semiconductor substrate having a metal via contact as known in the prior art. A PE-[0008] TEOS layer 11, a low dielectric layer 13 and a PE-TEOS layer 15 are stacked on a semiconductor substrate 10 sequentially. Due to the bowing phenomenon 21 of the low dielectric layer 13, the metal via contact 17 has a void 19.
  • Methods for preventing or curing the bowing phenomenon are well-known and are disclosed in some patents, for example, Korean Patent Applications Nos. 1998-000967 and 1997-026317, the disclosures of which are hereby incorporated herein by reference. According to above-cited patents, a radio frequency (RF) etching is carried out in the presence of the photoresist pattern. Accordingly, the photoresist pattern is hard to remove. In addition, when the via hole is formed by only anisotropic etching, overhang can occur in the process of sputtering due to a smaller aperture size of the hole. If the RF etching is carried out after the photoresist pattern is formed, the top portion of the via hole may be over-etched thereby enlarging the aperture size of the via hole to an unacceptable value. This enlargement of the aperture size to an unacceptable value can cause an electrical bridge between adjacent metal lines. [0009]
  • SUMMARY OF THE INVENTION
  • It is a feature of an embodiment of the present invention to provide a metal via contact without a void and a method for fabricating the metal via contact. [0010]
  • It is another feature of an embodiment of the present invention to provide a metal via contact without an electrical bridge between adjacent metal lines and a method for fabricating the metal via contact. [0011]
  • In order to solve the aforementioned problems and provide the above and other features of the present invention, a metal via contact of a semiconductor device is provided. The semiconductor device includes a multi-layer structure of an interlayer insulating layer comprising an interlayer insulating layer including a first insulating, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride layer formed in that order on a semiconductor substrate, and a metal via contact formed in the interlayer insulating layer, and tapered from a top surface of the interlayer insulating layer to a bottom surface of the interlayer insulating layer and formed by a sputtering technique. [0012]
  • The first and second insulating layers are formed of a CVD silicon oxide layer. Preferably, the first and second insulating layers are formed of PE-TEOS oxide layer. Preferably, the low dielectric SOG layer is formed of Hydro SilsesQuioxane (HSQ). [0013]
  • In order to solve the aforementioned problems and provide the above and other features of the present invention, a method for fabricating a metal via contact of a semiconductor device is provided. The method includes sequentially forming a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride (SiON) layer on a semiconductor substrate; forming a photoresist pattern; using the photoresist pattern as an etching mask and wet etching the silicon oxynitride layer and a portion of the second insulating layer; using the same photoresist pattern as an etching mask and anisotropically etching a remaining portion of the second insulating layer, the low dielectric SOG layer and the first insulating layer to form a via hole exposing a predetermined portion of the semiconductor substrate; removing the photoresist pattern; using radio frequency (RF) etching to remove a reverse slope of the via hole; and forming a metal plug in the via hole. [0014]
  • In an alternate embodiment of the present invention, the removal of the photoresist pattern is carried out by ashing or a combination of ashing and stripping. The radio frequency (RF) etching continues until the silicon oxynitride layer is completely removed. The formation of the metal plug comprises sputtering and heat reflow techniques. The sputtering technique uses aluminium as a sputtering source. At this time, the radio frequency (RF) etching is carried out in a sputtering apparatus for the metal plug, thereby providing an in-situ process for the radio frequency etching and forming the metal plug. The radio frequency (RF) etching is carried out so as not to enlarge a top width of the via hole beyond an undercut portion. [0015]
  • These and other features and advantages of the invention will be readily apparent to those of ordinary skill in the art upon review of the detailed description that follows.[0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may be understood and its features and advantages will become apparent to those skilled in the art by reference to the accompanying drawings as follows, wherein: [0017]
  • FIG. 1 schematically illustrates a semiconductor substrate having a metal via contact as known in the prior art; and [0018]
  • FIGS. [0019] 2 to 7 illustrate a series of cross-sectional views of a semiconductor substrate at selected stages of fabricating a metal via contact of a semiconductor device according to the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This application claims priority from Korean Patent Application No. 2000-48089, filed on Aug. 19, 2000, and entitled: “Metal Via Contact of a Semiconductor Device and Method of Fabricating Thereof.” In addition, the contents of that Korean patent application are incorporated by reference herein in their entirety. [0020]
  • The present invention will now be described in detail with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. In that, the preferred embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the thickness of layers and regions are exaggerated for clarity. [0021]
  • FIG. 2 schematically illustrates a cross-sectional view of a semiconductor substrate already having undergone several process steps in accordance with the present invention. A PE-[0022] TEOS layer 11, a low dielectric SOG layer 13, a PE-TEOS layer 15 and a silicon oxynitride layer 31 are stacked on a semiconductor substrate 10 in that order. Typically, the low dielectric SOG layer 13 is made of HSG (Hydro SilsesQuioxane), however, FOx®, HOSP®, Nano Glass® or the like can also be used. The low dielectric layer refers to an insulator that has a dielectric constant lower than a conventional insulating layer, such as silicon dioxide having a dielectric constant of about 4. The dielectric constant of the low dielectric layer is generally about 3.7 or less, and preferably is 3.3 or less. In addition to the above, SiOF may also be used.
  • The PE-[0023] TEOS layer 11 is used as a wetting layer for improving adhesion characteristics of the low dielectric layer to the underlying layer. For example, the PE-TEOS wetting layer 11 is formed to a thickness of approximately several hundred to several thousand angstroms. The low dielectric layer 13 is formed by a SOG (Spin on glass) method. The low dielectric layer is deposited to a thickness of about several hundred angstroms and its thickness can be controlled by a speed of a spinner. The deposited low dielectric layer 13 is subject to a soft bake at a temperature of about 100° C. to 300° C. to remove moisture and solvent, and then subject to annealing at a temperature of about 400° C. for about 30 minutes to solidify the layer. The PE-TEOS layer 15 is formed to a thickness of about 1000 to 3000 angstroms.
  • The [0024] silicon oxynitride layer 31 is formed to a thickness of about several hundred angstroms. It is preferable that the thickness of the silicon oxynitride layer 31 be controlled such that the silicon oxynitride layer 31 is removed after subsequent RF etching. Namely, the silicon oxynitride layer 31 serves as an RF mask for preventing the lateral etching of the underlying layer, and is finally removed after RF etching.
  • Referring now to FIG. 3, a [0025] photoresist pattern 33 defining a via hole is formed on the silicon oxynitride layer 31. The photoresist pattern 33 is formed by a conventional process, including exposing and developing. At this time, the above-mentioned silicon oxynitride layer 31 also serves as an anti-reflection coating layer.
  • Referring to FIG. 4, using the [0026] photoresist pattern 33 as an etching mask, a wet etching is initially carried out to define a hole. The wet etching is carefully controlled to etch the silicon oxynitride layer 31 and a part of the PE-TEOS layer 15. Since the etching rate of the PE-TEOS layer 15 is faster than the silicon oxynitride layer 31, the PE-TEOS layer 15 is undercut under the etched silicon oxynitride layer 31′. Due to isotropic etching characteristics, wet etching also undercuts the silicon oxynitride layer 31 under the photoresist pattern 33. Due to undercut, the etched silicon oxynitride layer 31′ is relatively thin at a periphery of the hole. Wet etching typically uses a LAL solution. Conventional wet etching using LAL solution is carried out for about 30 to 60 seconds. For completely removing the silicon oxynitride layer 31 and undercutting the PE-TEOS layer 15, wet etching is carried out for approximately 100 seconds or more.
  • Referring to FIG. 5, without removing the [0027] photoresist pattern 33, the remainder of the PE-TEOS layer 15, the low dielectric SOG layer 13 and the PE-TEOS layer 11 are anisotropically etched by dry etching to form a via hole 35. The dry etching uses a RWE (reactive ion etching) technique, wherein plasma is generated, accelerated to a predetermined direction by an electric field and collided with the layer to be etched for improving etching efficiency. The structure of the low dielectric SOG layer 13 is loose as compared to the underlying and overlying PE- TEOS layers 11 and 15 and thereby has a relatively high etching rate. As a result, a reverse slope can occur in the low dielectric SOG layer 13. Accordingly, a laterally concave portion is generated (through the so-called bowing phenomenon) in the low dielectric SOG layer 13.
  • The [0028] photoresist pattern 33 is removed through ashing or a combination of ashing and stripping. At this time, oxygen introduced during the ashing process can react with hydrogen or carbon contained in the low dielectric SOG layer 13 to form moisture or carbonic acid gas. In addition, moisture can out-diffuse at a high temperature to change the configuration of the layer, for example, to shrink the layer, thereby correcting the bowing phenomenon.
  • Referring now to FIG. 6, after removing the [0029] photoresist pattern 33, a sputtering apparatus (depicted by the arrows) is introduced to the semiconductor substrate 10, which has undergone the via hole etching, including wet and dry etching.
  • Conventionally, prior to sputtering deposition, sputtering etching or RF etching is carried out in order to clean the via hole. RF etching conventionally is more concentrated on relatively convex portions than other portions, and thus enlarges the entrance part of the hole. In the case of sputtering deposition with well-controlled RF power and direction of the electric field, deposition and etching are repeatedly carried out to deposit a material on a relatively concave portion, thereby relaxing the slope of the step portion. [0030]
  • Thus, according to an embodiment of the present invention, etching is carried out on the etched [0031] silicon oxynitride layer 31′ covering most of the substrate. Though the etched silicon oxynitride layer 31′ is thin, the entrance part of the via hole is covered by the silicon oxynitride layer 31′, which prevents the entrance part from being widened beyond the width of the undercut portion in the PE-TEOS layer 15. On the other hand, inside of the via hole, the etching function is concentrated on the convex portion (i.e., the protruding part) of the sidewall. Thus, the upper part of reverse slope portion in the bowing and the other protruding parts are etched rapidly and the width of these parts gradually increases. Accordingly, the entire shape of the slope of the via hole sidewall approaches a linear shape of average slope, and thus the slope becomes relaxed.
  • As the RF etching continues, the etched [0032] silicon nitride layer 31′ covering the substrate is consumed, and the relatively thin nitride layer at the peripheral region of the via hole starts to be etched, thereby widening the width of the via hole. At this time, since etching is carried out on the undercut and slightly sloped part, the degree of the slope is sharply changed in order for the slope of the entire via hole to be linear. When the width of the via hole entrance reaches the enlarged width of the via hole caused by the undercut, the etched silicon nitride layer 31′ is almost entirely consumed. Namely, the etched silicon nitride layer 31′ prevents the TEOS layer 15 from being laterally etched beyond the undercut.
  • When the etched [0033] silicon nitride layer 31′ is entirely consumed, the entrance of the via hole can be further enlarged slowly, thereby making discrimination of the upper wiring difficult. Accordingly, it is required that the maximum width of the via hole not be beyond the undercut width by the wet etching. The process is carefully controlled to stop RF etching when the maximum width of the via hole reaches the undercut width. Conventional RF etching for cleaning process is carried out for about several tens of seconds. However, according to an embodiment of the present invention, RF etching continues for approximately one to two minutes in order to flatten the sidewall profile of the via hole. For example, the RF etching is carried out by using a sputtering source gas such as argon with 6 seem (standard cubic centimetres per minute), at room temperature, at a power of about 400W, for one minute.
  • Any silicon nitride remaining after the RF etching can be removed by additional etching. Alternatively, the remainder of the silicon nitride need not be removed since it is an insulator and negligible. [0034]
  • Referring now to FIG. 7, after flattening the sidewall of the via hole (i.e., the slope of the sidewall of the via hole), a [0035] metal layer 27 such as aluminium is deposited in the via hole via the same sputtering apparatus. Since the via decreases in width from top to bottom, any overhang phenomenon is decreased and the aluminium easily fills the entire via hole though a heat reflow process. A barrier metal such as titanium, and titanium nitride layer can be formed prior to sputtering the aluminium. After filling the via hole, an upper wiring is formed by a pattering process.
  • According to an embodiment of the present invention, the via hole has an excellent sidewall profile in the low dielectric SOG interlayer insulating layer application for reduced parasitic capacitance, thereby providing a reliable semiconductor device. [0036]
  • While the present invention has been described in terms of preferred embodiments, those of ordinary skill in the art will recognize that various modifications may be made to the invention without departing from the spirit and scope thereof. [0037]

Claims (16)

What is claimed is:
1. A semiconductor device comprising: an interlayer insulating layer including a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride layer formed in that order on a semiconductor substrate; and
a metal via contact formed in the interlayer insulating layer, the metal via contact being tapered from a top surface of the interlayer insulating layer to a bottom surface of the interlayer insulating layer and being formed by a sputtering.
2. The semiconductor device according to claim 1, wherein the first and second insulating layers are formed of a CVD (Chemical Vapour Deposition) silicon oxide layer.
3. The semiconductor device according to claim 1, wherein the first and second insulating layers are formed of a PE-TEOS oxide layer.
4. The semiconductor device according to claim 1, wherein the low dielectric layer has a dielectric constant of about 3.7 or less.
5. The semiconductor device according to claim 1, wherein the low dielectric SOG layer is formed of an inorganic SOG including HSQ (Hydro SilsesQuioxane).
6. The semiconductor device according to claim 3, wherein the first insulating layer has a thickness between several hundred to several thousand angstrom.
7. The semiconductor device according to claim 3, wherein the second insulating layer has a thickness between about 1000 to 3000 angstrom.
8. The semiconductor device according to claim 1, wherein the silicon oxynitride layer has a thickness of about several hundred angstrom.
9. The semiconductor device according to claim 5, wherein the low dielectric layer has a thickness of about several hundred angstrom.
10. A method for fabricating a semiconductor device, the method comprising:
sequentially forming a first insulating layer, a low dielectric SOG (Spin On Glass) layer, a second insulating layer and a silicon oxynitride (SiON) layer;
forming a photoresist pattern;
using the photoresist pattern as an etching mask and wet etching the silicon oxynitride layer and a portion of the second insulating layer;
using the same photoresist pattern as an etching mask and anisotropically etching a remaining portion of the second insulating layer, the low dielectric SOG layer and the first insulating layer to form a via hole exposing a predetermined portion of the semiconductor substrate;
removing the photoresist pattern;
radio frequency (RF) etching to remove a reverse slope of the via hole; and
forming a metal plug in the via hole.
11. The method according to claim 10, wherein removing the photoresist pattern is carried out by a process selected from the group consisting of ashing and a combination of ashing and stripping.
12. The method according to claim 10, wherein radio frequency (RF) etching continues until the silicon oxynitride layer is completely removed.
13. The method according to claim 10, wherein forming the metal plug comprises sputtering and heat reflow techniques.
14. The method according to claim 13, wherein the sputtering technique uses aluminium as a sputtering source.
15. The method according to claim 13, wherein radio frequency (RF) etching is carried out in a sputtering apparatus for the metal plug, thereby providing an in-situ process for the radio frequency etching and the metal plug.
16. The method according to claim 10, wherein radio frequency (RF) etching is carried out so as not to enlarge a top width of the via hole beyond an undercut portion.
US10/314,298 2000-08-19 2002-12-09 Metal via contact of a semiconductor device and method for fabricating the same Abandoned US20030100176A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/314,298 US20030100176A1 (en) 2000-08-19 2002-12-09 Metal via contact of a semiconductor device and method for fabricating the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020000048089A KR100350811B1 (en) 2000-08-19 2000-08-19 Metal Via Contact of Semiconductor Devices and Method of Forming it
KR2000-48089 2000-08-19
US09/812,564 US6503829B2 (en) 2000-08-19 2001-03-21 Metal via contact of a semiconductor device and method for fabricating the same
US10/314,298 US20030100176A1 (en) 2000-08-19 2002-12-09 Metal via contact of a semiconductor device and method for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/812,564 Division US6503829B2 (en) 2000-08-19 2001-03-21 Metal via contact of a semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
US20030100176A1 true US20030100176A1 (en) 2003-05-29

Family

ID=19684001

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/812,564 Expired - Fee Related US6503829B2 (en) 2000-08-19 2001-03-21 Metal via contact of a semiconductor device and method for fabricating the same
US10/314,298 Abandoned US20030100176A1 (en) 2000-08-19 2002-12-09 Metal via contact of a semiconductor device and method for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/812,564 Expired - Fee Related US6503829B2 (en) 2000-08-19 2001-03-21 Metal via contact of a semiconductor device and method for fabricating the same

Country Status (3)

Country Link
US (2) US6503829B2 (en)
JP (1) JP5031956B2 (en)
KR (1) KR100350811B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060013401A1 (en) * 2004-07-14 2006-01-19 Qualcomm Incorporated Method and apparatus for delivering keys
US20060046495A1 (en) * 2004-08-31 2006-03-02 Kai Frohberg Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US7446036B1 (en) * 2007-12-18 2008-11-04 International Business Machines Corporation Gap free anchored conductor and dielectric structure and method for fabrication thereof
US20100096738A1 (en) * 2008-10-16 2010-04-22 Texas Instruments Incorporated Ic die having tsv and wafer level underfill and stacked ic devices comprising a workpiece solder connected to the tsv
US20130316535A1 (en) * 2012-05-24 2013-11-28 Chung-Hwan Shin Methods of forming semiconductor devices with metal silicide using pre-amorphization implants and devices so formed
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100432785B1 (en) * 2001-12-20 2004-05-24 주식회사 하이닉스반도체 Method for manufacturing a semiconductor device
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6743712B2 (en) * 2002-07-12 2004-06-01 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
KR101193015B1 (en) * 2003-02-06 2012-10-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Plasma device
KR100485388B1 (en) * 2003-02-28 2005-04-27 삼성전자주식회사 Method for forming trench and method for manufacturing semiconductor device using the same
US6774032B1 (en) 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
KR100521050B1 (en) * 2003-12-30 2005-10-11 매그나칩 반도체 유한회사 Method of forming a metal wiring in a semiconductor device
KR100571409B1 (en) * 2003-12-31 2006-04-14 동부아남반도체 주식회사 Wiring Formation Method of Semiconductor Device
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
KR20060039571A (en) * 2004-11-03 2006-05-09 동부일렉트로닉스 주식회사 Fabrication method of metal line
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP4543976B2 (en) * 2005-03-16 2010-09-15 ヤマハ株式会社 Connection hole formation method
US20070035026A1 (en) * 2005-08-15 2007-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Via in semiconductor device
KR100650766B1 (en) * 2005-11-08 2006-11-27 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
KR100713921B1 (en) * 2005-11-10 2007-05-07 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
MY144847A (en) * 2005-12-08 2011-11-30 Molecular Imprints Inc Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
KR100744665B1 (en) * 2005-12-22 2007-08-01 주식회사 하이닉스반도체 Method for forming contact hole in semiconductor device
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
JP5306989B2 (en) * 2006-04-03 2013-10-02 モレキュラー・インプリンツ・インコーポレーテッド Method for simultaneously patterning a substrate having a plurality of fields and alignment marks
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
KR100755114B1 (en) * 2006-08-31 2007-09-04 동부일렉트로닉스 주식회사 Method for opening contact holes
US7940552B2 (en) * 2007-04-30 2011-05-10 Samsung Electronics Co., Ltd. Multiple level cell phase-change memory device having pre-reading operation resistance drift recovery, memory systems employing such devices and methods of reading memory devices
KR100914267B1 (en) * 2007-06-20 2009-08-27 삼성전자주식회사 Resistance changeable memory device and forming thereof
KR101308549B1 (en) * 2007-07-12 2013-09-13 삼성전자주식회사 Multi-level phase change memory device and write method thereof
US7579232B1 (en) * 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
KR101027390B1 (en) * 2008-10-20 2011-04-11 서울시립대학교 산학협력단 Method for manufacturing tapered sidewall via
JP4806048B2 (en) * 2009-03-25 2011-11-02 株式会社東芝 Method for manufacturing nonvolatile memory device
US8153488B2 (en) 2009-03-24 2012-04-10 Kabushiki Kaisha Toshiba Method for manufacturing nonvolatile storage device
CN103489822B (en) * 2012-06-11 2016-12-14 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor device
CN103545196B (en) * 2012-07-13 2017-04-19 中芯国际集成电路制造(上海)有限公司 Manufacturing method of metal interconnecting wires
CN103779271B (en) * 2012-10-26 2017-04-05 中微半导体设备(上海)有限公司 A kind of reverse taper profile lithographic method
CN105225941A (en) * 2014-06-18 2016-01-06 上海华力微电子有限公司 Improve the method for low-k material Kink defect
KR101873834B1 (en) * 2016-10-10 2018-07-11 (주)아인스 Method for forming via hole and for manufacturing via contact with the same
KR101868596B1 (en) * 2016-10-10 2018-06-19 (주)아인스 Method for forming via hole and for manufacturing via contact with the same
CN109243971B (en) * 2018-09-07 2021-04-20 成都海威华芯科技有限公司 Low-angle etching method for dielectric film of semiconductor device
CN111508926B (en) 2019-01-31 2022-08-30 奥特斯(中国)有限公司 Component carrier and method for producing a component carrier
US11532579B2 (en) * 2020-07-13 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation structure with increased thickness for metal pads

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895937A (en) * 1995-10-11 1999-04-20 Applied Komatsu Technology, Inc. Tapered dielectric etch in semiconductor devices
US5899748A (en) * 1997-05-21 1999-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for anchoring via/contact in semiconductor devices and devices formed
US5968851A (en) * 1997-03-19 1999-10-19 Cypress Semiconductor Corp. Controlled isotropic etch process and method of forming an opening in a dielectric layer
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6001745A (en) * 1997-11-15 1999-12-14 Tu; Tuby Method for forming a VIA in an inter metal dielectric (IMD) containing spin on glass (SOG)
US6069400A (en) * 1996-11-28 2000-05-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of fabricating the same
US6087251A (en) * 1998-10-30 2000-07-11 United Microelectronics Corp. Method of fabricating a dual damascene structure
US6191031B1 (en) * 1998-09-16 2001-02-20 Sony Corporation Process for producing multi-layer wiring structure
US6200906B1 (en) * 1998-12-17 2001-03-13 Micron Technology, Inc. Stepped photoresist profile and opening formed using the profile
US6258729B1 (en) * 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
US6335275B1 (en) * 1998-10-19 2002-01-01 Oki Electric Industry Co., Ltd. Method for forming contact holes and semiconductor device fabricated using the same
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6372652B1 (en) * 2000-01-31 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Method for forming a thin-film, electrically blowable fuse with a reproducible blowing wattage

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1107968C (en) * 1995-10-03 2003-05-07 德克萨斯仪器股份有限公司 Intermetal dielectric planarization ULSI circuits
KR970026317A (en) 1995-11-28 1997-06-24 김태구 Form changeable to fit body shape
KR100423001B1 (en) 1996-06-29 2004-06-16 가부시키가이샤 산요붓산 Seal stamp
JPH10256367A (en) * 1997-03-10 1998-09-25 Fujitsu Ltd Manufacture of semiconductor device
US6385842B1 (en) * 2000-01-14 2002-05-14 Delaware Capital Formation, Inc. Tube feeder having a zone on which components can pivot

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895937A (en) * 1995-10-11 1999-04-20 Applied Komatsu Technology, Inc. Tapered dielectric etch in semiconductor devices
US6069400A (en) * 1996-11-28 2000-05-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of fabricating the same
US5968851A (en) * 1997-03-19 1999-10-19 Cypress Semiconductor Corp. Controlled isotropic etch process and method of forming an opening in a dielectric layer
US5899748A (en) * 1997-05-21 1999-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for anchoring via/contact in semiconductor devices and devices formed
US6001745A (en) * 1997-11-15 1999-12-14 Tu; Tuby Method for forming a VIA in an inter metal dielectric (IMD) containing spin on glass (SOG)
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6191031B1 (en) * 1998-09-16 2001-02-20 Sony Corporation Process for producing multi-layer wiring structure
US6335275B1 (en) * 1998-10-19 2002-01-01 Oki Electric Industry Co., Ltd. Method for forming contact holes and semiconductor device fabricated using the same
US6087251A (en) * 1998-10-30 2000-07-11 United Microelectronics Corp. Method of fabricating a dual damascene structure
US6200906B1 (en) * 1998-12-17 2001-03-13 Micron Technology, Inc. Stepped photoresist profile and opening formed using the profile
US6258729B1 (en) * 1999-09-02 2001-07-10 Micron Technology, Inc. Oxide etching method and structures resulting from same
US6372652B1 (en) * 2000-01-31 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Method for forming a thin-film, electrically blowable fuse with a reproducible blowing wattage
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8848920B2 (en) 2004-07-14 2014-09-30 Qualcomm Incorporated Method and apparatus for delivering keys
US20060013401A1 (en) * 2004-07-14 2006-01-19 Qualcomm Incorporated Method and apparatus for delivering keys
US20060046495A1 (en) * 2004-08-31 2006-03-02 Kai Frohberg Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US8101524B2 (en) * 2004-08-31 2012-01-24 Advanced Micro Devices, Inc. Technique for enhancing the fill capabilities in an electrochemical deposition process by edge rounding of trenches
US7446036B1 (en) * 2007-12-18 2008-11-04 International Business Machines Corporation Gap free anchored conductor and dielectric structure and method for fabrication thereof
US20100096738A1 (en) * 2008-10-16 2010-04-22 Texas Instruments Incorporated Ic die having tsv and wafer level underfill and stacked ic devices comprising a workpiece solder connected to the tsv
US8227295B2 (en) * 2008-10-16 2012-07-24 Texas Instruments Incorporated IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV
US20130316535A1 (en) * 2012-05-24 2013-11-28 Chung-Hwan Shin Methods of forming semiconductor devices with metal silicide using pre-amorphization implants and devices so formed
US9240323B2 (en) * 2012-05-24 2016-01-19 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices with metal silicide using pre-amorphization implants
US10043902B2 (en) 2012-05-24 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with shaped portions of elevated source/drain regions
US10840374B2 (en) 2012-05-24 2020-11-17 Samsung Electronics Co., Ltd. Semiconductor devices with shaped portions of elevated source/drain regions
US10607922B1 (en) 2018-10-24 2020-03-31 International Business Machines Corporation Controlling via critical dimension during fabrication of a semiconductor wafer
US10886197B2 (en) 2018-10-24 2021-01-05 International Business Machines Corporation Controlling via critical dimension with a titanium nitride hard mask

Also Published As

Publication number Publication date
US20020022361A1 (en) 2002-02-21
JP5031956B2 (en) 2012-09-26
US6503829B2 (en) 2003-01-07
KR100350811B1 (en) 2002-09-05
KR20020014895A (en) 2002-02-27
JP2002118170A (en) 2002-04-19

Similar Documents

Publication Publication Date Title
US6503829B2 (en) Metal via contact of a semiconductor device and method for fabricating the same
US6649517B2 (en) Copper metal structure for the reduction of intra-metal capacitance
US6861347B2 (en) Method for forming metal wiring layer of semiconductor device
US7192863B2 (en) Method of eliminating etch ridges in a dual damascene process
US6103619A (en) Method of forming a dual damascene structure on a semiconductor wafer
US6337282B2 (en) Method for forming a dielectric layer
US6403461B1 (en) Method to reduce capacitance between metal lines
US6291333B1 (en) Method of fabricating dual damascene structure
US6274483B1 (en) Method to improve metal line adhesion by trench corner shape modification
US6444574B1 (en) Method for forming stepped contact hole for semiconductor devices
US6232237B1 (en) Method for fabricating semiconductor device
US6815331B2 (en) Method for forming metal wiring layer of semiconductor device
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US20030054629A1 (en) Semiconductor device and manufacturing method thereof
US6410424B1 (en) Process flow to optimize profile of ultra small size photo resist free contact
US6399483B1 (en) Method for improving faceting effect in dual damascene process
CN109804463B (en) Method for forming dual damascene interconnect structure
US7196002B2 (en) Method of making dual damascene with via etch through
KR100493409B1 (en) Manufacturing method of semiconductor device
JPH10116904A (en) Manufacture of semiconductor device
JP2004006708A (en) Method for manufacturing semiconductor device
US6750140B2 (en) Process for producing contact holes on a metallization structure
US20020072217A1 (en) Method for improving contact reliability in semiconductor devices
US7015149B2 (en) Simplified dual damascene process
US6875688B1 (en) Method for reactive ion etch processing of a dual damascene structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION