US20030140941A1 - CVD apparatus - Google Patents

CVD apparatus Download PDF

Info

Publication number
US20030140941A1
US20030140941A1 US10/339,631 US33963103A US2003140941A1 US 20030140941 A1 US20030140941 A1 US 20030140941A1 US 33963103 A US33963103 A US 33963103A US 2003140941 A1 US2003140941 A1 US 2003140941A1
Authority
US
United States
Prior art keywords
cart
cvd
reaction chamber
deposited
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/339,631
Inventor
Takashi Inushima
Shigenori Hayashi
Toru Takayama
Masakazu Odaka
Naoki Hirose
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP21332486A external-priority patent/JPS6369976A/en
Priority claimed from JP21332586A external-priority patent/JPS6369977A/en
Priority claimed from JP61213323A external-priority patent/JPS6367727A/en
Priority claimed from JP62141050A external-priority patent/JPS63307279A/en
Priority claimed from US07/971,242 external-priority patent/US5427824A/en
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to US10/339,631 priority Critical patent/US20030140941A1/en
Publication of US20030140941A1 publication Critical patent/US20030140941A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/017Clean surfaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/043Dual dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/045Electric field
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Definitions

  • the invention relates to a photo enhanced CVD apparatus.
  • CVD chemical vapor deposition
  • Photo enhanced CVD process has attracted the interest of artisans because it can be carried out at a comparatively low temperature. This process is based on the energy of light, namely an optical reaction is carried out. For example, in the case of photo CVD process using silane and ammonia, mercury atoms are excited by irradiation of ultraviolet light of 2,537 ⁇ in wavelength. The process is carried out to deposit a silicon nitride film on a substrate in accordance with the following equation:
  • FIG. 1 is a cross-section view showing a photo CVD apparatus which has been devised by the inventors in advance of the present invention. To facilitate the understanding of the background of the present invention, this apparatus will be briefly explained.
  • the apparatus comprises a reaction chamber 31 , light source chambers 39 and ultraviolet light sources 41 .
  • a cart 35 is mounted so as to be capable of moving in the direction perpendicular to the drawing sheet.
  • the cart is provided with heaters 37 to heat substrates mounted on the external surfaces of the cart 35 facing to the light source chambers 39 .
  • the temperature of the substrates 33 is elevated to about 200° C. which is suitable for forming a silicon nitride film.
  • a process gas In the reaction chamber 31 is circulated a process gas at a pressure of several Torrs.
  • the process gas is irradiated through quartz windows 47 with light radiated from the light source 41 .
  • a numeral 45 designates electrodes by virtue of which discharge takes place with the cart as the other electrode and undesired product deposited on the surface of the quartz windows 47 can be eliminated by sputtering.
  • the thickness of deposited film depends on th spatial relationship between the light sources and the position of the substrates. Namely, the product of the CVD process may be deposited with a greater thickness at the position irradiated with stronger light. Generally speaking, the tolerable fluctuation of the thickness of the film is about 10%.
  • the quartz windows 47 have to be thick to bear the differential pressure between the inside of the reaction chamber 31 and the light source chamber 39 in which cooling gas is circulated. The differential pressure may cause leakage of the cooling gas from the light source chamber 39 into the reaction chamber 31 .
  • a particular cooling system may be provided for the light source chamber so the pressure in the light source chamber, and therefore the differential pressure, can be decreased.
  • FIG. 1 is a cross-section view of an example of a photo CVD apparatus.
  • FIG. 2 is a cross-section view showing an embodiment of the invention.
  • FIG. 3 is a cross-section view taken along a III-III line of FIG. 2.
  • FIG. 4 is a cross-section view showing another embodiment of the invention.
  • FIGS. 5 (A) to 5 (C) are graphical diagrams showing the distributions of the intensity on substrates mounted on prism-shaped substrate holder having cross-sections of regular polygons of 6, 12, and 24 sides.
  • FIGS. 6 (A) to 6 (C) and FIG. 7 are section views showing the process of an example of CVD in accordance with this invention.
  • the apparatus 1 comprises a reaction chamber 3 , a hexagonal cart as a substrate holder having six lateral faces on which substrates 15 are mounted, a driving device 9 with a motor 21 for rotating the cart 7 around its axis, a plurality of quartz tubes 17 , which may be alternatingly provided of different diameters, on the inside of the reaction chamber 3 , with one end of each tube at a constant angular distance around the cart 7 and with the other end of each tube being closed, mercury lamps 19 provided in and housed air-tightly by the quartz tube respectively, halogen lamp heaters 23 arranged along the axial direction, a process gas introduction system 11 , and an exhaustion system 13 .
  • a cooling gas such as nitrogen gas.
  • the cart is preferentially removable from the driving device so that substrates can be mounted outside the reaction chamber 3 .
  • FIG. 4 another embodiment of the invention is illustrated.
  • This embodiment is same as the preceding embodiment except for the number of side faces of a cart and provision of an electrode 49 in the form of a cylindrical wire net disposed between the cart 7 and the reaction chamber 3 .
  • the cart has twelve side faces each capable of holding two substrates.
  • the electrode 49 is used both for generating plasma gas by discharging between itself and the cart 7 , and for carrying our etching eliminating unnecessary product deposited on the inside wall of the reaction chamber 3 , the external surfaces of the light sources 5 and so forth.
  • the electrode 49 can be placed between the light sources 5 and the cart 7 instead.
  • Plasma CVD may be implemented simultaneously by causing discharge during photo CVD process, or may be implemented after deposition by photo CVD. Plasma CVD is carried out, e.g., using TEOS (tetra-ethyl-oxy-silane) in accordance with the following equations:
  • FIGS. 5 (A) to 5 (C) are graphical diagrams showing the distributions of the intensity on substrates mounted on prism-shaped substrate holders having cross-sections of regular polygons of 6, 12 and 24 sides.
  • the abscissa is the distance of the measuring point from the center of a substrate
  • the ordinate is the intensity normalized with reference to the maximum intensity measured on the substrate.
  • the distribution of the intensity becomes more uniform as the number of the faces increases.
  • the intensity fluctuates over the irradiated surface at larger than 10% in the case of the cart having six faces, while the fluctuation of the intensity is limited within 5% in the cases of the carts having twelve and twenty-four faces.
  • the cart having twenty-four faces may hold forty-eight substrate by mounting two substrates on each face.
  • FIGS. 6 (A) to 6 (C) are cross-section views showing an example of CVD process in accordance with the present invention.
  • the surface of a substrate to be coated is provided with a plurality of aluminum lead lines 51 .
  • the leads 51 are elongated in the direction perpendicular to the drawing sheet with 0.8 micron in. height, 0.6 micron in width and 0.9 micron in interval as shown in FIG. 6(A).
  • a silicon oxide film is deposited on the substrate over the leads 51 by photo CVD in accordance with the equation (1) to the thickness of 0.3 to 0.5 at about 400° C. as shown in FIG. 6(B).
  • another silicon oxide film 55 is deposited by plasma CVD in accordance with the equation (2) at 200° C. as shown in FIG. 6(C).
  • TEOS is advantageous particularly for forming a film on an uneven surface, specifically, it is possible to form a substantially even or uniform film, even on a side surface of or on a lower surface between the steps shown in FIG. 6(A) by reference numeral 51 . It is presumed that this is because TEOS is in a liquid state at room temperature and has a relatively large viscosity even when it is gasified.
  • the even upper surface is desirable when provided with an overlying aluminum electrode 57 as shown in FIG. 7. The likelihood of disconnection of electrode 57 is reduced by the even surface.
  • the inside of the reaction chamber on the mercury lamp 19 only one being schematically shown in FIGS. 6 (A) to 6 (C).
  • the etching process can be implemented on the deposited film before or after plasma CVD in order to obtain even surface of the film or to chamfer the edge of the film deposited.
  • film is deposited with a constant thickness throughout the surface of the substrate 15 in the light of the uniform irradiation over each substrate.
  • the uniformity of the thickness can be further improved by modulating the intensity of the mercury lamps 19 in synchronization with the rotation of the cart 7 , or by modulating the angular speed of the cart 7 in correspondence with the relative position to the mercury lamps 19 .
  • the performance of non-photo enhanced plasma CVD is also improved by the use of the rotatable substrate holder.
  • the invention should not limited to the above particular embodiments and modifications and variations are possible as would be recognized by those skilled in the art.
  • the cross-section of the cart 7 other regular or irregular polygons, or circle can be employed.
  • the driving device can be provided on the top side of the reaction chamber, or on the lateral side with pinion gear, in place of the bottom side as shown in FIG. 2.

Abstract

An improved CVD apparatus for depositing a uniform film is shown. The apparatus comprises a reaction chamber, a substrate holder and a plurality of light sources for photo CVD or a pair of electrodes for plasma CVD. The substrate holder is a cylindrical cart which is encircled by the light sources, and which is rotated around its axis by a driving device. With this configuration, the substrates mounted on the cart and the surroundings can be energized by light of plasma evenly throughout the surfaces to be coated.

Description

    RELATED APPLICATIONS
  • This Application is a COntinuation-in-Part of copending application Ser. No. 07/497,794; which in turn is a Continuation of application Ser. No. 07/091,770, now abandoned.[0001]
  • BACKGROUND OF THE INVENTION
  • The invention relates to a photo enhanced CVD apparatus. [0002]
  • Many chemical vapor deposition (CVD) processes are used, such as APCVD, LP CVD, plasma CVD, thermal CVD and so forth, for depositing a film on a substrate. WHile these processes have their own peculiar characteristics respectively, the temperature at which each process is carried out is commonly rather high. Such high temperature process is not suitable for formation of passivation film on an aluminum electrode arrangement. [0003]
  • Photo enhanced CVD process has attracted the interest of artisans because it can be carried out at a comparatively low temperature. This process is based on the energy of light, namely an optical reaction is carried out. For example, in the case of photo CVD process using silane and ammonia, mercury atoms are excited by irradiation of ultraviolet light of 2,537 Å in wavelength. The process is carried out to deposit a silicon nitride film on a substrate in accordance with the following equation: [0004]
  • Hg+hv->Hg* (“*” is a symbol for excitation)
  • Hg*+SiH4->SiH3+H—+Hg (“—” is a symbol for radical)
  • Hg*+NH3->NH2—+H—+Hg
  • yNH2 —+xSiH3->SixNy +zH2
  • In the above equations, x, y and z are chosen appropriately. [0005]
  • FIG. 1 is a cross-section view showing a photo CVD apparatus which has been devised by the inventors in advance of the present invention. To facilitate the understanding of the background of the present invention, this apparatus will be briefly explained. In the figure, the apparatus comprises a [0006] reaction chamber 31, light source chambers 39 and ultraviolet light sources 41. Between the light source chambers 39, a cart 35 is mounted so as to be capable of moving in the direction perpendicular to the drawing sheet. The cart is provided with heaters 37 to heat substrates mounted on the external surfaces of the cart 35 facing to the light source chambers 39. The temperature of the substrates 33 is elevated to about 200° C. which is suitable for forming a silicon nitride film. In the reaction chamber 31 is circulated a process gas at a pressure of several Torrs. The process gas is irradiated through quartz windows 47 with light radiated from the light source 41. A numeral 45 designates electrodes by virtue of which discharge takes place with the cart as the other electrode and undesired product deposited on the surface of the quartz windows 47 can be eliminated by sputtering.
  • However, with this apparatus, the thickness of deposited film depends on th spatial relationship between the light sources and the position of the substrates. Namely, the product of the CVD process may be deposited with a greater thickness at the position irradiated with stronger light. Generally speaking, the tolerable fluctuation of the thickness of the film is about 10%. Furthermore, the quartz windows [0007] 47 have to be thick to bear the differential pressure between the inside of the reaction chamber 31 and the light source chamber 39 in which cooling gas is circulated. The differential pressure may cause leakage of the cooling gas from the light source chamber 39 into the reaction chamber 31. As an alternative, a particular cooling system may be provided for the light source chamber so the pressure in the light source chamber, and therefore the differential pressure, can be decreased. Also, when discharge between the cart 35 and the reaction chamber 31 is desired to remove unnecessary film deposited on the light window by sputtering, the discharge tends to deviate from the window. Because of this, the particular electrodes 45 have to be provided which makes the size of the apparatus large.
  • As to unevenness of film deposited by CVD, it is also the problem in the case of plasma CVD. The energy of plasma seems dependent on the relationship between the substrate and a pair of electrodes for discharge. So a uniform deposition condition on a substrate to be coated is also demanded for plasma CVD. [0008]
  • SUMMARY OF THE INVENTION
  • It is therefore an object of the invention to provide an CVD apparatus with which a film can be deposited with a uniform thickness. [0009]
  • It is another object of the invention to provide a CVD apparatus with which a film can be deposited with high quality. [0010]
  • It is a further object of the invention to provide a cheaper CVD apparatus. [0011]
  • It is still a further object of the invention to provide a compact CVD apparatus.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-section view of an example of a photo CVD apparatus. [0013]
  • FIG. 2 is a cross-section view showing an embodiment of the invention. [0014]
  • FIG. 3 is a cross-section view taken along a III-III line of FIG. 2. [0015]
  • FIG. 4 is a cross-section view showing another embodiment of the invention. [0016]
  • FIGS. [0017] 5(A) to 5(C) are graphical diagrams showing the distributions of the intensity on substrates mounted on prism-shaped substrate holder having cross-sections of regular polygons of 6, 12, and 24 sides.
  • FIGS. [0018] 6(A) to 6(C) and FIG. 7 are section views showing the process of an example of CVD in accordance with this invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Referring to FIG. 2 and FIG. 3, a photo enhanced CVD apparatus in accordance with the invention is illustrated. In the figure, the apparatus [0019] 1 comprises a reaction chamber 3, a hexagonal cart as a substrate holder having six lateral faces on which substrates 15 are mounted, a driving device 9 with a motor 21 for rotating the cart 7 around its axis, a plurality of quartz tubes 17, which may be alternatingly provided of different diameters, on the inside of the reaction chamber 3, with one end of each tube at a constant angular distance around the cart 7 and with the other end of each tube being closed, mercury lamps 19 provided in and housed air-tightly by the quartz tube respectively, halogen lamp heaters 23 arranged along the axial direction, a process gas introduction system 11, and an exhaustion system 13. A cooling gas, such as nitrogen gas., is circulated in the quartz tubes 17 by means of recirculation means 29. On each face of the cart 7, two substrates each 35 cm long and 30 cm wide can be mounted, and therefore the cart 7 can hold twelve substrates thereon. The cart is preferentially removable from the driving device so that substrates can be mounted outside the reaction chamber 3.
  • Next, the process in the apparatus will be explained. First, twelve substrates are mounted on the [0020] cart 7 and entered into the reaction chamber 3. After evacuating the reaction chamber 3 to 10−2-10−6 Torr by means of the exhaustion system 13, a process gas is inputted from the introduction system 11 at about 3 Torr. Simultaneously, the substrates 15 are heated by the heater 23 to about 200° C. Then, the cart 7 encircled by the mercury lamps 19 is rotated at 2 rpm by the driving device 9 and irradiated with ultraviolet light from the lamps 19, whereupon the product of a reaction initiated by optical energy is deposited on the substrates 15. The product undesirably deposited on the quartz tubes 17 can be removed by sputtering in virtue of discharge between the cart 7 and the reaction chamber 3. Photo enhanced CVD process is carried out, e.g., in accordance with the following equation:
  • 3Si2H6+8NH3 ->2Si3N4+21H2 or
  • SiH4+4N2O->SiO2+4N2+2H2O  (1)
  • Referring now to FIG. 4, another embodiment of the invention is illustrated. This embodiment is same as the preceding embodiment except for the number of side faces of a cart and provision of an [0021] electrode 49 in the form of a cylindrical wire net disposed between the cart 7 and the reaction chamber 3. The cart has twelve side faces each capable of holding two substrates. The electrode 49 is used both for generating plasma gas by discharging between itself and the cart 7, and for carrying our etching eliminating unnecessary product deposited on the inside wall of the reaction chamber 3, the external surfaces of the light sources 5 and so forth. The electrode 49 can be placed between the light sources 5 and the cart 7 instead. Plasma CVD may be implemented simultaneously by causing discharge during photo CVD process, or may be implemented after deposition by photo CVD. Plasma CVD is carried out, e.g., using TEOS (tetra-ethyl-oxy-silane) in accordance with the following equations:
  • SiO4(C2H5)4+1402->SiO2+8CO2+10H2O, or
  • SiO4(C2H5)4+28N2O->SiO2+8CO2+10H2O+28N2  (2)
  • After taking out, from the reaction chamber, the substrates on which the deposition has been deposited, undesirable deposited product is removed from the inside of the reaction chamber by means of etching in virtue of discharge between the [0022] cart 7 and the electrode 49. The etching is carried out, e.g., in accordance with the following equations:
  • Si3N4+4NF3->3SiF4+4N2
  • 3SiO2+4NF3->3SiF4+2N2+3O3
  • To investigate the relationship between the uniformity of the illumination intensity on the substrate and the number of side faces of the cart, experimental data has been gathered. FIGS. [0023] 5(A) to 5(C) are graphical diagrams showing the distributions of the intensity on substrates mounted on prism-shaped substrate holders having cross-sections of regular polygons of 6, 12 and 24 sides. In the figure, the abscissa is the distance of the measuring point from the center of a substrate, and the ordinate is the intensity normalized with reference to the maximum intensity measured on the substrate. As shown from the diagrams, the distribution of the intensity becomes more uniform as the number of the faces increases. Namely, the intensity fluctuates over the irradiated surface at larger than 10% in the case of the cart having six faces, while the fluctuation of the intensity is limited within 5% in the cases of the carts having twelve and twenty-four faces. The cart having twenty-four faces may hold forty-eight substrate by mounting two substrates on each face.
  • FIGS. [0024] 6(A) to 6(C) are cross-section views showing an example of CVD process in accordance with the present invention. The surface of a substrate to be coated is provided with a plurality of aluminum lead lines 51. The leads 51 are elongated in the direction perpendicular to the drawing sheet with 0.8 micron in. height, 0.6 micron in width and 0.9 micron in interval as shown in FIG. 6(A). A silicon oxide film is deposited on the substrate over the leads 51 by photo CVD in accordance with the equation (1) to the thickness of 0.3 to 0.5 at about 400° C. as shown in FIG. 6(B). Further, another silicon oxide film 55 is deposited by plasma CVD in accordance with the equation (2) at 200° C. as shown in FIG. 6(C).
  • The use of TEOS is advantageous particularly for forming a film on an uneven surface, specifically, it is possible to form a substantially even or uniform film, even on a side surface of or on a lower surface between the steps shown in FIG. 6(A) by [0025] reference numeral 51. It is presumed that this is because TEOS is in a liquid state at room temperature and has a relatively large viscosity even when it is gasified. The even upper surface is desirable when provided with an overlying aluminum electrode 57 as shown in FIG. 7. The likelihood of disconnection of electrode 57 is reduced by the even surface. After the completion of the deposition, the inside of the reaction chamber on the mercury lamp 19, only one being schematically shown in FIGS. 6(A) to 6(C). The etching process can be implemented on the deposited film before or after plasma CVD in order to obtain even surface of the film or to chamfer the edge of the film deposited.
  • By use of this process, film is deposited with a constant thickness throughout the surface of the [0026] substrate 15 in the light of the uniform irradiation over each substrate. However, the uniformity of the thickness can be further improved by modulating the intensity of the mercury lamps 19 in synchronization with the rotation of the cart 7, or by modulating the angular speed of the cart 7 in correspondence with the relative position to the mercury lamps 19. According to the gist of the invention, it is easily understood that the performance of non-photo enhanced plasma CVD is also improved by the use of the rotatable substrate holder.
  • The invention should not limited to the above particular embodiments and modifications and variations are possible as would be recognized by those skilled in the art. As the cross-section of the [0027] cart 7, other regular or irregular polygons, or circle can be employed. Also the driving device can be provided on the top side of the reaction chamber, or on the lateral side with pinion gear, in place of the bottom side as shown in FIG. 2.

Claims (1)

What is claimed is:
1. A method of forming a device comprising the steps of:
forming a layer comprising silicon oxide over a substrate by plasma CVD using a reactive gas comprising tetra-ethyl-oxy-silane (Si(OC2H5)4) and an oxide gas;
cleaning an inside of a reaction chamber in which said layer has been formed.
US10/339,631 1986-09-09 2003-01-10 CVD apparatus Abandoned US20030140941A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/339,631 US20030140941A1 (en) 1986-09-09 2003-01-10 CVD apparatus

Applications Claiming Priority (17)

Application Number Priority Date Filing Date Title
JP61-213324 1986-09-09
JP61-213325 1986-09-09
JP61-213323 1986-09-09
JP21332486A JPS6369976A (en) 1986-09-09 1986-09-09 Photo-cvd apparatus
JP21332586A JPS6369977A (en) 1986-09-09 1986-09-09 Photo-cvd apparatus for forming uniform coated film
JP61213323A JPS6367727A (en) 1986-09-09 1986-09-09 Light irradiating mechanism
JP62-141050 1987-05-06
JP62141050A JPS63307279A (en) 1987-06-05 1987-06-05 Photochemical reaction treatment device
US9177087A 1987-09-01 1987-09-01
US49779490A 1990-03-22 1990-03-22
US70249291A 1991-05-20 1991-05-20
US07/971,242 US5427824A (en) 1986-09-09 1992-09-08 CVD apparatus
US08/376,736 US5629245A (en) 1986-09-09 1995-01-23 Method for forming a multi-layer planarization structure
US08/769,115 US5855970A (en) 1986-09-09 1996-12-18 Method of forming a film on a substrate
US09/188,382 US6013338A (en) 1986-09-09 1998-11-10 CVD apparatus
US09/398,059 US6520189B1 (en) 1986-09-09 1999-09-17 CVD apparatus
US10/339,631 US20030140941A1 (en) 1986-09-09 2003-01-10 CVD apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/398,059 Division US6520189B1 (en) 1986-09-09 1999-09-17 CVD apparatus

Publications (1)

Publication Number Publication Date
US20030140941A1 true US20030140941A1 (en) 2003-07-31

Family

ID=27472353

Family Applications (4)

Application Number Title Priority Date Filing Date
US07/194,206 Expired - Fee Related US4950624A (en) 1986-09-09 1988-05-16 Method of depositing films using photo-CVD with chamber plasma cleaning
US09/188,382 Expired - Fee Related US6013338A (en) 1986-09-09 1998-11-10 CVD apparatus
US09/398,059 Expired - Fee Related US6520189B1 (en) 1986-09-09 1999-09-17 CVD apparatus
US10/339,631 Abandoned US20030140941A1 (en) 1986-09-09 2003-01-10 CVD apparatus

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US07/194,206 Expired - Fee Related US4950624A (en) 1986-09-09 1988-05-16 Method of depositing films using photo-CVD with chamber plasma cleaning
US09/188,382 Expired - Fee Related US6013338A (en) 1986-09-09 1998-11-10 CVD apparatus
US09/398,059 Expired - Fee Related US6520189B1 (en) 1986-09-09 1999-09-17 CVD apparatus

Country Status (5)

Country Link
US (4) US4950624A (en)
EP (2) EP0260097B1 (en)
KR (1) KR910003742B1 (en)
CN (1) CN1020290C (en)
DE (1) DE3782991T2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286775A1 (en) * 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286820A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
CN100427641C (en) * 2005-09-23 2008-10-22 清华大学 Superhigh vacuum chemical vapor deposition epitoxy system with rotary lining

Families Citing this family (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0752718B2 (en) * 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 Thin film formation method
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
KR910003742B1 (en) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd apparatus
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
JP2859288B2 (en) * 1989-03-20 1999-02-17 株式会社日立製作所 Semiconductor integrated circuit device and method of manufacturing the same
DE3919538A1 (en) * 1989-06-15 1990-12-20 Asea Brown Boveri COATING DEVICE
KR930009549B1 (en) * 1990-11-28 1993-10-06 현대전자산업 주식회사 High resistivity attaining method of poly-silicon
JPH05243160A (en) * 1992-02-28 1993-09-21 Nec Yamagata Ltd Plasma cvd device for manufacturing semiconductor device
JP3072000B2 (en) * 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2590438B2 (en) * 1994-06-30 1997-03-12 工業技術院長 Thin film forming method and thin film forming apparatus
JP3080843B2 (en) * 1994-08-24 2000-08-28 松下電器産業株式会社 Thin film forming method and apparatus
US5614151A (en) * 1995-06-07 1997-03-25 R Squared Holding, Inc. Electrodeless sterilizer using ultraviolet and/or ozone
US5880029A (en) * 1996-12-27 1999-03-09 Motorola, Inc. Method of passivating semiconductor devices and the passivated devices
JP3801730B2 (en) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 Plasma CVD apparatus and thin film forming method using the same
US5741740A (en) * 1997-06-12 1998-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation (STI) method employing gap filling silicon oxide dielectric layer
DE19957034B4 (en) 1999-11-26 2006-04-13 Heraeus Noblelight Gmbh Process for the treatment of surfaces of substrates and apparatus
CN100432286C (en) * 2003-12-31 2008-11-12 天津大学 Multipair target thin film sputterying instrument
JP4228150B2 (en) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362001A1 (en) * 2010-02-25 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and device for layer deposition
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TWI452168B (en) * 2010-06-21 2014-09-11 Hon Hai Prec Ind Co Ltd Plasma coating device
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101371435B1 (en) * 2012-01-04 2014-03-12 주식회사 유진테크 Apparatus for processing substrate including processing unit
US8785235B2 (en) * 2012-02-10 2014-07-22 Tsmc Solar Ltd. Apparatus and method for producing solar cells
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9029737B2 (en) * 2013-01-04 2015-05-12 Tsmc Solar Ltd. Method and system for forming absorber layer on metal coated glass for photovoltaic devices
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
CN106756888B (en) 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 A kind of nano-coating equipment rotation frame equipments for goods
CN106622824B (en) * 2016-11-30 2018-10-12 江苏菲沃泰纳米科技有限公司 A kind of plasma polymerized coating device
US11339477B2 (en) 2016-11-30 2022-05-24 Jiangsu Favored Nanotechnology Co., LTD Plasma polymerization coating apparatus and process
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN108452350B (en) * 2018-04-08 2020-10-02 吕政仪 Efficient cleaning and disinfecting method for scalpel
CN108210948B (en) * 2018-04-08 2020-09-04 西安交通大学医学院第二附属医院 Efficient cleaning and disinfecting instrument for scalpel
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4407851A (en) * 1981-04-13 1983-10-04 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
US4454166A (en) * 1979-09-17 1984-06-12 Mitsubishi Denki Kabushiki Kaisha Process for preparing semiconductor device
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US4527007A (en) * 1983-02-02 1985-07-02 Fuji Xerox Co., Ltd. Process for forming passivation film on photoelectric conversion device and the device produced thereby
US4704300A (en) * 1984-03-12 1987-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layer
US4749631A (en) * 1986-12-04 1988-06-07 Dow Corning Corporation Multilayer ceramics from silicate esters
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4818560A (en) * 1985-12-28 1989-04-04 Canon Kabushiki Kaisha Method for preparation of multi-layer structure film
US4824697A (en) * 1986-01-14 1989-04-25 Canon Kabushiki Kaisha Method for forming a multi-layer deposited film
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5096735A (en) * 1990-02-07 1992-03-17 Sharp Kabushiki Kaisha Process for producing a thin film electroluminescent device
US5151305A (en) * 1990-02-19 1992-09-29 Canon Kabushiki Kaisha Process for forming metal deposited film containing aluminum as main component by use of alkyl aluminum hydride
US5156881A (en) * 1987-03-18 1992-10-20 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5209878A (en) * 1990-10-30 1993-05-11 3D Systems, Inc. Surface resolution in three-dimensional objects by inclusion of thin fill layers
US5243202A (en) * 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5284789A (en) * 1990-04-25 1994-02-08 Casio Computer Co., Ltd. Method of forming silicon-based thin film and method of manufacturing thin film transistor using silicon-based thin film
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
US5399389A (en) * 1992-08-04 1995-03-21 Siemens Aktiengesellschaft Method for locally and globally planarizing chemical vapor deposition of SiO2 layers onto structured silicon substrates
US5417770A (en) * 1992-06-30 1995-05-23 Canon Kabushiki Kaisha Photovoltaic device and a forming method thereof
US5420044A (en) * 1991-05-21 1995-05-30 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device
US5466495A (en) * 1992-11-09 1995-11-14 U.S. Philips Corporation Method of manufacturing a magnetic head
US5510151A (en) * 1989-06-28 1996-04-23 Canon Kabushiki Kaisha Continuous film-forming process using microwave energy in a moving substrate web functioning as a substrate and plasma generating space
US5554418A (en) * 1993-12-28 1996-09-10 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming passivation film
US5562952A (en) * 1993-11-11 1996-10-08 Nissin Electric Co., Ltd. Plasma-CVD method and apparatus
US5563075A (en) * 1992-11-16 1996-10-08 Canon Kabushiki Kaisha Forming a non-monocrystalline silicone semiconductor having pin junction including laminated intrinsic layers
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5571749A (en) * 1993-12-28 1996-11-05 Canon Kabushiki Kaisha Method and apparatus for forming deposited film
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5605867A (en) * 1992-03-13 1997-02-25 Kawasaki Steel Corporation Method of manufacturing insulating film of semiconductor device and apparatus for carrying out the same
US5607773A (en) * 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
US5616401A (en) * 1995-01-31 1997-04-01 Mitsubishi Denki Kabushiki Kaisha Oxynitride film and its formation method, and method for forming an element isolation oxide film using the oxynitride film
US5626679A (en) * 1991-09-02 1997-05-06 Fuji Electric Co., Ltd. Method and apparatus for preparing a silicon oxide film
US5643637A (en) * 1994-03-18 1997-07-01 General Electric Company Method of grading the electric field of an electrode
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5720826A (en) * 1995-05-30 1998-02-24 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
US5744202A (en) * 1996-09-30 1998-04-28 Xerox Corporation Enhancement of hydrogenation of materials encapsulated by an oxide
US5750211A (en) * 1991-05-17 1998-05-12 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US5763018A (en) * 1995-06-20 1998-06-09 Sony Corporation Method for forming dielectric layer
US5763005A (en) * 1992-08-26 1998-06-09 Fujitsu Limited Method for forming multilayer insulating film of semiconductor device
US5776663A (en) * 1995-06-30 1998-07-07 Daewoo Electronics Co., Ltd. Method for electroplating a conductive layer on a substrate
US5795833A (en) * 1996-08-01 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating passivation layers over metal lines
US5807615A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous treatment atmosphere lacking electrically charged species used for treating metallic substrates
US5807614A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous atmosphere lacking electrically charged species used for treating nonmetallic substrates

Family Cites Families (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3026435A (en) * 1960-09-16 1962-03-20 Mcpherson Instr Corp Ultraviolet lamp
US3228812A (en) * 1962-12-04 1966-01-11 Dickson Electronics Corp Method of forming semiconductors
GB1104935A (en) * 1964-05-08 1968-03-06 Standard Telephones Cables Ltd Improvements in or relating to a method of forming a layer of an inorganic compound
US3372672A (en) * 1966-03-21 1968-03-12 Gen Electric Photopolymerization means in a vapor deposition coating apparatus
DE1900116C3 (en) * 1969-01-02 1978-10-19 Siemens Ag, 1000 Berlin Und 8000 Muenchen Process for the production of high-purity monocrystalline layers consisting of silicon
US3619682A (en) * 1969-04-01 1971-11-09 Sylvania Electric Prod Arc discharge lamp including means for cooling envelope surrounding an arc tube
FR2041513A5 (en) * 1969-04-28 1971-01-29 Cem Comp Electro Mec
US4496609A (en) * 1969-10-15 1985-01-29 Applied Materials, Inc. Chemical vapor deposition coating process employing radiant heat and a susceptor
US4015558A (en) * 1972-12-04 1977-04-05 Optical Coating Laboratory, Inc. Vapor deposition apparatus
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
JPS5121753A (en) * 1974-08-16 1976-02-21 Fujitsu Ltd AKUTEIBUBANDOPASUFUIRUTA
CA1077787A (en) * 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
JPS5275183A (en) 1975-12-18 1977-06-23 Mitsubishi Electric Corp Method and apparatus for washing of treating objects
US4282268A (en) * 1977-05-04 1981-08-04 Rca Corporation Method of depositing a silicon oxide dielectric layer
DE2825018C2 (en) * 1978-06-05 1986-07-24 Georg 4902 Bad Salzuflen Horstmann Mercury vapor low pressure lamp
JPS5514138A (en) * 1978-07-13 1980-01-31 Aioi Seiki Kk Control unit for actuation and supervising of brake of mechanical press
JPS5642377A (en) * 1979-09-14 1981-04-20 Fujitsu Ltd Ultraviolet ray erasable type rewritable read-only memory
JPS5930130B2 (en) * 1979-09-20 1984-07-25 富士通株式会社 Vapor phase growth method
DE3066027D1 (en) * 1979-12-17 1984-02-02 Hughes Aircraft Co Low temperature process for depositing oxide layers by photochemical vapor deposition
US4371587A (en) * 1979-12-17 1983-02-01 Hughes Aircraft Company Low temperature process for depositing oxide layers by photochemical vapor deposition
JPS56116673A (en) * 1980-02-19 1981-09-12 Sharp Corp Amorphous thin film solar cell
GB2089840B (en) * 1980-12-20 1983-12-14 Cambridge Instr Ltd Chemical vapour deposition apparatus incorporating radiant heat source for substrate
US4330570A (en) * 1981-04-24 1982-05-18 The United States Of America As Represented By The Secretary Of The Navy Selective photoinduced condensation technique for producing semiconducting compounds
US4419385A (en) * 1981-09-24 1983-12-06 Hughes Aircraft Company Low temperature process for depositing an oxide dielectric layer on a conductive surface and multilayer structures formed thereby
US4532196A (en) * 1982-01-25 1985-07-30 Stanley Electric Co., Ltd. Amorphous silicon photoreceptor with nitrogen and boron
JPS58158914A (en) * 1982-03-16 1983-09-21 Semiconductor Res Found Semiconductor manufacturing device
US4435445A (en) * 1982-05-13 1984-03-06 Energy Conversion Devices, Inc. Photo-assisted CVD
JPS58197856A (en) 1982-05-14 1983-11-17 Nec Corp Semiconductor device
US4402997A (en) * 1982-05-17 1983-09-06 Motorola, Inc. Process for improving nitride deposition on a semiconductor wafer by purging deposition tube with oxygen
US4608117A (en) * 1982-06-01 1986-08-26 Massachusetts Institute Of Technology Maskless growth of patterned films
US4451503A (en) * 1982-06-30 1984-05-29 International Business Machines Corporation Photo deposition of metals with far UV radiation
US4435476A (en) * 1982-08-18 1984-03-06 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4503126A (en) * 1982-08-18 1985-03-05 Foster Grant Corporation Method of making an abrasion resistant coating on a solid substrate and articles produced thereby
US4582720A (en) * 1982-09-20 1986-04-15 Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for forming non-single-crystal layer
JPS5958819A (en) * 1982-09-29 1984-04-04 Hitachi Ltd Formation of thin film
JPS5982732A (en) * 1982-11-02 1984-05-12 Nec Corp Manufacture for semiconductor device
JPS5987834A (en) * 1982-11-11 1984-05-21 Toshiba Corp Forming method of thin-film
JPS5989407A (en) * 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc Formation of amorphous silicon film
JPS59104120A (en) * 1982-12-07 1984-06-15 Fujitsu Ltd Plasma treatment
JPS59142839A (en) * 1983-02-01 1984-08-16 Canon Inc Cleaning method of vapor-phase apparatus
JPS59193265A (en) * 1983-03-14 1984-11-01 Stanley Electric Co Ltd Plasma cvd apparatus
US4509451A (en) * 1983-03-29 1985-04-09 Colromm, Inc. Electron beam induced chemical vapor deposition
JPS59193024A (en) * 1983-03-29 1984-11-01 Ushio Inc Flash irradiation device
JPS59181648A (en) * 1983-03-31 1984-10-16 Toshiba Corp Manufacture of semiconductor device
JPS59194452A (en) * 1983-04-18 1984-11-05 Mitsubishi Electric Corp Manufacture of semiconductor integrated device
JPS59207620A (en) * 1983-05-10 1984-11-24 Zenko Hirose Amorphous silicon film forming apparatus
JPH0622212B2 (en) * 1983-05-31 1994-03-23 株式会社東芝 Dry etching method
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4496828A (en) * 1983-07-08 1985-01-29 Ultra Carbon Corporation Susceptor assembly
NL8303602A (en) * 1983-10-19 1985-05-17 Johannes Hendrikus Leonardus H PLASMA-STIMULATED CHEMICAL VAPOR DEVICE, IN PARTICULAR A SUBSTRATE SUPPORT AND ELECTRODE ARRANGEMENT FOR IT AND ITS COMPONENTS.
US4546535A (en) * 1983-12-12 1985-10-15 International Business Machines Corporation Method of making submicron FET structure
FR2557149B1 (en) * 1983-12-27 1989-11-17 France Etat METHOD AND DEVICE FOR THE DEPOSITION ON A SUPPORT OF A THIN FILM OF A MATERIAL FROM A REACTIVE PLASMA
JPS60145628A (en) 1984-01-10 1985-08-01 Nec Corp Semiconductor device
JPS60167318A (en) * 1984-02-09 1985-08-30 Mitsubishi Electric Corp Photo applied-semiconductor manufacturing device
US4544423A (en) * 1984-02-10 1985-10-01 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Amorphous silicon semiconductor and process for same
JPS60170234A (en) * 1984-02-15 1985-09-03 Semiconductor Energy Lab Co Ltd Vapor-phase reaction apparatus and manufacture of vapor-phase reaction film
US4649071A (en) * 1984-04-28 1987-03-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Composite material and process for producing the same
US4568565A (en) * 1984-05-14 1986-02-04 Allied Corporation Light induced chemical vapor deposition of conductive titanium silicide films
JPS60245217A (en) * 1984-05-21 1985-12-05 Semiconductor Energy Lab Co Ltd Thin film formation equipment
US4681653A (en) * 1984-06-01 1987-07-21 Texas Instruments Incorporated Planarized dielectric deposited using plasma enhanced chemical vapor deposition
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
CA1213075A (en) * 1984-06-15 1986-10-21 Jacques S. Mercier Method for improving step coverage of dielectrics in vlsi circuits
US4597986A (en) * 1984-07-31 1986-07-01 Hughes Aircraft Company Method for photochemical vapor deposition
US4615294A (en) * 1984-07-31 1986-10-07 Hughes Aircraft Company Barrel reactor and method for photochemical vapor deposition
JPS6141762A (en) * 1984-08-06 1986-02-28 Res Dev Corp Of Japan Formation of hyperfine pattern
JPS6163020A (en) * 1984-09-04 1986-04-01 Agency Of Ind Science & Technol Formation of thin film
JPS6165419A (en) * 1984-09-07 1986-04-04 Tdk Corp Vapor growth equipment
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
JPS61103539A (en) * 1984-10-26 1986-05-22 Applied Material Japan Kk Vapor growth method
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
JPS61110772A (en) 1984-11-01 1986-05-29 Fuji Electric Co Ltd Multi-layer thin film forming device
JPH0642482B2 (en) * 1984-11-15 1994-06-01 株式会社東芝 Method for manufacturing semiconductor device
JPH0752718B2 (en) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 Thin film formation method
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4612207A (en) * 1985-01-14 1986-09-16 Xerox Corporation Apparatus and process for the fabrication of large area thin film multilayers
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
JPS61210622A (en) * 1985-03-15 1986-09-18 Komatsu Ltd Semiconductor manufacturing equipment
US4601260A (en) * 1985-04-01 1986-07-22 Sovonics Solar Systems Vertical semiconductor processor
JPH0691068B2 (en) * 1985-04-02 1994-11-14 株式会社日立製作所 Thin film formation method
JPS61234531A (en) * 1985-04-11 1986-10-18 Canon Inc Formation of silicon oxide
US4695331A (en) * 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
US4657616A (en) * 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JPS61278146A (en) * 1985-06-03 1986-12-09 Toshiba Corp Optical treatment method
JPS61289649A (en) 1985-06-17 1986-12-19 Matsushita Electronics Corp Manufacture of semiconductor device
US4719123A (en) * 1985-08-05 1988-01-12 Sanyo Electric Co., Ltd. Method for fabricating periodically multilayered film
JPS6245022A (en) * 1985-08-22 1987-02-27 Toshiba Corp Manufacture of semiconductor device
JPS6245122A (en) * 1985-08-23 1987-02-27 Hitachi Ltd Treater
JPH0244143B2 (en) * 1985-08-30 1990-10-02 Tokyo Shibaura Electric Co HANDOTAISOCHINOSEIZOHOHO
JPH0754827B2 (en) * 1985-09-04 1995-06-07 株式会社東芝 Method for manufacturing semiconductor device
JPS6273784A (en) * 1985-09-27 1987-04-04 Sanyo Electric Co Ltd Photovoltaic device
JPS6280272A (en) * 1985-10-02 1987-04-13 Applied Materials Japan Kk Vapor growth method
US4717596A (en) * 1985-10-30 1988-01-05 International Business Machines Corporation Method for vacuum vapor deposition with improved mass flow control
KR910003169B1 (en) * 1985-11-12 1991-05-20 가부시끼가이샤 한도다이 에네르기 겐뀨소 Smeiconductor device manufacturing method and device
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
JPH084070B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Thin film semiconductor device and method of forming the same
JP2566914B2 (en) * 1985-12-28 1996-12-25 キヤノン株式会社 Thin film semiconductor device and method of forming the same
JPH0651908B2 (en) * 1985-12-28 1994-07-06 キヤノン株式会社 Method of forming thin film multilayer structure
JPS62160462A (en) * 1986-01-09 1987-07-16 Fuji Electric Co Ltd Heat source for manufacturing electrophotographic sensitive body
JPS62188375A (en) * 1986-02-14 1987-08-17 Hitachi Ltd Semiconductor integrated circuit device
US4654226A (en) * 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
JPS62216318A (en) 1986-03-18 1987-09-22 Fujitsu Ltd Laser annealing apparatus
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4753818A (en) * 1986-07-25 1988-06-28 Hughes Aircraft Company Process for photochemical vapor deposition of oxide layers at enhanced deposition rates
KR910003742B1 (en) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd apparatus
US5427824A (en) * 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
US4795880A (en) * 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
JPS63144513A (en) * 1986-12-09 1988-06-16 Nkk Corp Barrel type epitaxial growth device
JPS63147314A (en) * 1986-12-10 1988-06-20 Nec Corp Cvd method
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS646318A (en) * 1987-03-27 1989-01-10 Sumitomo Electric Industries Superconducting material and manufacture thereof
JPS63314828A (en) 1987-06-18 1988-12-22 Matsushita Electric Ind Co Ltd Photo-cvd equipment
JPS644828A (en) * 1987-06-26 1989-01-10 Sharp Kk Image display control system
JPS6428925A (en) * 1987-07-24 1989-01-31 Semiconductor Energy Lab Formation of insulating film
JPH01110772A (en) * 1987-10-23 1989-04-27 Fujitsu Ltd Manufacture of semiconductor device
US5424131A (en) * 1987-11-30 1995-06-13 Polyplasma, Inc. Barrier coatings on spacecraft materials
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02129371A (en) * 1988-11-08 1990-05-17 Canon Inc Method for cleaning device for forming deposited film
JPH06103691B2 (en) * 1989-02-20 1994-12-14 松下電器産業株式会社 Method of forming thin film
JP3097855B2 (en) * 1990-04-20 2000-10-10 株式会社リコー Liquid crystal display device
US5389581A (en) * 1991-06-07 1995-02-14 Intel Corporation High density TEOS-based film for intermetal dielectrics
JP3093429B2 (en) * 1992-04-28 2000-10-03 日本電気株式会社 Method for manufacturing semiconductor device
JP3708135B2 (en) * 1992-06-26 2005-10-19 株式会社日立メディコ Magnetic resonance imaging system
JP3167534B2 (en) * 1994-06-20 2001-05-21 株式会社東芝 Uninterruptible power system
JPH097856A (en) * 1995-06-19 1997-01-10 Taiyo Yuden Co Ltd Circuit component

Patent Citations (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4454166A (en) * 1979-09-17 1984-06-12 Mitsubishi Denki Kabushiki Kaisha Process for preparing semiconductor device
US4407851A (en) * 1981-04-13 1983-10-04 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
US4527007A (en) * 1983-02-02 1985-07-02 Fuji Xerox Co., Ltd. Process for forming passivation film on photoelectric conversion device and the device produced thereby
US4704300A (en) * 1984-03-12 1987-11-03 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layer
US4510172A (en) * 1984-05-29 1985-04-09 International Business Machines Corporation Technique for thin insulator growth
US4818560A (en) * 1985-12-28 1989-04-04 Canon Kabushiki Kaisha Method for preparation of multi-layer structure film
US4824697A (en) * 1986-01-14 1989-04-25 Canon Kabushiki Kaisha Method for forming a multi-layer deposited film
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4749631A (en) * 1986-12-04 1988-06-07 Dow Corning Corporation Multilayer ceramics from silicate esters
US4911992A (en) * 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US4749631B1 (en) * 1986-12-04 1993-03-23 Multilayer ceramics from silicate esters
US5776557A (en) * 1987-03-18 1998-07-07 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5385763A (en) * 1987-03-18 1995-01-31 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5156881A (en) * 1987-03-18 1992-10-20 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US4927704A (en) * 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US4847162A (en) * 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
US4849296A (en) * 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5510151A (en) * 1989-06-28 1996-04-23 Canon Kabushiki Kaisha Continuous film-forming process using microwave energy in a moving substrate web functioning as a substrate and plasma generating space
US5096735A (en) * 1990-02-07 1992-03-17 Sharp Kabushiki Kaisha Process for producing a thin film electroluminescent device
US5151305A (en) * 1990-02-19 1992-09-29 Canon Kabushiki Kaisha Process for forming metal deposited film containing aluminum as main component by use of alkyl aluminum hydride
US5243202A (en) * 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5284789A (en) * 1990-04-25 1994-02-08 Casio Computer Co., Ltd. Method of forming silicon-based thin film and method of manufacturing thin film transistor using silicon-based thin film
US5209878A (en) * 1990-10-30 1993-05-11 3D Systems, Inc. Surface resolution in three-dimensional objects by inclusion of thin fill layers
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5750211A (en) * 1991-05-17 1998-05-12 Lam Research Corporation Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content
US5420044A (en) * 1991-05-21 1995-05-30 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device
US5626679A (en) * 1991-09-02 1997-05-06 Fuji Electric Co., Ltd. Method and apparatus for preparing a silicon oxide film
US5605867A (en) * 1992-03-13 1997-02-25 Kawasaki Steel Corporation Method of manufacturing insulating film of semiconductor device and apparatus for carrying out the same
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5417770A (en) * 1992-06-30 1995-05-23 Canon Kabushiki Kaisha Photovoltaic device and a forming method thereof
US5399389A (en) * 1992-08-04 1995-03-21 Siemens Aktiengesellschaft Method for locally and globally planarizing chemical vapor deposition of SiO2 layers onto structured silicon substrates
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5763005A (en) * 1992-08-26 1998-06-09 Fujitsu Limited Method for forming multilayer insulating film of semiconductor device
US5466495A (en) * 1992-11-09 1995-11-14 U.S. Philips Corporation Method of manufacturing a magnetic head
US5563075A (en) * 1992-11-16 1996-10-08 Canon Kabushiki Kaisha Forming a non-monocrystalline silicone semiconductor having pin junction including laminated intrinsic layers
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5364666A (en) * 1993-09-23 1994-11-15 Becton, Dickinson And Company Process for barrier coating of plastic objects
US5616369A (en) * 1993-09-23 1997-04-01 Becton, Dickinson And Company Process for barrier coating of plastic objects
US5562952A (en) * 1993-11-11 1996-10-08 Nissin Electric Co., Ltd. Plasma-CVD method and apparatus
US5807614A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous atmosphere lacking electrically charged species used for treating nonmetallic substrates
US5807615A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous treatment atmosphere lacking electrically charged species used for treating metallic substrates
US5554418A (en) * 1993-12-28 1996-09-10 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming passivation film
US5571749A (en) * 1993-12-28 1996-11-05 Canon Kabushiki Kaisha Method and apparatus for forming deposited film
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US5643637A (en) * 1994-03-18 1997-07-01 General Electric Company Method of grading the electric field of an electrode
US5607773A (en) * 1994-12-20 1997-03-04 Texas Instruments Incorporated Method of forming a multilevel dielectric
US5616401A (en) * 1995-01-31 1997-04-01 Mitsubishi Denki Kabushiki Kaisha Oxynitride film and its formation method, and method for forming an element isolation oxide film using the oxynitride film
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5720826A (en) * 1995-05-30 1998-02-24 Canon Kabushiki Kaisha Photovoltaic element and fabrication process thereof
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5763018A (en) * 1995-06-20 1998-06-09 Sony Corporation Method for forming dielectric layer
US5776663A (en) * 1995-06-30 1998-07-07 Daewoo Electronics Co., Ltd. Method for electroplating a conductive layer on a substrate
US5795833A (en) * 1996-08-01 1998-08-18 Taiwan Semiconductor Manufacturing Company, Ltd Method for fabricating passivation layers over metal lines
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5744202A (en) * 1996-09-30 1998-04-28 Xerox Corporation Enhancement of hydrogenation of materials encapsulated by an oxide

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060286776A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286775A1 (en) * 2005-06-21 2006-12-21 Singh Kaushal K Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286820A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20100018460A1 (en) * 2005-06-21 2010-01-28 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8387557B2 (en) 2005-06-21 2013-03-05 Applied Materials Method for forming silicon-containing materials during a photoexcitation deposition process
CN100427641C (en) * 2005-09-23 2008-10-22 清华大学 Superhigh vacuum chemical vapor deposition epitoxy system with rotary lining

Also Published As

Publication number Publication date
DE3782991T2 (en) 1993-04-08
EP0490883A1 (en) 1992-06-17
EP0260097B1 (en) 1992-12-09
CN1020290C (en) 1993-04-14
US6013338A (en) 2000-01-11
US4950624A (en) 1990-08-21
KR880004128A (en) 1988-06-01
KR910003742B1 (en) 1991-06-10
EP0260097A1 (en) 1988-03-16
US6520189B1 (en) 2003-02-18
CN87106283A (en) 1988-03-23
DE3782991D1 (en) 1993-01-21

Similar Documents

Publication Publication Date Title
US5855970A (en) Method of forming a film on a substrate
US6520189B1 (en) CVD apparatus
US6984595B1 (en) Layer member forming method
US4857139A (en) Method and apparatus for forming a layer
JP3067940B2 (en) Silicon nitride thin film deposition
US6786997B1 (en) Plasma processing apparatus
US4563367A (en) Apparatus and method for high rate deposition and etching
JP2651102B2 (en) Semiconductor processing reactor
JP4382750B2 (en) CVD method for forming a silicon nitride film on a substrate to be processed
US20030143410A1 (en) Method for reduction of contaminants in amorphous-silicon film
JPS5930130B2 (en) Vapor phase growth method
US5225378A (en) Method of forming a phosphorus doped silicon film
JP2002110572A (en) Multi-deposition sacvd reactor
JPH0766186A (en) Anisotropic depositing method of dielectric
TWI683347B (en) Substrate processing device, manufacturing method of semiconductor device, and recording medium
JP3174787B2 (en) Optical CVD equipment
JP7428528B2 (en) Etching method
JPS61216318A (en) Photo chemical vapor deposition device
JP2000340561A (en) Method for forming film
JPH0689455B2 (en) Thin film formation method
JPS61288431A (en) Manufacture of insulating layer
JPH0419702B2 (en)
JPS62294180A (en) Device for forming deposited film by plasma cvd
JPH0573046B2 (en)
JPS6118125A (en) Thin film forming apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION