US20030143828A1 - Novel method of fabricating metallic local interconnections that also improves transistor performance - Google Patents

Novel method of fabricating metallic local interconnections that also improves transistor performance Download PDF

Info

Publication number
US20030143828A1
US20030143828A1 US10/353,228 US35322803A US2003143828A1 US 20030143828 A1 US20030143828 A1 US 20030143828A1 US 35322803 A US35322803 A US 35322803A US 2003143828 A1 US2003143828 A1 US 2003143828A1
Authority
US
United States
Prior art keywords
layer
insulating layer
over
substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/353,228
Inventor
Mei Sheng Zhou
Vijai Kumar Chhagan
Jian Xun Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Priority to US10/353,228 priority Critical patent/US20030143828A1/en
Publication of US20030143828A1 publication Critical patent/US20030143828A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Definitions

  • the present invention relates to the fabrication of semiconductor devices on substrates, and more particularly relates to a method for making local metal interconnections that also improves the electrical conductivity of the polysilicon gate electrodes for field effect transistors (FETs) while providing low contact resistance to the underlying silicon substrate.
  • FETs field effect transistors
  • FETs Field effect transistors
  • ULSI Ultra Large Scale Integration
  • FETs are used in the semiconductor industry for Ultra Large Scale Integration (ULSI) circuits.
  • ULSI Ultra Large Scale Integration
  • FETs are commonly formed using patterned conductively doped polysilicon layers for the gate electrodes and diffused self-aligned doped areas in the substrate adjacent to the gate electrodes for the source/drain areas.
  • the polysilicon layers and the source/drain areas even though conductively doped, have more electrical resistance than metal or metal silicide layers. This higher resistance is generally undesirable because it increases the RC (resistance ⁇ capacitance) time delay of the circuit and reduces circuit performance (speed). Therefore, it is a common practice in the industry to use metal silicide on the gate electrodes and local interconnections to improve the circuit performance.
  • One conventional method of forming the FETs with silicide gate electrodes and source/drain areas is to form the gate electrodes by patterning a multilayer of doped polysilicon, a metal silicide, and a cap oxide layer over the device areas.
  • the gate electrodes are then used as a diffusion or implant barrier mask to form self-aligned lightly doped source/drain areas in the substrate adjacent to the sides of the gate electrodes.
  • Sidewall insulating spacers are formed on the gate electrode sidewalls and a second implant, aligned to the sidewall spacers, is used to form the source/drain contact areas.
  • a metal is deposited and annealed (sintered) to form the silicide source/drain contact areas with low resistance.
  • this requires additional etching steps to form the gate electrodes in the multilayer of oxide, silicide, and polysilicon, which also requires reasonably vertical sidewalls for forming the sidewall spacers.
  • silicide self-aligned silicide (salicide) process in which both the silicide gate electrodes and source/drain areas are made at the same time.
  • the gate electrodes are formed from a single doped polysilicon layer, and after forming insulating sidewall spacers, a single metal, such as titanium (Ti), is deposited and annealed to concurrently form the silicide source/drain areas and silicide gate electrodes.
  • Ti titanium
  • the method chemically-mechanically polishes back an overlying insulating layer to a polysilicon contact for making electrical contact to the substrate, while concurrently forming the FETS.
  • the method does not address local interconnections.
  • Another method for improving the sheet resistance of an integrated circuit device gate is described by Givens et al. in U.S. Pat. No. 5,268,330.
  • an insulating layer (doped SiO 2 ) is polished back to a passivation layer (Si 3 N 4 ) over the gate electrode.
  • the passivation layer is removed over the gate electrode and a conducting material, such as tungsten, is deposited to make contact to the gate electrode to improve the sheet resistance.
  • a conducting material such as tungsten
  • Still another objective is to use the patterned metal layer for making the metal interconnections to form metal contacts in the insulating layer on the substrate to further reduce contact resistance.
  • Another object of this invention is to provide a simple and manufacturable process.
  • a method for fabricating improved metal interconnections which also reduces the resistance of the patterned polysilicon layer that also forms the gate electrodes for the FETs. Also, the method concurrently forms metal contacts to the substrate in the insulating layer over the substrate which reduces contact resistance.
  • the method is described for an N-channel FET on a P doped substrate, the method also applies to forming P-channel FETs on N doped substrates. Also, the process is compatible with making both P-channel and N-channel FETs, respectively, on doped N-wells and P-wells formed on and in the substrate.
  • a semiconductor substrate such as a single-crystal silicon doped with a P-type dopant, such as boron.
  • Field OXide (FOX) regions are formed in and on the substrate to surround and electrically isolate device areas.
  • the FOX is formed by shallow trench isolation (STI), as commonly used in the industry, and the STI is made planar with the substrate surface.
  • STI shallow trench isolation
  • a gate oxide is formed, for example by thermal oxidation, over the device areas for the FETs.
  • a conductively doped polysilicon layer is deposited on the substrate using low-pressure chemical vapor deposition (LPCVD) and is doped with a conductive dopant such as arsenic (As) or phosphorus (P) using ion implantation.
  • LPCVD low-pressure chemical vapor deposition
  • a first insulating layer composed of silicon nitride (Si 3 N 4 ) is deposited by low-pressure chemical vapor deposition (LPCVD) on the polysilicon layer.
  • the first insulating layer and the polysilicon layer are patterned using a photoresist mask and anisotropic plasma etching.
  • the polysilicon is patterned to form gate electrodes over the device areas and form portions of the local interconnections over the field oxide isolation regions.
  • Lightly doped source/drain areas are formed next by ion implanting an N type dopant adjacent and aligned to the gate electrodes of the N-channel FET.
  • a conformal second insulating layer composed of silicon oxide (SiO 2 ) is deposited by CVD over the gate electrodes and is anisotropically etched back to form sidewall spacers on the sidewalls of the gate electrodes.
  • Source/drain contact areas are then formed by ion implantation adjacent to the sidewall spacers.
  • a third insulating layer composed of SiO 2 is deposited on the substrate and is chemically-mechanically polished back to the first insulating layer (Si 3 N 4 ) to form a planar surface.
  • the Si 3 N 4 first insulating layer is selectively removed over the patterned polysilicon layer to form recesses in the third insulating layer.
  • the Si 3 N 4 is selectively removed using, for example, a hot phosphoric acid solution while leaving the second and the third insulating layers essentially unetched.
  • contact openings are etched in the third insulating layer to the substrate using a photoresist mask and anisotropic etching.
  • a blanket metal layer having high electrical conductivity is deposited to fill the contact openings and the recesses in the third insulating layer and to form an essentially planar layer over the substrate.
  • the metal layer can be composed of a barrier layer, such as titanium/titanium nitride (Ti/TiN), and a good electrical conductor such as tungsten, copper, aluminum, platinum, silver, palladium, or the like.
  • a barrier layer such as titanium/titanium nitride (Ti/TiN)
  • a good electrical conductor such as tungsten, copper, aluminum, platinum, silver, palladium, or the like.
  • the metal layer is patterned using a photoresist mask and anisotropic plasma etching to complete the local metal interconnections while leaving portions of the metal in the recesses to improve the electrical conductivity of the patterned polysilicon layer.
  • an additional photoresist mask is used to pattern the Si 3 N 4 first insulating layer leaving portions over the patterned polysilicon layer to serve as crossovers for the patterned metal local interconnections.
  • the Si 3 N 4 can be etched using plasma etching in a gas that etches Si 3 N 4 selectively.
  • FIG. 1 shows a schematic top view of one possible local interconnection structure after patterning the metal layer.
  • FIGS. 2 through 6 show schematic cross-sectional views through a field effect transistor and a nearby contact to the substrate for the sequence of processing steps for making this local interconnection with improved electrical conductivity by the method of this invention.
  • FIG. 7A shows a schematic top view of a local interconnection structure by a second embodiment in which portions of the Si 3 N 4 insulating layer remain over the patterned polysilicon layer (gate electrodes) to serve as crossovers for the patterned local interconnections.
  • FIG. 7B shows a schematic cross-sectional view through the region B-B′ of FIG. 7A for a portion of the metal interconnection over the Si 3 N 4 insulating layer.
  • FIG. 7C shows a schematic cross-sectional view through the region C-C′ of FIG. 7A for a portion of the metal interconnection through device areas separated by field oxide regions.
  • the method for forming low-resistance metal local interconnections while retaining the metal over the underlying patterned polysilicon layer to further improve the circuit performance is described in detail.
  • the method is described for making local interconnections for N-channel FETs using an N + doped polysilicon layer to form the gate electrodes.
  • CMOS complementary metal oxide semiconductor
  • FIG. 1 shows a P doped silicon substrate 10 having field oxide areas 12 around the active device areas 14 .
  • the top view shows the final structure of this invention.
  • a patterned polysilicon layer 18 forms the FET gate electrodes 18 A over the device areas, and the patterned polysilicon 18 extends over the field oxide 12 to provide portions of the local interconnection.
  • a silicon oxide (SiO 2 ) layer 24 is formed over the substrate, having recesses 4 in the oxide aligned over and down to the patterned polysilicon layer 18 .
  • a metal layer is deposited and patterned to complete the local interconnections 32 A.
  • portions of the metal 32 B are retained in the recesses 4 over the patterned polysilicon layer 18 to further reduce the electrical resistance and to improve the circuit performance, for example, by reducing the RC time constant.
  • a metal contact 32 C is concurrently formed when the metal layer is deposited and patterned to complete the local interconnections.
  • the cross section 6 A- 6 A′ of the drawings in FIG. 1 is depicted in FIG. 6 for the completed interconnect structure, and FIGS. 2 through 6 show the sequence of process steps.
  • FIG. 2 shows a schematic cross-sectional view of the substrate 10 having a partially completed N-channel FET formed on and in the substrate surface.
  • the preferred substrate is composed of a P-type single-crystal silicon having a ⁇ 100> crystallographic orientation.
  • a Field OXide (FOX) isolation region 12 is formed to surround and electrically isolate the active device areas 14 .
  • FOX 12 is made planar with the substrate surface, using various methods as commonly practiced in the industry.
  • shallow trench isolations can be made by etching trenches in the silicon substrate 10 , and filled with a CVD SiO 2 that is chemically-mechanically polished (CMP) back to the substrate surface.
  • CMP chemically-mechanically polished
  • the FOX 12 is typically formed to a thickness of between about 1500 and 4500 Angstroms.
  • the FETs are now formed in the active device areas 14 by first thermally oxidizing the device regions to form a thin gate oxide 16 .
  • the preferred thickness of the gate oxide 16 is between about 25 and 200 Angstroms.
  • a conductively doped polysilicon layer 18 is deposited on the substrate using low-pressure chemical vapor deposition (LPCVD) using, for example, silane (SiH 4 ) as the reactant gas.
  • LPCVD low-pressure chemical vapor deposition
  • SiH 4 silane
  • Layer 18 is deposited to a preferred thickness of between about 150 and 4000 Angstroms.
  • the polysilicon layer 18 is then doped with a conductive dopant using ion implantation, for example, by implanting arsenic ions (As 75 ).
  • layer 18 can be doped in-situ by introducing a dopant gas.
  • layer 18 can be doped by adding a reactant gas such as arsine (AsH 3 ) or phosphine (PH 3 ) to the SiH 4 during deposition.
  • a reactant gas such as arsine (AsH 3 ) or phosphine (PH 3 )
  • the dopant concentration of polysilicon layer 18 is between about 1.0 E 19 and 1.0 E 21 atoms/cm 3 .
  • a first insulating layer 19 composed of silicon nitride (Si 3 N 4 ) is deposited on the polysilicon layer 18 .
  • Layer 19 is deposited by low-pressure chemical vapor deposition (LPCVD) using dichlorosilane (SiCl 2 H 2 ) and ammonia (NH 3 ) as the reactant gases.
  • LPCVD low-pressure chemical vapor deposition
  • the Si 3 N 4 layer 19 is deposited to a thickness of between about 1000 and 3500 Angstroms.
  • the first insulating layer 19 and the polysilicon layer 18 are patterned to form gate electrodes 18 A over the device areas 14 and to form portions of the local interconnections over the field oxide isolation regions 12 .
  • Layers 19 and 18 are patterned using a photoresist mask and anisotropic plasma etching.
  • the anisotropic etching is carried out using a high-density plasma (HDP) etcher.
  • the Si 3 N 4 layer 19 can be etched using an etchant gas such as hydrogen bromide (HBr), carbon tetrafluoride (CF 4 ), trifluoromethane (CHF 3 ), methylfluoride (CH 3 F), or carbon hexafluoride (C 2 F 6 ), and the polysilicon layer 18 is etched using an etchant gas such as HBr or chlorine (Cl 2 ).
  • lightly doped source/drain areas 17 (N ⁇ ) are formed next adjacent and aligned to the gate electrodes 18 A of the N-channel FET to minimize short-channel effects.
  • the lightly doped source/drain areas 17 (N ⁇ ) are formed by ion implanting an N type dopant such as arsenic or phosphorus ions.
  • a conformal second insulating layer 20 is deposited.
  • Layer 20 is preferably composed of SiO 2 , and is deposited, for example, by LPCVD using a reactant gas such as tetraethosiloxane (TEOS) or TEOS/ozone.
  • the second insulating layer 20 is deposited to a preferred thickness of between about 500 and 2000 Angstroms and is anisotropically etched back to form sidewall spacers 20 on the sidewalls of the gate electrodes 18 A.
  • the etchback can be carried out using a reactive ion etcher (RIE) at low pressure using an etchant gas such as CHF 3 and CF 4 .
  • RIE reactive ion etcher
  • the source/drain contact areas 19 (N + ) are formed by ion implantation adjacent to the sidewall spacers 20 .
  • the source/drain contact areas 19 (N + ) are doped with arsenic to a concentration sufficient to provide good ohmic contacts, for example, to a concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm 3 .
  • a third insulating layer 22 is deposited on the substrate to a thickness that exceeds the total thickness of layers 19 and 18 .
  • the thickness of the third insulating layer 22 would be at least greater than 3000 Angstroms.
  • Layer 22 is preferably composed of SiO 2 and is deposited by LPCVD using a reactant gas such as TEOS or TEOS/ozone.
  • the third insulating layer 22 can be a doped silicon oxide, such as phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG).
  • the third insulating layer 22 is chemically-mechanically polished (CMP) back to the first insulating layer (Si 3 N 4 ) 19 to form a planar surface.
  • the polish-back also exposes the top surface of the Si 3 N 4 layer 19 .
  • the CMP is carried out using an appropriate polishing slurry as commonly practiced in the industry.
  • the Si 3 N 4 first insulating layer 19 is selectively removed over the patterned polysilicon layer 18 . Removing the Si 3 N 4 19 results in recesses 4 in the third insulating layer 22 . The recesses 4 are aligned over the patterned polysilicon layer 18 .
  • the Si 3 N 4 is removed by etching in a hot phosphoric acid solution at a temperature of about 160° C., while leaving the second and the third insulating layers 20 and 22 , composed of SiO 2 , essentially unetched.
  • contact openings 5 are etched in the third insulating layer 22 to the substrate 10 using a photoresist mask and anisotropic etching.
  • the contact openings 5 can be etched using a HDP etcher and an etchant gas that etches the SiO 2 selectively to the silicon substrate 10 .
  • the etching can be carried out using an etchant gas such as CHF 3 , carbon monoxide (CO), and oxygen (O 2 ).
  • etchant gases such as CHF 3 , carbon monoxide (CO), and oxygen (O 2 ).
  • other etchant gases in various combinations, such as C 4 F 8 , CH 2 F 2 , C 2 F 6 , and CF 4 , can be used.
  • barrier/adhesion layer 30 and a high electrical conducting metal layer 32 are deposited consecutively.
  • the barrier layer 30 is deposited to a thickness sufficient to prevent reaction of the metal layer 32 with the silicon substrate 10 , thereby preventing degradation of the shallow diffused junctions 19 (N + ) in the contact openings 5 .
  • Layer 30 also improves the adhesion properties for bonding of the highly conducting metal layer 32 to the underlying oxide structure.
  • One type of barrier layer is a titanium/titanium nitride (Ti/TiN).
  • Ti titanium/titanium nitride
  • the Ti can be deposited by sputter deposition from a Ti target while the TiN can be formed by introducing nitrogen during the sputter deposition.
  • the barrier layer 30 is deposited to a thickness of between about 50 and 1000 Angstroms.
  • a high electrically conducting metal layer 32 is deposited to reduce the electrical resistance and to improve transistor performance.
  • Layer 32 is deposited to a thickness sufficient to fill the recesses 4 and the contact openings 5 and to form an essentially planar surface. More specifically, the metal layer 32 is deposited to a thickness of between about 1500 and 8000 Angstroms.
  • the metal layer 32 can be composed of an electrically conducting material such as tungsten, copper, aluminum, platinum, silver, palladium, or the like.
  • the metal layer 32 is patterned using a photoresist mask and anisotropic plasma etching to form the local metal interconnections 32 A.
  • the metal can be patterned using HDP etching and an appropriate etchant gas mixture, depending on the composition of the metal layer 32 .
  • the metal layer 32 is etched down to the top surface of the third insulating layer 22 leaving portions of the metal 32 B in the recesses 4 over the polysilicon layer 18 A that forms the gate electrode, and elsewhere over the patterned polysilicon layer 18 , as depicted in the top view in FIG. 1. This results in improved the electrical conductivity of the patterned polysilicon layer 18 and improves transistor performance.
  • the metal layer 32 forms metal contacts 32 C in the contact openings 5 to the substrate.
  • FIG. 7A a top view is shown for a second embodiment of this invention.
  • the process is similar to the first embodiment, and therefore all the elements of the structure are labeled the same as in the first embodiment.
  • an additional photoresist mask step (not shown) is used to pattern the Si 3 N 4 first insulating layer leaving portions 19 (FIG. 7A) over the patterned polysilicon layer 18 to serve as electrical crossovers.
  • the Si 3 N 4 first insulating layer is plasma etched using an etchant gas mixture, such as CH 3 F and O 2 .
  • other gas mixtures such as CHF 3 and N 2 , CH 2 F 2 and O 2 , and the like, can be used to etch the Si 3 N 4 .
  • the metal layer 32 is then deposited and patterned by plasma etching down to the top surface of the third insulating layer 22 .
  • FIG. 7B shows a cross section through the region B-B′ of FIG. 7A
  • FIG. 7C shows a cross section through the region C-C′ of FIG. 7A
  • portions of the metal 32 A extend over the Si 3 N 4 layer 19 to form electrical crossovers for the local interconnections.
  • portions of the metal 32 B are retained in the recesses 4 to improve the electrical conductivity of the patterned polysilicon layer 18 .
  • portions 32 C are used to make electrical contacts to the device areas on the substrate.
  • This novel method results in a local interconnect structure that requires only a single metal layer 32 , and therefore is manufacturing cost effective, while increasing the electrical conductivity of the underlying patterned polysilicon layer 18 for improved circuit performance.

Abstract

A method for making low sheet resistance local metal interconnections and improved transistor performance is described. The method involves patterning a polysilicon layer and a silicon nitride (Si3N4) cap layer over device areas to form FET gate electrodes, and the patterned polysilicon extends over the field oxide regions to form portions of the local interconnections. After forming source/drain areas and sidewall spacers on the FET gate electrodes, a silicon oxide (SiO2) insulating layer is deposited and polished back to the Si3N4 cap. The Si3N4 is then selectively removed over the patterned polysilicon layer, leaving recesses in the SiO2 layer. After etching contact openings in the SiO2 layer to the substrate, a high electrically conducting metal layer, having a barrier layer, is deposited and patterned to complete the local interconnections. Portions of the metal are retained in the recesses over the pattered polysilicon layer to improve transistor performance, while portions of the metal in the contact openings provide low-contact resistance to the substrate.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to the fabrication of semiconductor devices on substrates, and more particularly relates to a method for making local metal interconnections that also improves the electrical conductivity of the polysilicon gate electrodes for field effect transistors (FETs) while providing low contact resistance to the underlying silicon substrate. [0002]
  • 2. Description of the Prior Art [0003]
  • Field effect transistors (FETs) are used in the semiconductor industry for Ultra Large Scale Integration (ULSI) circuits. These FETs are commonly formed using patterned conductively doped polysilicon layers for the gate electrodes and diffused self-aligned doped areas in the substrate adjacent to the gate electrodes for the source/drain areas. The polysilicon layers and the source/drain areas, even though conductively doped, have more electrical resistance than metal or metal silicide layers. This higher resistance is generally undesirable because it increases the RC (resistance×capacitance) time delay of the circuit and reduces circuit performance (speed). Therefore, it is a common practice in the industry to use metal silicide on the gate electrodes and local interconnections to improve the circuit performance. [0004]
  • One conventional method of forming the FETs with silicide gate electrodes and source/drain areas is to form the gate electrodes by patterning a multilayer of doped polysilicon, a metal silicide, and a cap oxide layer over the device areas. The gate electrodes are then used as a diffusion or implant barrier mask to form self-aligned lightly doped source/drain areas in the substrate adjacent to the sides of the gate electrodes. Sidewall insulating spacers are formed on the gate electrode sidewalls and a second implant, aligned to the sidewall spacers, is used to form the source/drain contact areas. A metal is deposited and annealed (sintered) to form the silicide source/drain contact areas with low resistance. However, this requires additional etching steps to form the gate electrodes in the multilayer of oxide, silicide, and polysilicon, which also requires reasonably vertical sidewalls for forming the sidewall spacers. [0005]
  • Another method which saves processing steps is the self-aligned silicide (salicide) process in which both the silicide gate electrodes and source/drain areas are made at the same time. In this method the gate electrodes are formed from a single doped polysilicon layer, and after forming insulating sidewall spacers, a single metal, such as titanium (Ti), is deposited and annealed to concurrently form the silicide source/drain areas and silicide gate electrodes. The unreacted Ti on the oxide sidewall spacers and on other oxide surfaces is removed to electrically isolate the silicide source/drain areas from the silicide gate electrodes. [0006]
  • However, as these polysilicon and polycide lines are reduced in width to allow higher circuit density for future integrated circuits, the resistance of the local interconnecting lines (including the gate electrodes) dramatically increases and circuit performance is diminished. [0007]
  • Several methods have been reported in the literature for reducing the polysilicon resistance by forming silicide on the FET gate electrodes and local interconnections. [0008]
  • For example, in U.S. Pat. No. No. 5,683,941 Kao teaches a method for making a self-aligned silicide on the patterned polysilicon using an overlying insulating layer that is planarized, and then etched back to expose the polysilicon. A metal layer is deposited and reacted with the exposed polysilicon to form the self-aligned polycide pattern. A method for making back-gate contacts for FETs on a silicon-on-insulator (SOI) substrate is described by Chan et al. in U.S. Pat. No. 5,610,083. The method chemically-mechanically polishes back an overlying insulating layer to a polysilicon contact for making electrical contact to the substrate, while concurrently forming the FETS. The method does not address local interconnections. Another method for improving the sheet resistance of an integrated circuit device gate is described by Givens et al. in U.S. Pat. No. 5,268,330. In this invention an insulating layer (doped SiO[0009] 2) is polished back to a passivation layer (Si3N4) over the gate electrode. The passivation layer is removed over the gate electrode and a conducting material, such as tungsten, is deposited to make contact to the gate electrode to improve the sheet resistance. However, the invention does not describe the formation of improved metal local interconnections and improved transistor performance.
  • However, there is still a need in the semiconductor industry to fabricate local interconnections with improved electrical conductivity and improved transistor performance on future integrated circuits, where linewidths of the interconnections will be submicron in dimensions. [0010]
  • SUMMARY OF THE INVENTION
  • It is therefore a principal object of this invention to form local metal interconnections while improving the contact resistance to the substrate and improving the electrical conductivity of a patterned polysilicon layer that is used to form the FET gate electrodes. [0011]
  • It is another object of this invention to provide these improved contacts and FETs by selectively removing the cap insulating layer over the patterned polysilicon layer that also forms the FET gate electrodes. [0012]
  • Still another objective is to use the patterned metal layer for making the metal interconnections to form metal contacts in the insulating layer on the substrate to further reduce contact resistance. [0013]
  • Another object of this invention is to provide a simple and manufacturable process. [0014]
  • In accordance with the objects of the invention, a method for fabricating improved metal interconnections which also reduces the resistance of the patterned polysilicon layer that also forms the gate electrodes for the FETs. Also, the method concurrently forms metal contacts to the substrate in the insulating layer over the substrate which reduces contact resistance. Although the method is described for an N-channel FET on a P doped substrate, the method also applies to forming P-channel FETs on N doped substrates. Also, the process is compatible with making both P-channel and N-channel FETs, respectively, on doped N-wells and P-wells formed on and in the substrate. [0015]
  • The objectives described above are achieved by providing a semiconductor substrate, such as a single-crystal silicon doped with a P-type dopant, such as boron. Field OXide (FOX) regions are formed in and on the substrate to surround and electrically isolate device areas. The FOX is formed by shallow trench isolation (STI), as commonly used in the industry, and the STI is made planar with the substrate surface. After forming the STI, a gate oxide is formed, for example by thermal oxidation, over the device areas for the FETs. A conductively doped polysilicon layer is deposited on the substrate using low-pressure chemical vapor deposition (LPCVD) and is doped with a conductive dopant such as arsenic (As) or phosphorus (P) using ion implantation. Next a first insulating layer composed of silicon nitride (Si[0016] 3N4) is deposited by low-pressure chemical vapor deposition (LPCVD) on the polysilicon layer. The first insulating layer and the polysilicon layer are patterned using a photoresist mask and anisotropic plasma etching. The polysilicon is patterned to form gate electrodes over the device areas and form portions of the local interconnections over the field oxide isolation regions. Lightly doped source/drain areas are formed next by ion implanting an N type dopant adjacent and aligned to the gate electrodes of the N-channel FET. A conformal second insulating layer composed of silicon oxide (SiO2) is deposited by CVD over the gate electrodes and is anisotropically etched back to form sidewall spacers on the sidewalls of the gate electrodes. Source/drain contact areas are then formed by ion implantation adjacent to the sidewall spacers. A third insulating layer composed of SiO2 is deposited on the substrate and is chemically-mechanically polished back to the first insulating layer (Si3N4) to form a planar surface. By the method of this invention, the Si3N4 first insulating layer is selectively removed over the patterned polysilicon layer to form recesses in the third insulating layer. The Si3N4 is selectively removed using, for example, a hot phosphoric acid solution while leaving the second and the third insulating layers essentially unetched. Next, contact openings are etched in the third insulating layer to the substrate using a photoresist mask and anisotropic etching. A blanket metal layer having high electrical conductivity is deposited to fill the contact openings and the recesses in the third insulating layer and to form an essentially planar layer over the substrate. For example, the metal layer can be composed of a barrier layer, such as titanium/titanium nitride (Ti/TiN), and a good electrical conductor such as tungsten, copper, aluminum, platinum, silver, palladium, or the like. Also by the method of this invention, the metal layer is patterned using a photoresist mask and anisotropic plasma etching to complete the local metal interconnections while leaving portions of the metal in the recesses to improve the electrical conductivity of the patterned polysilicon layer.
  • By a second embodiment of this invention, an additional photoresist mask is used to pattern the Si[0017] 3N4 first insulating layer leaving portions over the patterned polysilicon layer to serve as crossovers for the patterned metal local interconnections. The Si3N4 can be etched using plasma etching in a gas that etches Si3N4 selectively.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects and other advantages of the invention are best understood with reference to the embodiments and in conjunction with the following drawings. [0018]
  • FIG. 1 shows a schematic top view of one possible local interconnection structure after patterning the metal layer. [0019]
  • FIGS. 2 through 6 show schematic cross-sectional views through a field effect transistor and a nearby contact to the substrate for the sequence of processing steps for making this local interconnection with improved electrical conductivity by the method of this invention. [0020]
  • FIG. 7A shows a schematic top view of a local interconnection structure by a second embodiment in which portions of the Si[0021] 3N4 insulating layer remain over the patterned polysilicon layer (gate electrodes) to serve as crossovers for the patterned local interconnections.
  • FIG. 7B shows a schematic cross-sectional view through the region B-B′ of FIG. 7A for a portion of the metal interconnection over the Si[0022] 3N4 insulating layer.
  • FIG. 7C shows a schematic cross-sectional view through the region C-C′ of FIG. 7A for a portion of the metal interconnection through device areas separated by field oxide regions.[0023]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Now in keeping with the objects of this invention, the method for forming low-resistance metal local interconnections while retaining the metal over the underlying patterned polysilicon layer to further improve the circuit performance is described in detail. The method is described for making local interconnections for N-channel FETs using an N[0024] + doped polysilicon layer to form the gate electrodes. However, it should be well understood by those skilled in the art that by using additional masking steps and ion implantations that both P- and N-channel FETs, using low sheet resistance local interconnections, can be made on N- and P-doped wells in the substrate, and that complementary metal oxide semiconductor (CMOS) circuits, with improved circuit performance, can be formed therefrom.
  • Referring first to FIG. 1, a top view of a portion of a substrate having one type of local interconnection is shown to better appreciate the invention. Only the key elements of the invention are depicted to simplify the drawing. FIG. 1 shows a P doped [0025] silicon substrate 10 having field oxide areas 12 around the active device areas 14. The top view shows the final structure of this invention. A patterned polysilicon layer 18 forms the FET gate electrodes 18A over the device areas, and the patterned polysilicon 18 extends over the field oxide 12 to provide portions of the local interconnection. A silicon oxide (SiO2) layer 24 is formed over the substrate, having recesses 4 in the oxide aligned over and down to the patterned polysilicon layer 18. A metal layer is deposited and patterned to complete the local interconnections 32A. During the patterning of the metal layer, portions of the metal 32B are retained in the recesses 4 over the patterned polysilicon layer 18 to further reduce the electrical resistance and to improve the circuit performance, for example, by reducing the RC time constant. Also shown in FIG. 1 is a metal contact 32C that is concurrently formed when the metal layer is deposited and patterned to complete the local interconnections. The cross section 6A-6A′ of the drawings in FIG. 1 is depicted in FIG. 6 for the completed interconnect structure, and FIGS. 2 through 6 show the sequence of process steps.
  • Referring now to FIG. 2, the method of forming this improved local interconnection structure is described in detail. FIG. 2 shows a schematic cross-sectional view of the [0026] substrate 10 having a partially completed N-channel FET formed on and in the substrate surface. The preferred substrate is composed of a P-type single-crystal silicon having a <100> crystallographic orientation. A Field OXide (FOX) isolation region 12 is formed to surround and electrically isolate the active device areas 14. For the purpose of this invention, the FOX 12 is made planar with the substrate surface, using various methods as commonly practiced in the industry. For example, shallow trench isolations (STI) can be made by etching trenches in the silicon substrate 10, and filled with a CVD SiO2 that is chemically-mechanically polished (CMP) back to the substrate surface. The FOX 12 is typically formed to a thickness of between about 1500 and 4500 Angstroms.
  • Still referring to FIG. 2, the FETs are now formed in the [0027] active device areas 14 by first thermally oxidizing the device regions to form a thin gate oxide 16. The preferred thickness of the gate oxide 16 is between about 25 and 200 Angstroms. Next, a conductively doped polysilicon layer 18 is deposited on the substrate using low-pressure chemical vapor deposition (LPCVD) using, for example, silane (SiH4) as the reactant gas. Layer 18 is deposited to a preferred thickness of between about 150 and 4000 Angstroms. The polysilicon layer 18 is then doped with a conductive dopant using ion implantation, for example, by implanting arsenic ions (As75). Alternatively layer 18 can be doped in-situ by introducing a dopant gas. For example, layer 18 can be doped by adding a reactant gas such as arsine (AsH3) or phosphine (PH3) to the SiH4 during deposition. Preferably the dopant concentration of polysilicon layer 18 is between about 1.0 E 19 and 1.0 E 21 atoms/cm3.
  • Continuing with the process, a first insulating [0028] layer 19 composed of silicon nitride (Si3N4) is deposited on the polysilicon layer 18. Layer 19 is deposited by low-pressure chemical vapor deposition (LPCVD) using dichlorosilane (SiCl2H2) and ammonia (NH3) as the reactant gases. The Si3N4 layer 19 is deposited to a thickness of between about 1000 and 3500 Angstroms. The first insulating layer 19 and the polysilicon layer 18 are patterned to form gate electrodes 18A over the device areas 14 and to form portions of the local interconnections over the field oxide isolation regions 12. Layers 19 and 18 are patterned using a photoresist mask and anisotropic plasma etching. The anisotropic etching is carried out using a high-density plasma (HDP) etcher. The Si3N4 layer 19 can be etched using an etchant gas such as hydrogen bromide (HBr), carbon tetrafluoride (CF4), trifluoromethane (CHF3), methylfluoride (CH3F), or carbon hexafluoride (C2F6), and the polysilicon layer 18 is etched using an etchant gas such as HBr or chlorine (Cl2).
  • Still referring to FIG. 2, lightly doped source/drain areas [0029] 17(N) are formed next adjacent and aligned to the gate electrodes 18A of the N-channel FET to minimize short-channel effects. The lightly doped source/drain areas 17(N) are formed by ion implanting an N type dopant such as arsenic or phosphorus ions. Next, a conformal second insulating layer 20 is deposited. Layer 20 is preferably composed of SiO2, and is deposited, for example, by LPCVD using a reactant gas such as tetraethosiloxane (TEOS) or TEOS/ozone. The second insulating layer 20 is deposited to a preferred thickness of between about 500 and 2000 Angstroms and is anisotropically etched back to form sidewall spacers 20 on the sidewalls of the gate electrodes 18A. For example, the etchback can be carried out using a reactive ion etcher (RIE) at low pressure using an etchant gas such as CHF3 and CF4. Next, the source/drain contact areas 19(N+) are formed by ion implantation adjacent to the sidewall spacers 20. The source/drain contact areas 19(N+) are doped with arsenic to a concentration sufficient to provide good ohmic contacts, for example, to a concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3.
  • Referring to FIG. 3, a third insulating [0030] layer 22 is deposited on the substrate to a thickness that exceeds the total thickness of layers 19 and 18. For example, for the thicknesses of layer 19 and 18 cited above, the thickness of the third insulating layer 22 would be at least greater than 3000 Angstroms. Layer 22 is preferably composed of SiO2 and is deposited by LPCVD using a reactant gas such as TEOS or TEOS/ozone. Alternatively, the third insulating layer 22 can be a doped silicon oxide, such as phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG).
  • Referring to FIG. 4, the third insulating [0031] layer 22 is chemically-mechanically polished (CMP) back to the first insulating layer (Si3N4) 19 to form a planar surface. The polish-back also exposes the top surface of the Si3N4 layer 19. The CMP is carried out using an appropriate polishing slurry as commonly practiced in the industry.
  • Referring to FIG. 5, by the method of this invention, the Si[0032] 3N4 first insulating layer 19 is selectively removed over the patterned polysilicon layer 18. Removing the Si3N4 19 results in recesses 4 in the third insulating layer 22. The recesses 4 are aligned over the patterned polysilicon layer 18. Preferably the Si3N4 is removed by etching in a hot phosphoric acid solution at a temperature of about 160° C., while leaving the second and the third insulating layers 20 and 22, composed of SiO2, essentially unetched.
  • Still referring to FIG. 5, [0033] contact openings 5 are etched in the third insulating layer 22 to the substrate 10 using a photoresist mask and anisotropic etching. The contact openings 5 can be etched using a HDP etcher and an etchant gas that etches the SiO2 selectively to the silicon substrate 10. For example, the etching can be carried out using an etchant gas such as CHF3, carbon monoxide (CO), and oxygen (O2). Alternatively, other etchant gases in various combinations, such as C4F8, CH2F2, C2F6, and CF4, can be used.
  • Referring to FIG. 6, a barrier/adhesion layer [0034] 30 and a high electrical conducting metal layer 32 are deposited consecutively. The barrier layer 30 is deposited to a thickness sufficient to prevent reaction of the metal layer 32 with the silicon substrate 10, thereby preventing degradation of the shallow diffused junctions 19(N+) in the contact openings 5. Layer 30 also improves the adhesion properties for bonding of the highly conducting metal layer 32 to the underlying oxide structure. One type of barrier layer is a titanium/titanium nitride (Ti/TiN). For example, the Ti can be deposited by sputter deposition from a Ti target while the TiN can be formed by introducing nitrogen during the sputter deposition. Typically the barrier layer 30 is deposited to a thickness of between about 50 and 1000 Angstroms. Next, a high electrically conducting metal layer 32 is deposited to reduce the electrical resistance and to improve transistor performance. Layer 32 is deposited to a thickness sufficient to fill the recesses 4 and the contact openings 5 and to form an essentially planar surface. More specifically, the metal layer 32 is deposited to a thickness of between about 1500 and 8000 Angstroms. The metal layer 32 can be composed of an electrically conducting material such as tungsten, copper, aluminum, platinum, silver, palladium, or the like.
  • Still FIG. 6, the metal layer [0035] 32 is patterned using a photoresist mask and anisotropic plasma etching to form the local metal interconnections 32A. For example, the metal can be patterned using HDP etching and an appropriate etchant gas mixture, depending on the composition of the metal layer 32. The metal layer 32 is etched down to the top surface of the third insulating layer 22 leaving portions of the metal 32B in the recesses 4 over the polysilicon layer 18A that forms the gate electrode, and elsewhere over the patterned polysilicon layer 18, as depicted in the top view in FIG. 1. This results in improved the electrical conductivity of the patterned polysilicon layer 18 and improves transistor performance. Concurrently the metal layer 32 forms metal contacts 32C in the contact openings 5 to the substrate.
  • Referring to FIG. 7A, a top view is shown for a second embodiment of this invention. The process is similar to the first embodiment, and therefore all the elements of the structure are labeled the same as in the first embodiment. After polishing back the third insulating layer [0036] 22 (see FIG. 4, first embodiment), an additional photoresist mask step (not shown) is used to pattern the Si3N4 first insulating layer leaving portions 19 (FIG. 7A) over the patterned polysilicon layer 18 to serve as electrical crossovers. The Si3N4 first insulating layer is plasma etched using an etchant gas mixture, such as CH3F and O2. Alternatively other gas mixtures, such as CHF3 and N2, CH2F2 and O2, and the like, can be used to etch the Si3N4.
  • As in the first embodiment, the metal layer [0037] 32 is then deposited and patterned by plasma etching down to the top surface of the third insulating layer 22.
  • FIG. 7B shows a cross section through the region B-B′ of FIG. 7A, and FIG. 7C shows a cross section through the region C-C′ of FIG. 7A. As shown in FIG. 7B for the cross section through B-B′ of FIG. 7A, portions of the [0038] metal 32A extend over the Si3N4 layer 19 to form electrical crossovers for the local interconnections. At the same time, and as shown in FIG. 7C, portions of the metal 32B are retained in the recesses 4 to improve the electrical conductivity of the patterned polysilicon layer 18. Also, during patterning of the metal layer, portions 32C are used to make electrical contacts to the device areas on the substrate.
  • This novel method results in a local interconnect structure that requires only a single metal layer [0039] 32, and therefore is manufacturing cost effective, while increasing the electrical conductivity of the underlying patterned polysilicon layer 18 for improved circuit performance.
  • While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.[0040]

Claims (35)

What is claimed is:
1. A method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity comprising the steps of:
providing a semiconductor substrate;
forming field oxide isolation regions surrounding and electrically isolating device areas and coplanar with surface of said substrate;
forming a gate oxide on said device areas;
depositing a conductively doped polysilicon layer on said substrate;
depositing a first insulating layer on said polysilicon layer;
patterning said first insulating layer and said polysilicon layer using a photoresist mask and anisotropic plasma etching leaving portions over said device areas to form said gate electrodes and portions of said patterned polysilicon over said field oxide isolation regions for local interconnections;
forming lightly doped source/drain areas adjacent to said gate electrodes by ion implantation;
depositing a conformal second insulating layer over said gate electrodes and elsewhere on said substrate;
anisotropically etching back said second insulating layer thereby forming sidewall spacers on sidewalls of said gate electrodes;
forming source/drain contact areas by ion implantation;
depositing a third insulating layer on said substrate;
polishing back said third insulating layer to said first insulating layer to form a planar surface;
selectively removing portions of said first insulating layer over said patterned polysilicon layer while leaving essentially unetched said second and said third insulating layers and forming recesses in said third insulating layer over said patterned polysilicon layer;
using a photoresist mask and anisotropic etching to etch contact openings in said third insulating layer to said substrate;
depositing a blanket metal layer and filling said contact openings and said recesses in said third insulating layer over said patterned polysilicon layer;
patterning said metal layer leaving portions over said contact openings and over and contacting portions of said patterned polysilicon layer to complete said local metal interconnections while leaving said metal in said recesses to improve the electrical conductivity of said patterned polysilicon layer.
2. The method of claim 1, wherein said conductively doped polysilicon layer is doped with arsenic to a dopant concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3.
3. The method of claim 1, wherein said conductively doped polysilicon layer is deposited to a thickness of between about 150 and 4000 Angstroms.
4. The method of claim 1, wherein said first insulating layer is silicon nitride and is deposited to a thickness of between about 1000 and 3500 Angstroms.
5. The method of claim 1, wherein said second insulating layer and said third insulating layer are silicon oxide.
6. The method of claim 4, wherein said silicon nitride first insulating layer is selectively removed using a hot phosphoric acid etch.
7. The method of claim 1, wherein said contact openings are selectively etched to said substrate using anisotropic plasma etching and an etchant gas mixture composed of trifluoromethane, carbon monoxide, and oxygen.
8. The method of claim 1, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of aluminum-copper alloy.
9. The method of claim 1, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of copper.
10. The method of claim 8, wherein said barrier layer is deposited to a thickness of between about 50 and 1000 Angstroms, and said aluminum-copper is deposited to a thickness of between about 1500 and 8000 Angstroms.
11. A method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity comprising the steps of:
providing a semiconductor substrate;
forming field oxide isolation regions surrounding and electrically isolating device areas and coplanar with surface of said substrate;
forming a gate oxide on said device areas;
depositing a conductively doped polysilicon layer on said substrate;
depositing a silicon nitride first insulating layer on said polysilicon layer;
patterning said first insulating layer and said polysilicon layer using a photoresist mask and anisotropic plasma etching leaving portions over said device areas to form said gate electrodes and portions of said patterned polysilicon over said field oxide isolation regions for local interconnections;
forming lightly doped source/drain areas adjacent to said gate electrodes by ion implantation;
depositing a conformal silicon oxide second insulating layer over said gate electrodes and elsewhere on said substrate;
anisotropically etching back said second insulating layer thereby forming sidewall spacers on sidewalls of said gate electrodes;
forming source/drain contact areas by ion implantation;
depositing a silicon oxide third insulating layer on said substrate;
polishing back said third insulating layer to said first insulating layer to form a planar surface;
selectively removing portions of said silicon nitride first insulating layer over said patterned polysilicon layer while leaving essentially unetched said second and said third insulating layers and forming recesses in said third insulating layer over said patterned polysilicon layer;
using a photoresist mask and anisotropic etching to etch contact openings in said third insulating layer to said substrate;
depositing a blanket metal layer and filling said contact openings and said recesses in said third insulating layer over said patterned polysilicon layer;
patterning said metal layer leaving portions over said contact openings and over and contacting portions of said patterned polysilicon layer to complete said local metal interconnections while leaving said metal in said recesses to improve the electrical conductivity of said patterned polysilicon layer.
12. The method of claim 11, wherein said conductively doped polysilicon layer is doped with arsenic to a dopant concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3.
13. The method of claim 11, wherein said conductively doped polysilicon layer is deposited to a thickness of between about 150 and 4000 Angstroms.
14. The method of claim 11, wherein said silicon nitride first insulating layer is deposited to a thickness of between about 1000 and 3500 Angstroms.
15. The method of claim 11, wherein said second insulating and said third insulating layers composed of silicon oxide are deposited by low-pressure chemical vapor deposition.
16. The method of claim 11, wherein said silicon nitride first insulating layer is selectively removed using a hot phosphoric acid etch.
17. The method of claim 11, wherein said contact openings are selectively etched to said substrate using anisotropic plasma etching and an etchant gas mixture composed of trifluoromethane, carbon monoxide, and oxygen.
18. The method of claim 11, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of aluminum-copper alloy.
19. The method of claim 11, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of copper.
20. The method of claim 18, wherein said barrier layer is deposited to a thickness of between about 50 and 1000 Angstroms, and said aluminum-copper is deposited to a thickness of between about 1500 and 8000 Angstroms.
21. A method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity comprising the steps of:
providing a semiconductor substrate;
forming field oxide isolation regions surrounding and electrically isolating device areas and coplanar with surface of said substrate;
forming a gate oxide on said device areas;
depositing a conductively doped polysilicon layer on said substrate;
depositing a first insulating layer on said polysilicon layer;
patterning said first insulating layer and said polysilicon layer using a-photoresist mask and anisotropic plasma etching leaving portions over said device areas to form said gate electrodes and portions of said patterned polysilicon over said field oxide isolation regions for local interconnections;
forming lightly doped source/drain areas adjacent to said gate electrodes by ion implantation;
depositing a conformal second insulating layer over said gate electrodes and elsewhere on said substrate;
anisotropically etching back said second insulating layer thereby forming sidewall spacers on sidewalls of said gate electrodes;
forming source/drain contact areas by ion implantation;
depositing a third insulating layer on said substrate;
polishing back said third insulating layer to said first insulating layer to form a planar surface;
using a photoresist mask to selectively remove portions of said first insulating layer over said patterned polysilicon layer while leaving essentially unetched said second and said third insulating layers and forming recesses in said third insulating layer over said patterned polysilicon layer;
using a photoresist mask and anisotropic etching to etch contact openings in said third insulating layer to said substrate;
depositing a blanket metal layer and filling said contact openings and said recesses in said third insulating layer over said patterned polysilicon layer;
patterning said metal layer leaving portions over said contact openings and over and contacting portions of said patterned polysilicon layer to complete said local metal interconnections while leaving said metal in said recesses to improve the electrical conductivity of said patterned polysilicon layer, and further portions of said patterned metal layer extending over remaining said silicon nitride layer to form crossovers for said local interconnections.
22. The method of claim 21, wherein said conductively doped polysilicon layer is doped with arsenic to a dopant concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3.
23. The method of claim 21, wherein said conductively doped polysilicon layer is deposited to a thickness of between about 150 and 4000 Angstroms.
24. The method of claim 21, wherein said first insulating layer is silicon nitride and is deposited to a thickness of between about 1000 and 3500 Angstroms.
25. The method of claim 21, wherein said second insulating layer and said third insulating layer are silicon oxide.
26. The method of claim 24, wherein said silicon nitride first insulating layer is selectively removed using a hot phosphoric acid etch.
27. The method of claim 21, wherein said contact openings are selectively etched to said substrate using anisotropic plasma etching and an etchant gas mixture composed of trifluoromethane, carbon monoxide, and oxygen.
28. The method of claim 21, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of aluminum-copper alloy.
29. The method of claim 21, wherein said metal layer is a multilayer composed of a titanium/titanium nitride barrier layer and an upper layer of copper.
30. The method of claim 28, wherein said barrier layer is deposited to a thickness of between about 50 and 1000 Angstroms, and said aluminum-copper is deposited to a thickness of between about 1500 and 8000 Angstroms.
31. A local metal interconnect structure with low contact resistance and FET gate electrodes with improved electrical conductivity comprised of:
a semiconductor substrate;
field oxide isolation regions surrounding and electrically isolating device areas and coplanar with surface of said substrate;
a gate oxide on said device areas;
a patterned conductively doped polysilicon layer on said substrate for said FET gate electrodes and portions of said local interconnections, wherein said FET gate electrodes have lightly doped source/drain areas adjacent to said gate electrodes and source/drain contact areas;
an insulating layer having a planar surface on said patterned polysilicon layer and said insulating layer having self-aligned recesses over said patterned polysilicon layer, and said insulating layer having contact openings to said substrate;
a patterned metal layer having portions over and in said contact openings and over and in said recesses to improve the electrical conductivity of said patterned polysilicon layer, and said patterned metal layer also extending over said insulating layer to complete said local metal interconnections.
32. The structure of claim 31, wherein said recesses have portions of silicon nitride over said patterned polysilicon layer for providing electrical crossovers for said patterned metal layer.
33. The structure of claim 31, wherein said conductively doped polysilicon layer is doped with arsenic to a dopant concentration of between about 1.0 E 19 and 1.0 E 21 atoms/cm3, and has a thickness of between about 150 and 4000 Angstroms.
34. The structure of claim 31, wherein said insulating layer is silicon oxide deposited by low-pressure chemical vapor deposition.
35. The structure of claim 31, wherein said patterned metal layer is composed of a multilayer of a titanium/titanium nitride barrier layer and an upper layer of aluminum-copper alloy.
US10/353,228 1999-01-25 2003-01-28 Novel method of fabricating metallic local interconnections that also improves transistor performance Abandoned US20030143828A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/353,228 US20030143828A1 (en) 1999-01-25 2003-01-28 Novel method of fabricating metallic local interconnections that also improves transistor performance

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/236,487 US6534393B1 (en) 1999-01-25 1999-01-25 Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity
US10/353,228 US20030143828A1 (en) 1999-01-25 2003-01-28 Novel method of fabricating metallic local interconnections that also improves transistor performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/236,487 Division US6534393B1 (en) 1999-01-25 1999-01-25 Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity

Publications (1)

Publication Number Publication Date
US20030143828A1 true US20030143828A1 (en) 2003-07-31

Family

ID=22889711

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/236,487 Expired - Lifetime US6534393B1 (en) 1999-01-25 1999-01-25 Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity
US10/353,228 Abandoned US20030143828A1 (en) 1999-01-25 2003-01-28 Novel method of fabricating metallic local interconnections that also improves transistor performance

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/236,487 Expired - Lifetime US6534393B1 (en) 1999-01-25 1999-01-25 Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity

Country Status (2)

Country Link
US (2) US6534393B1 (en)
SG (1) SG89278A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090162795A1 (en) * 2007-12-20 2009-06-25 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
JP2012216846A (en) * 2007-12-20 2012-11-08 Sk Hynix Inc Method for forming semiconductor element

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7125809B1 (en) * 2000-08-31 2006-10-24 Micron Technology, Inc. Method and material for removing etch residue from high aspect ratio contact surfaces
KR100423907B1 (en) * 2001-06-14 2004-03-22 삼성전자주식회사 Semiconductor device and method of fabricating the same
US7029963B2 (en) * 2001-08-30 2006-04-18 Micron Technology, Inc. Semiconductor damascene trench and methods thereof
KR100445638B1 (en) * 2002-07-26 2004-08-25 삼성전자주식회사 Interconnection structure connecting electrically isolated regions and method of fabricatinging the same
KR100669688B1 (en) * 2003-03-12 2007-01-18 삼성에스디아이 주식회사 Thin film transistor and flat display device comprising it
JP4038485B2 (en) * 2003-03-12 2008-01-23 三星エスディアイ株式会社 Flat panel display device with thin film transistor

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5895961A (en) * 1995-10-11 1999-04-20 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5953612A (en) * 1997-06-30 1999-09-14 Vlsi Technology, Inc. Self-aligned silicidation technique to independently form silicides of different thickness on a semiconductor device
US6096643A (en) * 1998-10-01 2000-08-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having polysilicon line with extended silicide layer
US6124189A (en) * 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268330A (en) 1992-12-11 1993-12-07 International Business Machines Corporation Process for improving sheet resistance of an integrated circuit device gate
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5610083A (en) 1996-05-20 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd Method of making back gate contact for silicon on insulator technology
US5683941A (en) 1996-07-02 1997-11-04 National Semiconductor Corporation Self-aligned polycide process that utilizes a planarized layer of material to expose polysilicon structures to a subsequently deposited metal layer that is reacted to form the metal silicide
US5811350A (en) * 1996-08-22 1998-09-22 Micron Technology, Inc. Method of forming contact openings and an electronic component formed from the same and other methods
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
KR100248144B1 (en) * 1997-06-30 2000-03-15 김영환 Method of forming contact of semicondcutor device
US5866448A (en) * 1997-07-30 1999-02-02 Chartered Semiconductor Manufacturing Ltd. Procedure for forming a lightly-doped-drain structure using polymer layer
US6083827A (en) * 1998-12-15 2000-07-04 United Microelectronics Corp. Method for fabricating local interconnect

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895961A (en) * 1995-10-11 1999-04-20 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US6124189A (en) * 1997-03-14 2000-09-26 Kabushiki Kaisha Toshiba Metallization structure and method for a semiconductor device
US5953612A (en) * 1997-06-30 1999-09-14 Vlsi Technology, Inc. Self-aligned silicidation technique to independently form silicides of different thickness on a semiconductor device
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
US6096643A (en) * 1998-10-01 2000-08-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having polysilicon line with extended silicide layer

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090162795A1 (en) * 2007-12-20 2009-06-25 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
JP2012216846A (en) * 2007-12-20 2012-11-08 Sk Hynix Inc Method for forming semiconductor element
US8685627B2 (en) * 2007-12-20 2014-04-01 Hynix Semiconductor Inc. Method for manufacturing a semiconductor device
TWI483288B (en) * 2007-12-20 2015-05-01 Hynix Semiconductor Inc Method for manufacturing a semiconductor device
US9218984B2 (en) 2007-12-20 2015-12-22 SK Hynix Inc. Method for manufacturing a semiconductor device

Also Published As

Publication number Publication date
US6534393B1 (en) 2003-03-18
SG89278A1 (en) 2002-06-18

Similar Documents

Publication Publication Date Title
US6165880A (en) Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US5723893A (en) Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US6159839A (en) Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6335249B1 (en) Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US7405112B2 (en) Low contact resistance CMOS circuits and methods for their fabrication
US5731239A (en) Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US6093628A (en) Ultra-low sheet resistance metal/poly-si gate for deep sub-micron CMOS application
US5777370A (en) Trench isolation of field effect transistors
US6074908A (en) Process for making merged integrated circuits having salicide FETS and embedded DRAM circuits
US5933741A (en) Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US6165861A (en) Integrated circuit polysilicon resistor having a silicide extension to achieve 100% metal shielding from hydrogen intrusion
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
US6380024B1 (en) Method of fabricating an SRAM cell featuring dual silicide gates and four buried contact regions
US6096644A (en) Self-aligned contacts to source/drain silicon electrodes utilizing polysilicon and metal silicides
US6699746B2 (en) Method for manufacturing semiconductor device
US5899735A (en) Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits
US6534393B1 (en) Method for fabricating local metal interconnections with low contact resistance and gate electrodes with improved electrical conductivity
US5843826A (en) Deep submicron MOSFET device
JPH08111527A (en) Preparation of semiconductor device with self-conformity silicide region
US6784098B1 (en) Method for forming salicide process
US6472265B1 (en) Method for manufacturing embedded dynamic random access memory
US20040023480A1 (en) Semiconductor processing methods, and semiconductor assemblies
US6882017B2 (en) Field effect transistors and integrated circuitry
US6458702B1 (en) Methods for making semiconductor chip having both self aligned silicide regions and non-self aligned silicide regions
WO2002003466A2 (en) Mos transistor integration

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION