US20030168008A1 - Plasma processing device - Google Patents

Plasma processing device Download PDF

Info

Publication number
US20030168008A1
US20030168008A1 US10/276,721 US27672102A US2003168008A1 US 20030168008 A1 US20030168008 A1 US 20030168008A1 US 27672102 A US27672102 A US 27672102A US 2003168008 A1 US2003168008 A1 US 2003168008A1
Authority
US
United States
Prior art keywords
microwave
processing apparatus
plasma
plasma processing
dielectric member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/276,721
Inventor
Tadahiro Ohmi
Masaki Hirayama
Shigetoshi Sugawa
Tetsuya Goto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of US20030168008A1 publication Critical patent/US20030168008A1/en
Priority to US11/337,026 priority Critical patent/US7670454B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention is generally related to a plasma processing apparatus, and more particularly, to a microwave plasma processing apparatus.
  • Plasma processing and plasma processing apparatuses are an indispensable technology for fabricating ultrafine semiconductor devices these days called deep submicron devices or deep subquarter micron devices characterized by a gate length of near 0.1 ā‡ m or less, and for fabricating ultra high-resolution flat-panel display devices including liquid crystal display devices.
  • Such a conventional plasma processing device has several inherent problems associated with its high electron temperature, in that the semiconductor devices formed on the substrate sustain damage and that significant metal contamination is caused as a result of sputtering of a chamber wall.
  • a microwave plasma processing apparatus that uses high-density plasma excited by a microwave electric field, in place of a direct-current magnetic field.
  • a plasma processing apparatus that causes excitation of plasma by radiating a microwave into a processing vessel from a planar antenna (radial line slot antenna) having a number of slots disposed so as to form a uniform microwave, such that the microwave electric field causes ionization of a gas in a vacuum vessel.
  • planar antenna radial line slot antenna
  • the microwave electric field causes ionization of a gas in a vacuum vessel.
  • the microwave plasma thus formed is characterized by low electron temperature, and damaging or metal contamination of the substrate is avoided. Further, it is possible to form uniform plasma over a large surface area, and it can be easily applied to the fabrication process of a semiconductor device using a large diameter semiconductor substrate and a large size liquid crystal display device.
  • FIGS. 1A and 1B show the construction of a conventional microwave plasma processing apparatus 100 having such a radial line slot antenna, wherein FIG. 1A shows the microwave plasma processing apparatus in a cross-sectional view while FIG. 1B shows the construction of the radial line slot antenna.
  • the microwave plasma processing apparatus 100 has a processing chamber 101 evacuated from plural evacuation ports 116 , and a stage 115 is formed for holding a substrate 114 to be processed.
  • a ring-shaped space 101 A is formed around the stage 115 , and the plural evacuation ports 116 are formed in communication with the foregoing space 101 A at a uniform interval, and hence in axial symmetry with regard to the substrate. Thereby, it becomes possible to evacuate the processing chamber 101 uniformly through the space 101 A and the evacuation ports 116 .
  • a shower plate 103 of plate-like form at the location corresponding to the substrate 114 on the stage 115 as a part of the outer wall of the processing chamber 101 , and the shower plate 103 is sealed with respect to the processing chamber 101 via a seal ring 109 , wherein the shower plate 103 is formed of a dielectric material of small loss and includes a large number of apertures 107 . Further, a cover plate 102 also of a dielectric material of small loss is provided on the outer side of the shower plate 103 , and the cover plate 102 is sealed with respect to the shower plate 103 via another seal ring 108 .
  • the shower plate 103 is formed with a passage 104 for a plasma gas on the top surface thereof, and each of the plural apertures 107 are formed in communication with the foregoing plasma gas passage 104 . Further, there is formed a plasma gas supply passage 108 in the interior of the shower plate 103 in communication with a plasma gas supply port 105 provided on the outer wall of the processing vessel 101 .
  • the plasma gas of Ar, Kr or the like supplied to the foregoing plasma gas supply port 105 is supplied to the foregoing apertures 107 from the supply passage 108 via the passage 104 and is released into a space 101 B underneath the shower plate 103 in the processing vessel 101 from the apertures 107 with substantially uniform concentration.
  • a radial line slot antenna 110 having a radiation surface shown in FIG. 1B on the outer side of the cover plate 102 with a separation of 4-5 mm from the cover plate 102 .
  • the radial line slot antenna 110 is connected to an external microwave source (not shown) via a coaxial waveguide 110 A and causes excitation of the plasma gas released into the space 101 B by the microwave from the microwave source. It should be noted that the gap between the cover plate 102 and the radiation surface of the radial line slot antenna 110 is filled with air.
  • the radial line slot antenna 110 is formed of a flat disk-like antenna body 110 B connected to an outer waveguide of the coaxial waveguide 110 A and a radiation plate 110 C is provided on the mouth of the antenna body 110 B, wherein the radiation plate 110 C is formed with a number of slots 110 a and slots 110 b wherein slots 110 b are formed in a direction crossing the slots 110 a perpendicularly as represented in FIG. 1B. Further, a retardation plate 110 D of a dielectric film of uniform thickness is inserted between the antenna body 110 B and the radiation plate 110 C.
  • the microwave supplied from the coaxial waveguide 110 spreads between the disk-like antenna body 110 B and the radiation plate 110 C as it is propagated in the outward radial directions, wherein there occurs a compression of wavelength as a result of the action of the retardation plate 110 D.
  • the slots 110 a and 110 b in concentric relationship in correspondence to the wavelength of the radially propagating microwave so as to cross perpendicularly with each other, it becomes possible to emit a plane wave having a circular polarization state in a direction substantially perpendicular to the radiation plate 110 C.
  • a conductor structure 111 in the processing vessel 101 between the shower plate 103 and the substrate 114 wherein the conductor structure 111 is formed with a number of nozzles 113 supplied with a processing gas from an external processing gas source (not shown) via a processing gas passage 112 formed in the processing vessel 101 , and each of the nozzles 113 releases the processing gas supplied thereto into a space 101 C between the conductive structure 111 and the substrate 114 .
  • the conductive structure 111 is formed with openings between adjacent nozzles 113 with a size such that the plasma formed in the space 101 B passes efficiently from the space 101 B to the space 101 C by way of diffusion.
  • An impedance matching structure is generally provided between a microwave antenna and a waveguide connected to the microwave antenna to inject a weak microwave signal received by the microwave antenna into the waveguide without loss.
  • high-power microwaves are provided to the radial line slot antenna 110 through the waveguide, and additionally, reflective microwaves reflected by the plasma formed in the processing vessel 101 are also superimposed on the high-power microwaves in the antenna 110 and the waveguide.
  • the impedance matching of the power supply unit connecting the waveguide and the antenna body 110 is much more important than usual.
  • Another and more specific object of the present invention is to provide a plasma processing apparatus having a microwave antenna, forming plasma in the processing vessel by providing microwaves from the microwave antenna to the processing vessel through the microwave transparent window, and processing the substrate in the plasma, in which the efficiency of supplying microwaves from the microwave waveguide to the microwave antenna is increased, and the abnormal discharge problem due to the mismatching of impedance at the joint unit between the microwave waveguide and the microwave antenna is eliminated.
  • Yet another object of the present invention is to provide a plasma processing apparatus, comprising, a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed, an evacuation system coupled to said processing vessel, a microwave transparent window provided on said processing vessel as a part of said outer wall, and opposite said substrate held on said stage, a plasma gas supplying part for supplying plasma gas to said processing vessel, a microwave antenna provided on said processing vessel in correspondence to said microwave, and a microwave power source electrically coupled to said microwave antenna, wherein said microwave antenna comprising a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and an antenna body provided to a point of said coaxial waveguide, said antenna body further comprising a first conductor surface forming a microwave radiation surface coupled with said microwave transparent window, and a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said microwave
  • Another object of the present invention is to provide a plasma processing apparatus, comprising, a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed, an evacuation system coupled to said processing vessel, a microwave transparent window provided on said processing vessel as a part of said outer wall, opposite said substrate held on said stage, a plasma gas supplying part for supplying plasma gas to said processing vessel, a microwave antenna provided on said processing vessel in correspondence to said microwave, and a microwave power source electrically coupled to said microwave antenna, wherein said microwave antenna comprising a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and an antenna body provided to a point of said coaxial waveguide, said antenna body further comprising a first conductor surface forming microwave a radiation surface coupled with said microwave transparent window and a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said dielectric plate
  • the rapid change in impedance by the joint unit between the microwave waveguide and the microwave antenna is avoided.
  • microwaves reflected by the joint unit are efficiently reduced.
  • the reflective waves are reduced, abnormal discharge at the joint unit and consequent damage on the antenna caused by the abnormal discharge is avoided.
  • the reduction in the reflective waves stabilizes the supply of microwaves to the processing vessel through the microwave transparent window, and makes it possible to form stable plasma in the processing vessel as desired.
  • FIGS. 1A and 1B are diagrams showing the construction of a conventional microwave plasma processing apparatus that uses a radial line slot antenna
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus according to a first embodiment of the present invention
  • FIGS. 3A and 3B are diagrams showing the construction of the joint between a coaxial waveguide and a radial line slot antenna of the apparatus of FIG. 2;
  • FIG. 4 is a graph showing the effect of eliminating reflection by the construction of FIG. 3;
  • FIG. 5 is a graph showing the reflection coefficient measured for the microwave plasma formed in the plasma processing apparatus of FIGS. 2A and 2B using the power supplying structure of FIG. 3;
  • FIG. 6 is a diagram showing the construction of the process gas supplying structure of the microwave plasma processing apparatus shown in FIG. 2A;
  • FIG. 7 is a diagram showing the construction of the microwave power source coupled to the microwave plasma processing apparatus of FIG. 2A;
  • FIG. 8 is a diagram showing the construction of a microwave supplying structure according to a variation of the present embodiment.
  • FIG. 9 is a diagram showing the construction of a microwave supplying structure according to a second embodiment of the present invention.
  • FIG. 10 is a diagram showing a variation of the microwave supplying structure of FIG. 9;
  • FIG. 11 is a diagram showing another variation of the microwave supplying structure of FIG. 9;
  • FIG. 12 is a diagram showing another variation of the microwave supplying structure of FIG. 9;
  • FIG. 13 is a diagram showing yet another variation of the microwave supplying structure of FIG. 9;
  • FIG. 14 is a diagram showing yet another variation of the microwave supplying structure of FIG. 9;
  • FIG. 15 is a diagram showing the construction of microwave plasma processing apparatus according to a third embodiment of the present invention.
  • FIG. 16 is a diagram showing the construction of microwave plasma processing apparatus according to a fourth embodiment of the present invention.
  • FIG. 17 is a diagram showing the construction of microwave plasma processing apparatus according to a fifth embodiment of the present invention.
  • FIG. 18 is a diagram showing the construction of a semiconductor fabrication apparatus according to a sixth embodiment of the present invention, using the microwave plasma processing apparatus of FIGS. 2A and 2B;
  • FIG. 19 is a diagram showing the construction of an exhaustion system of the semiconductor fabrication apparatus of FIGS. 18A and 18B;
  • FIG. 20 is a diagram showing the construction of a screw molecular pump used for the exhaustion system of FIG. 19;
  • FIG. 21 is a diagram showing the construction of a gradational lead screw pump used for the exhaustion system of FIG. 19;
  • FIG. 22 is a diagram showing the construction of a gas supplying system used for the processing unit of FIG. 19.
  • FIG. 23 is a diagram showing the construction of a current control apparatus used for the gas supplying system of FIG. 22.
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus 10 according to a first embodiment of the present invention.
  • the microwave plasma processing apparatus 10 includes a processing vessel 11 and a stage 13 provided in the processing vessel 11 for holding a substrate 12 to be processed by an electrostatic chuck, wherein the stage 13 is preferably formed of AlN or Al 2 O 3 by a hot isostatic pressing (HIP) process.
  • the processing vessel 11 there are formed two or three evacuation ports 11 a in a space 11 A surrounding the stage 13 with an equal distance, and hence with an axial symmetry with respect to the substrate 12 on the stage 13 .
  • the processing vessel 11 is evacuated to a low pressure via the evacuation ports 11 a by a gradational lead screw pump.
  • the processing vessel 11 is preferably formed of an austenite stainless steel containing Al, and there is formed a protective film of aluminum oxide on the inner wall surface by an oxidizing process. Further, there is formed a disk-shaped shower plate 14 of dense Al 2 O 3 , formed by a HIP process, in the part of the outer wall of the processing vessel 11 corresponding to the substrate 12 as a part of the outer wall, wherein the shower plate 14 includes a large number of nozzle apertures 14 A.
  • the Al 2 O 3 shower plate 14 thus formed by the HIP process is formed by using an Y 2 O 3 additive and has porosity of 0.03% or less. This means that the Al 2 O 3 shower plate is substantially free from pores or pinholes and has a very large, while not so large as that of AlN, thermal conductivity for a ceramic of 30W/m ā‡ K.
  • the shower plate 14 is mounted on the processing vessel 11 and sealed thereto via a seal ring 11 s , and a cover plate 15 of dense Al 2 O 3 formed also by an HIP process is provided on the shower plate 14 and sealed thereto via a seal ring lit.
  • the shower plate 14 is formed with a depression 14 B communicating with each of the nozzle apertures 14 A and serving as a plasma gas passage, a side thereof formed by the cover plate 15 .
  • the depression 14 B also communicates with another plasma gas passage 14 C formed in the interior of the shower plate 14 in communication with a plasma gas inlet 11 p formed on the outer wall of the processing vessel 11 .
  • the shower plate 14 is held by an extending part 11 b formed on the inner wall of the processing vessel 11 , wherein the extending part 11 b is formed with a round surface,at the part holding the shower plate 14 so as to suppress electric discharge.
  • plasma gas such as Ar or Kr supplied to the plasma gas inlet 11 p is supplied to a space 11 B underneath the shower plate 14 uniformly via the apertures 14 A after being passed through the passage 14 C and the depression 14 B in the shower plate 14 .
  • a radial line slot antenna 20 formed,of a disk-shaped slot plate 16 formed with a number of slots 16 a and 16 b shown in FIG. 3B in intimate contact with the cover plate 15 , a disk-shaped antenna body 17 holding the slot plate 16 , and a retardation plate 18 of a dielectric material of low loss such as Al 2 O 3 , SiO 2 or Si 3 N 4 sandwiched between the slot plate 16 and the antenna body 17 .
  • the radial line slot antenna 20 is mounted on the processing vessel 11 and sealed thereto by way of a seal ring 11 u , and a microwave of 2.45 GHz or 8.3 GHz frequency is fed to the radial line slot antenna 20 from an external microwave source (not shown) via a coaxial waveguide 21 .
  • the microwave thus supplied is radiated into the interior of the processing vessel from the slots 16 a and 16 b in the slot plate 16 via the cover plate 15 and the shower plate 14 .
  • the microwaves cause excitation of plasma in the plasma gas supplied from the apertures 14 A in the space 11 B underneath the shower plate 14 .
  • the cover plate 15 and the shower plate 14 are formed of Al 2 O 3 and function as an efficient microwave-transmitting window.
  • the plasma gas is held at a pressure of about 6666 Pa-13332 Pa (about 50-100 Torr) in the foregoing passages 14 A- 14 C.
  • the microwave plasma processing apparatus 10 of the present embodiment has a ring-shaped groove 11 g in a part of the processing vessel 11 so as to be adjacent to the slot plate 16 .
  • the pressure in the gap formed between the slot plate 16 and the cover plate 15 is reduced and the radial line slot antenna 20 is urged firmly upon the cover plate 15 by the atmospheric pressure.
  • a gap includes not only the slots 16 a and 16 b formed in the slot plate 16 but also a gap formed for various other reasons. It should be noted further that such a gap is sealed by the seal ring 11 u provided between the radial line slot antenna 20 and the processing vessel 11 .
  • an outer waveguide 21 A of the coaxial waveguide 21 A is connected to the disk-shaped antenna body 17 while a center conductor 21 B is connected to the slot plate 16 via an opening formed in the retardation plate 18 .
  • the microwave fed to the coaxial waveguide 21 A is propagated in the outer radial directions between the antenna body 17 and the slot plate 16 and is emitted from the slots 16 a and 16 b.
  • FIG. 2B shows the slots 16 a and 16 b formed in the slot plate 16 .
  • the slots 16 a are arranged concentrically, and the slots 16 b , each corresponding to a slot 16 a and being perpendicular to the corresponding slot 16 a , are also arranged concentrically.
  • the slots 16 a and 16 b are formed with an interval corresponding to the wavelength of the microwave compressed by the retardation plate 18 in the radial direction of the slot plate 16 , and as a result, the microwave is radiated from the slot plate 16 in the form of a near plane wave. Because the slots 16 a and the slots 16 b are formed in a mutually perpendicular relationship, the microwave thus radiated forms a circularly polarized wave including two perpendicular polarization components.
  • a cooling block 19 formed with a cooling water passage 19 A on the antenna body 17 , and the heat accumulated in the shower plate 14 is absorbed via the radial line slot antenna 20 by cooling the cooling block 19 with cooling water in the cooling water passage 19 A.
  • the cooling water passage 19 A is formed on the cooling block 19 in a spiral form, and cooling water having a controlled oxidation-reduction potential is supplied thereto, wherein the control of the oxidation reduction potential is achieved by eliminating oxygen dissolved in the cooling water by way of bubbling of an H 2 gas.
  • a process gas supply structure 31 in the processing vessel 11 between the shower plate 14 and the substrate 12 on the stage 13 wherein the process gas supply structure 31 has gas passages 31 A arranged in a lattice shape and releases a process gas supplied from a process gas inlet port 11 r provided in the outer wall of the processing vessel 11 through a large number of process gas nozzle apertures 31 B (see FIG. 4).
  • desired uniform substrate processing is achieved in a space 11 C between the process gas supply structure 31 and the substrate 12 .
  • Such substrate processing includes plasma oxidation processing, plasma nitridation processing, plasma oxynitridation processing, and plasma CVD processing.
  • a reactive ion etching of the substrate 12 by supplying a readily decomposing fluorocarbon gas such as C 4 F 8 , C 5 F 8 or C 4 F 6 or an etching-gas containing F or Cl from the process gas supply structure 31 to the space 11 C and further by applying a high-frequency voltage to the stage 13 from a high-frequency power source 13 A.
  • a readily decomposing fluorocarbon gas such as C 4 F 8 , C 5 F 8 or C 4 F 6 or an etching-gas containing F or Cl from the process gas supply structure 31 to the space 11 C and further by applying a high-frequency voltage to the stage 13 from a high-frequency power source 13 A.
  • the microwave plasma processing apparatus 10 of the present embodiment it is possible to avoid deposition of reaction byproducts on the inner wall of the processing vessel by heating the outer wall of the processing vessel 11 to a temperature of about 150Ā° C. Thereby, the microwave plasma processing apparatus 10 can be operated constantly and with reliability, by merely conducting a dry cleaning process once a day or so.
  • a taper unit 21 Bt of the center conductor 21 B is formed at the joint/power supplying unit that connects the coaxial waveguide 21 to the radial line slot antenna 20 , so that the radius or the cross sectional area of the center conductor 21 B gradually increases towards the slot plate 16 .
  • the rapid change in impedance caused by the joint/power supply unit is smoothed by forming such a taper structure, which results in a great reduction of reflective waves caused by the rapid change in impedance.
  • FIG. 3A is an expanded diagram showing in detail the construction of the joint/microwave supplying unit between the coaxial waveguide 21 and the radial line slot antenna 20 of the plasma processing apparatus 10 of FIG. 2A.
  • the slots 16 a and 16 b formed on the slot plate 16 are not shown to simplify the drawing.
  • the inner conductor 21 B has a circular cross section having a diameter of 16.9 mm.
  • a 4 mm-thick alumina plate having a relative permittivity of 10.1 is formed between the slot plate 16 and the antenna body 17 as the retardation plate 18 .
  • the outer waveguide 21 A defines a cylindrical space having a circular cross section having an inner diameter of 38.8 mm in which the inner conductor 21 B is provided.
  • the cross sectional area of the inner conductor 21 B is gradually increased from 7 mm above the joint between the inner conductor 21 B and the slot plate 16 to the joint.
  • the inner conductor 21 B has a circular cross section of a diameter of 23 mm at the joint.
  • FIG. 4 shows the reflective ratio of microwave provided to the antenna 20 through the waveguide 21 in the case where the radial line slot antenna 20 and the waveguide 21 are used as shown in FIG. 3A, and the parameter ā€œaā€ shown in FIG. 3A is set at 6.4 mm.
  • the reflective ratio is indicated by ā€œā€¢ā€.
  • ā€œ*ā€ shown in FIG. 4 indicates a reflective ratio of the construction shown in FIG. 3B to which the taper units 21 At and 21 Bt are not provided.
  • the reflective microwave includes not only the microwave reflected by the joint/supplying unit between the waveguide 21 the radial line antenna 20 , but also the microwave reflected by the plasma.
  • the reflective ratio is about ā‡ 2 dB regardless of a frequency, which means about 80% of the microwave is reflectively returned to the waveguide 21 and the microwave source connected to the waveguide 21 .
  • the reflective ratio depends on the frequency of the microwave.
  • the reflective ratio becomes the minimum ā‡ 23 dB (about 14%) in the neighborhood of 2.4 GHz at which the plasma is excited.
  • FIG. 5 shows a microwave reflection factor measured by a power monitor provided between the waveguide 21 and the microwave source in the case of the antenna construction shown in FIG. 3A under the following condition: the inner pressure in the processing vessel being set at 133 Pa (about 1 Torr), Ar and 0 2 being supplied from the shower plate 14 at a flux of 690 SCCM and 23 SCCM, respectively, and microwaves of a frequency 2.45 GHz and a power of 1.6 kW is supplied from the waveguide 21 to the radial line slot antenna 20 .
  • the reflective factor includes not only the reflection of microwave by the joint between the waveguide 21 and the antenna 20 , but also the reflection by the plasma formed under the shower plate 14 in the processing vessel 11 .
  • the reflective ratio is about 80% (the factor of reflection ā‡ 0.8), but in the case of the joint construction of FIG. 3A, the reflective ratio is reduced to about 30% (the factor of reflection ā‡ 0.3) and substantially constant. Since the reflection ratio at the joint unit between the coaxial waveguide 21 and the radial line antenna 20 is about 14% as shown in FIG. 4, the reflective ratio of about 30% as shown in FIG. 5 includes the reflection by the plasma.
  • FIG. 6 is a bottom view showing the construction of the process gas supply structure 31 of FIG. 2A.
  • the process gas supply structure 31 is formed by a conductive body such as an Al alloy containing Mg or a stainless steel added with Al.
  • the lattice shaped gas passage 31 A is connected to the process gas inlet port 11 r at a process gas supply port 31 R and releases the process gas uniformly into the foregoing space 11 C from the process gas nozzle apertures 31 B formed at the bottom surface. Further, openings 31 C are formed in the process gas supply structure 31 between the adjacent process gas passages 31 A for passing the plasma or the process gas contained in the plasma therethrough.
  • the process gas supply structure 31 is formed of an Al alloy containing Mg, it is preferable to form a fluoride film on the surface thereof.
  • the process gas supplying structure 31 is formed of a stainless steel added with Al, it is preferable to form a passivation film of aluminum oxide on the surface thereof.
  • the energy of incident plasma is low because of the low electron temperature of the excited plasma, and the problem of metal contamination of the substrate 12 by the sputtering of the process gas supply structure 31 is avoided.
  • the lattice shaped process gas passages 31 A and the process gas nozzle apertures 31 B are formed so as to encompass an area slightly larger than the substrate 12 represented in FIG. 4 by a broken line.
  • the process gas supply structure 31 can form a shunting plane of the microwaves by setting the interval between the lattice shaped process gas passages 31 A shorter than the microwave wavelength.
  • the microwave excitation.of plasma takes place only in the space 11 B, and there occurs excitation of the process gas in the space 11 C including the surface of the substrate 12 by the plasma that has caused diffusion from the excitation space 11 B.
  • such a construction can prevent the substrate from being exposed directly to the microwave at the time of ignition of the plasma, and thus, damaging of the substrate by the microwave is avoided.
  • the supply of the process gas is controlled uniformly by the process gas supply structure 31 , and the problem of excessive dissociation of the process gas on the surface of the substrate 12 is eliminated.
  • the microwave plasma processing apparatus 10 is effective for fabricating various semiconductor devices of different generations characterized by different design rules.
  • FIG. 7 shows the schematic construction of the microwave source connected to the coaxial waveguide 21 of FIG. 2A.
  • the coaxial waveguide is connected to an edge of the waveguide extending from an oscillation part 25 including therein a magnetron 25 A oscillating at the frequency of 2.45 GHz or 8.3 GHz via an isolator 24 , a power monitor 23 and a tuner 22 in this order.
  • the microwave formed by the oscillator 25 is supplied to the radial line slot antenna 20 , and the microwave reflected back from the high-density plasma formed in the plasma processing apparatus 10 is returned again to the radial line slot antenna 20 after conducting an impedance adjustment by the tuner 22 .
  • the isolator 24 is an element having directivity and functions so as to protect the magnetron 25 A in the oscillation part 25 from the reflection wave.
  • the rapid change in impedance caused by the joint is reduced by forming the taper units 21 At and 21 Bt at the joint, or the power supplying unit, between the coaxial waveguide 21 and the radial line slot antenna 20 .
  • the reflection of microwaves caused by the rapid change in impedance is suppressed, which makes the supplying of microwaves from the coaxial waveguide 21 to the antenna 20 stable.
  • the distance between the shower plate 14 exposed to the heat caused by the plasma and the cooling unit is reduced substantially, compared with the conventional microwave plasma processing apparatus of FIGS. 1A and 1B.
  • a material such as Al 2 O 3 having a small dielectric loss and also a small thermal conductivity for the microwave transmission window in place of AlN, which is characterized by large dielectric loss.
  • the efficiency of plasma processing and hence the processing rate are improved while simultaneously suppressing the temperature rise of the shower plate.
  • the gas including the reaction byproduct formed in the space 11 C as a result of the substrate processing forms a stable gas flow to the space 11 A at the outer surrounding area because of the reduced distance between the shower plate 14 and the substrate 12 facing the shower plate 14 , and the byproduct is removed from the space 11 C quickly.
  • the temperature of the outer wall of the processing vessel 11 to be about 150Ā° C., it becomes possible to substantially eliminate the deposition of the reaction byproduct on the inner wall of the processing vessel 11 , and the processing apparatus 10 quickly becomes ready for the next process.
  • FIG. 9 shows the construction of the joint/supplying unit between the coaxial waveguide 21 and the radial line antenna 20 according to a second embodiment of the present invention.
  • portions previously described are referred to by the same reference numerals, and their description will be omitted.
  • the outer waveguide 21 A constructing the coaxial waveguide 21 and the body 17 of the radial line antenna 20 are connected perpendicularly to each other forming the joint/supplying unit that is perpendicularly bent.
  • the inner conductor 21 B is also connected to the slot plate 16 perpendicularly.
  • the retardation plate 18 is made of Al 2 O 3 having a high relative permittivity, and a ring-shaped member 18 A made of SiO 2 , for example, is formed between the outer waveguide 21 A and the inner conductor 21 B so that an end of the member 18 A contacts the retardation plate 18 .
  • the impedance changes stepwise, and the reflective waves are reduced.
  • the length of the member 18 A can be optimized based on the property of the antenna structure of the coaxial waveguide 21 and the antenna 20 .
  • the second edge face opposing the first edge face in contact with the retardation plate 18 is exposed to air.
  • the ring-shaped member 18 A may be made of sintered mixture of SiO 2 and Si 3 N 4 having different permittivity, and the mixture ratio of SiO 2 and Si 3 N 4 in the ring-shaped member 18 A may be controlled so that the permittivity continuously increases from the first edge face to the second edge face.
  • FIG. 12 shows the construction of the joint unit between the coaxial waveguide 21 and the radial line antenna 20 according to another variation of the present embodiment.
  • portions previously described are referred to by the same reference numeral, and their description will be omitted.
  • the second edge face of the ring-shaped member 18 A is considered to be a taper surface, and the thickness of the ring-shaped member 18 A is linearly increased toward the retardation plate 18 .
  • the impedance of the joint/supplying unit increases continuously toward the retardation plate 18 , and reflection caused by the rapid change in impedance is reduced, which results in an efficient and stable supply of microwaves.
  • the ring-shaped member 18 A may be coupled with the construction of FIG. 3A having taper surfaces 21 At and 21 Bt.
  • the ring-shaped member 18 A is not limited to that of FIG. 9, but may be any construction of FIGS. 9 through 13.
  • FIG. 15 is a diagram showing the construction of a plasma processing apparatus 10 A according to a third embodiment of the present invention.
  • the parts described earlier are referred to by the same reference numerals, and their description is omitted.
  • the shower plate 14 is removed, and a plurality of plasma gas inlets 11 P are formed, preferably in symmetry, in communication with the gas passage lip in the processing vessel 11 .
  • the construction is simplified, and the fabrication cost can be reduced substantially.
  • the reflection of microwaves is reduced by forming the taper surfaces 21 At and 21 Bt in the joint/supplying unit between the radial line slot antenna 20 and the coaxial waveguide 21 , which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation.
  • the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • FIG. 16 is a diagram showing the construction of a microwave plasma processing apparatus 10 B according to a fourth embodiment of the present invention.
  • parts that have been previously described are referred to by the same numerals, and their description will be omitted.
  • the process gas supply structure 31 is removed. Additionally, the entire face of the extending part 11 b holding the shower plate 14 is rounded out.
  • the plasma processing apparatus 10 B thus constructed cannot perform film-forming or etching by supplying a process gas besides the plasma gas since the lower shower plate 31 is removed.
  • the plasma processing apparatus 10 B can form an oxidized layer, a nitrified layer, or an oxidized-nitrified layer by supplying an oxidizing gas or a nitrifying gas from the shower plate 14 together with the plasma gas.
  • the reflection of microwaves is reduced by forming the taper surfaces 21 At and 21 Bt in the joint/supplying unit between the radial line slot antenna 20 and the coaxial waveguide 21 , which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation.
  • the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • the joint/supplying structure according to the present invention is not limited to the plasma processing apparatus 10 of FIG. 2A or its variation, and is applicable to the plasma processing apparatus 100 using a conventional radial line slot antenna previously described by referring to FIGS. 1A and 1B.
  • FIG. 17 shows the construction of a plasma processing apparatus 100 A according to a fifth embodiment of the present invention using the joint/supplying structure of the present invention.
  • the parts previously described are referred to by the same numerals, and their description will be omitted.
  • the plasma processing apparatus 100 A has substantially the same construction as the conventional plasma processing apparatus 100 , but is different in that the plasma processing apparatus 100 A includes taper surfaces similar to the taper surfaces 21 At and 21 Bt in the joint unit between the coaxial waveguide 110 A and the radial slot antenna body 110 B or the slot plate 110 D.
  • the reflection of microwaves is reduced by forming the taper surfaces in the joint/supplying unit between the coaxial waveguide 110 A and the radial line slot antenna, which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation.
  • the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • FIG. 18 is a cross sectional view showing the entire construction of a semiconductor fabrication apparatus 40 according to a sixth embodiment,of the present invention including the microwave plasma processing apparatus 10 of FIGS. 2A and 2B.
  • the semiconductor fabrication apparatus 40 includes a vacuum transfer room 401 provided with a robot 405 having a transportation arm 415 , and the microwave plasma processing apparatus 10 is formed on the top face of the vacuum transfer room 401 .
  • the stage 13 can be moved up and down by a cylinder 406 covered by a bellows 410 .
  • the substrate 12 is set or taken out by the transportation arm 415 .
  • the substrate 12 is shut off from the vacuum transfer room 401 by a seal 410 A and processed as desired.
  • a load lock room 402 having a stage 418 to hold a stack of substrates is provided at another position on the upper side of the vacuum transfer room 401 .
  • the load rock room 402 is shut off from the vacuum transfer room 401 by a seal 417 .
  • the substrate stack 404 descends to the vacuum transfer room 401 , and the transportation arm 415 picks up a substrate from the substrate stack 404 or returns a processed substrate thereto.
  • FIG. 19 shows the construction of an exhaustion system of the process unit A.
  • each exhaustion port 11 a of the processing vessel 11 is connected to a duct D 1 , and a gas in the processing vessel 11 is exhausted by screw molecular pumps P 1 and P 2 , each having a construction as shown in FIGS. 14A and 14B, provided in the duct D 1 .
  • the screw molecular pumps P 1 and P 2 are connected, at their exhaustion side, to an exhaustion line D 2 commonly provided to the other processing units B and C of the semiconductor fabrication apparatus 40 .
  • the exhaustion line D 2 is connected to an exhaustion line D 3 commonly provided to the other semiconductor fabrication apparatuses via an intermediate booster pump P 3 .
  • FIG. 20A shows the construction of the screw molecular pumps P 1 and P 2 .
  • the screw molecular pump has a cylindrical body 51 and a pump inlet at an end part of the body 51 and a pump outlet on the sidewall of the body 51 near the bottom part.
  • a rotor 52 shown in FIG. 20B In the body 51 , there is provided a rotor 52 shown in FIG. 20B, and a gradational lead screw 52 A is formed on the rotor 52 .
  • the gradational lead screw 52 A has a construction in which there is a large pitch formed at the pump inlet part and the pitch is decreased toward the outlet. Associated with this, the lead angle of the screw is decreased gradually from the inlet side toward the outlet side. Further, the volume of the pump chamber is decreased gradually from the inlet side toward the outlet side.
  • the screw molecular pump of FIG. 20A includes a motor 53 provided in the rotor 52 , an angle detector 54 detecting the angular position of the rotor 52 and a magnet 55 cooperating with the angle detector 54 , wherein the rotor 52 is urged toward the outlet side by an electromagnet mechanism 56 .
  • Such a screw molecular pump has a simple construction and is operable over a wide pressure range from the atmospheric pressure to several millitorrs with small electric power consumption. Further, the screw pump can obtain a pumping speed reaching 320 mL/min, which is larger than the pumping speed of conventional turbo molecular pumps.
  • FIG. 21 shows the construction of a gradational lead screw pump (GLSP) 60 used for the intermediate booster pump P 3 for evacuating the screw pumps P 1 and P 2 in the construction of FIG. 19.
  • GLSP gradational lead screw pump
  • the gradational lead screw pump includes, in a pump body 61 having an inlet 61 A at an end and outlets 63 A and 63 B at another end, a pair of screw rotors 62 A and 62 B each changing a screw pitch thereof gradually from an inlet side to an outlet side as shown in FIG. 20B, in a meshing relationship of the screws, wherein the rotors 62 A and 62 B are driven by a motor 64 via gears 63 A and 63 B.
  • the gradational lead screw pump 60 of such a construction is operable over a wide pressure range from ordinary pressure to a low pressure of as much as 10 ā‡ 4 Torr, and can achieve a flow rate reaching 2,500 L/min.
  • the back pump P 4 can operate at the most efficient pressure range by exhausting the exhausted gas from the other semiconductor fabrication apparatus, which results in a substantially reduced power consumption.
  • FIG. 22 shows the construction of the gas supplying system cooperating with each of the processing units A-C in the semiconductor fabrication apparatus 40 of FIG. 18.
  • the semiconductor fabrication apparatus 40 avoids deposition of reaction byproduct formed associated with the substrate processing on the processing vessel 11 of the microwave plasma processing apparatus 10 by maintaining the processing vessel 11 at a temperature of about 150Ā° C.
  • the processing unit of FIG. 19 has a feature that the memory or hysteresis of the preceding processing can be erased completely without conducting a specific cleaning process.
  • one or two gases selected fro N 2 , Kr, Ar, H 2 , NF 3 , C 4 F 8 , CHF 3 , O 2 , CO, HBr, SiCl 4 and the like are supplied to the plasma gas inlet port lip provided on the processing vessel 11 in communication with the shower plate 14 through the first and/or second flow rate control apparatuses FCS 1 and FCS 2 , and one or more gases selected from N 2 , Kr, Ar, H 2 , NF 3 , C 4 F 8 , CHF 3 , O 2 , CO, HBr, SiCl 4 and the like, are supplied to the process gas inlet port 11 r communicating with the process gas supply structure 30 via the third through seventh flow rate control apparatuses FCS 3 -FCS 7 .
  • the semiconductor fabrication apparatus 40 it is noted that not only the plasma processing apparatus 10 but also the plasma processing apparatuses according to the modifications thereof, or the plasma processing apparatuses 10 A and 10 B according to other embodiments can also be used.
  • the rapid change in impedance caused by the joint between the coaxial waveguide providing microwaves and the microwave antenna radiating the microwaves in the processing vessel of the plasma processing apparatus is reduced.
  • the reflection of microwaves caused by the rapid change in impedance is suppressed, which results in forming stable microwave plasma in the processing vessel.

Abstract

In a microwave plasma processing apparatus, the reflection of microwave by the joint unit between the microwave supplying waveguide and the microwave antenna is reduced by providing a taper surface or a member having a medium permittivity between the microwave supplying waveguide and the microwave antenna so as to moderate an impedance change. Accordingly, the efficiency of power supplying is improved, and reduced discharge ensures stable formation of plasma.

Description

    TECHNICAL FIELD
  • The present invention is generally related to a plasma processing apparatus, and more particularly, to a microwave plasma processing apparatus. [0001]
  • Plasma processing and plasma processing apparatuses are an indispensable technology for fabricating ultrafine semiconductor devices these days called deep submicron devices or deep subquarter micron devices characterized by a gate length of near 0.1 Ī¼m or less, and for fabricating ultra high-resolution flat-panel display devices including liquid crystal display devices. [0002]
  • Conventionally, various plasma excitation methods have been used in plasma processing apparatuses used for fabrication of semiconductor devices and liquid crystal display devices. Particularly, a parallel-plate type high-frequency excitation plasma processing apparatus or an induction-coupled plasma processing apparatus are commonly used. However, such conventional plasma processing apparatuses have a drawback of non-uniform plasma formation in that the region of high electron density is limited, and it has been difficult to conduct a uniform process over the entire substrate surface with a high processing rate, and hence with high throughput. This problem becomes particularly acute when processing a large diameter substrate. Further, such a conventional plasma processing device has several inherent problems associated with its high electron temperature, in that the semiconductor devices formed on the substrate sustain damage and that significant metal contamination is caused as a result of sputtering of a chamber wall. Thus, there are increasing difficulties for such conventional plasma processing apparatuses to meet the stringent demand of further device miniaturization and further improvement of productivity in manufacturing semiconductor devices and liquid crystal display devices. [0003]
  • Meanwhile, there are proposals of a microwave plasma processing apparatus that uses high-density plasma excited by a microwave electric field, in place of a direct-current magnetic field. For example, there is a proposal of a plasma processing apparatus that causes excitation of plasma by radiating a microwave into a processing vessel from a planar antenna (radial line slot antenna) having a number of slots disposed so as to form a uniform microwave, such that the microwave electric field causes ionization of a gas in a vacuum vessel. (See for example Japanese Laid-Open Patent Application 9-63793). In the microwave plasma thus excited, it is possible to realize a high plasma density over a wide area right underneath the antenna, and it becomes possible to conduct uniform plasma processing in a short duration. The microwave plasma thus formed is characterized by low electron temperature, and damaging or metal contamination of the substrate is avoided. Further, it is possible to form uniform plasma over a large surface area, and it can be easily applied to the fabrication process of a semiconductor device using a large diameter semiconductor substrate and a large size liquid crystal display device. [0004]
  • BACKGROUND ART
  • FIGS. 1A and 1B show the construction of a conventional microwave plasma processing apparatus [0005] 100 having such a radial line slot antenna, wherein FIG. 1A shows the microwave plasma processing apparatus in a cross-sectional view while FIG. 1B shows the construction of the radial line slot antenna.
  • Referring to FIG. 1A, the microwave plasma processing apparatus [0006] 100 has a processing chamber 101 evacuated from plural evacuation ports 116, and a stage 115 is formed for holding a substrate 114 to be processed. In order to realize uniform evacuation in the processing chamber 101, a ring-shaped space 101A is formed around the stage 115, and the plural evacuation ports 116 are formed in communication with the foregoing space 101A at a uniform interval, and hence in axial symmetry with regard to the substrate. Thereby, it becomes possible to evacuate the processing chamber 101 uniformly through the space 101A and the evacuation ports 116.
  • On the processing chamber [0007] 101, there is formed a shower plate 103 of plate-like form at the location corresponding to the substrate 114 on the stage 115 as a part of the outer wall of the processing chamber 101, and the shower plate 103 is sealed with respect to the processing chamber 101 via a seal ring 109, wherein the shower plate 103 is formed of a dielectric material of small loss and includes a large number of apertures 107. Further, a cover plate 102 also of a dielectric material of small loss is provided on the outer side of the shower plate 103, and the cover plate 102 is sealed with respect to the shower plate 103 via another seal ring 108.
  • The shower plate [0008] 103 is formed with a passage 104 for a plasma gas on the top surface thereof, and each of the plural apertures 107 are formed in communication with the foregoing plasma gas passage 104. Further, there is formed a plasma gas supply passage 108 in the interior of the shower plate 103 in communication with a plasma gas supply port 105 provided on the outer wall of the processing vessel 101. Thus, the plasma gas of Ar, Kr or the like supplied to the foregoing plasma gas supply port 105 is supplied to the foregoing apertures 107 from the supply passage 108 via the passage 104 and is released into a space 101B underneath the shower plate 103 in the processing vessel 101 from the apertures 107 with substantially uniform concentration.
  • On the processing vessel [0009] 101, there is provided a radial line slot antenna 110 having a radiation surface shown in FIG. 1B on the outer side of the cover plate 102 with a separation of 4-5 mm from the cover plate 102. The radial line slot antenna 110 is connected to an external microwave source (not shown) via a coaxial waveguide 110A and causes excitation of the plasma gas released into the space 101B by the microwave from the microwave source. It should be noted that the gap between the cover plate 102 and the radiation surface of the radial line slot antenna 110 is filled with air.
  • The radial line slot antenna [0010] 110 is formed of a flat disk-like antenna body 110B connected to an outer waveguide of the coaxial waveguide 110A and a radiation plate 110C is provided on the mouth of the antenna body 110B, wherein the radiation plate 110C is formed with a number of slots 110 a and slots 110 b wherein slots 110 b are formed in a direction crossing the slots 110 a perpendicularly as represented in FIG. 1B. Further, a retardation plate 110D of a dielectric film of uniform thickness is inserted between the antenna body 110B and the radiation plate 110C.
  • In the radial line slot antenna [0011] 110 of such a construction, the microwave supplied from the coaxial waveguide 110 spreads between the disk-like antenna body 110B and the radiation plate 110C as it is propagated in the outward radial directions, wherein there occurs a compression of wavelength as a result of the action of the retardation plate 110D. Thus, by forming the slots 110 a and 110 b in concentric relationship in correspondence to the wavelength of the radially propagating microwave so as to cross perpendicularly with each other, it becomes possible to emit a plane wave having a circular polarization state in a direction substantially perpendicular to the radiation plate 110C.
  • By using such a radial line slot antenna [0012] 110, uniform plasma is formed in the space 101B underneath the shower plate 103. The high-density plasma thus formed is characterized by a low electron temperature and thus no damage is caused to the substrate 114 and no metal contamination occurs due to sputtering of the vessel wall of the processing vessel 101.
  • In the plasma processing apparatus of FIG. 1, it should further be noted that there is provided a conductor structure [0013] 111 in the processing vessel 101 between the shower plate 103 and the substrate 114, wherein the conductor structure 111 is formed with a number of nozzles 113 supplied with a processing gas from an external processing gas source (not shown) via a processing gas passage 112 formed in the processing vessel 101, and each of the nozzles 113 releases the processing gas supplied thereto into a space 101C between the conductive structure 111 and the substrate 114. It should be noted that the conductive structure 111 is formed with openings between adjacent nozzles 113 with a size such that the plasma formed in the space 101B passes efficiently from the space 101B to the space 101C by way of diffusion.
  • Thus, in the case wherein a processing gas is released into the space [0014] 101C from the conductive structure 111 via the nozzles 113, the processing gas is excited by the high-density plasma formed in the space 101B and uniform plasma processing is conducted on the substrate 114 efficiently and at a high rate, without damaging the substrate or the devices on the substrate, and without contaminating the substrate. Further, it should be noted that the microwaves emitted from the radial line slot antenna 110 are blocked by the conductive structure 111 and there is no possibility of such microwaves causing damage to the substrate 114.
  • By the way, it is necessary in the case of the plasma processing apparatus [0015] 100 to efficiently supply high-power microwaves formed by a microwave source (not shown) to the radial line slot antenna 110.
  • An impedance matching structure is generally provided between a microwave antenna and a waveguide connected to the microwave antenna to inject a weak microwave signal received by the microwave antenna into the waveguide without loss. Meanwhile, in the case of the plasma processing apparatus [0016] 100 of FIG. 1, high-power microwaves are provided to the radial line slot antenna 110 through the waveguide, and additionally, reflective microwaves reflected by the plasma formed in the processing vessel 101 are also superimposed on the high-power microwaves in the antenna 110 and the waveguide. There is a possibility of abnormal discharge being caused in the radial line slot antenna 110 and the coaxial waveguide due to inappropriate impedance matching between the antenna body 110 and the waveguide. Accordingly, the impedance matching of the power supply unit connecting the waveguide and the antenna body 110 is much more important than usual.
  • DISCLOSURE OF THE INVENTION
  • Accordingly, it is an object of the present invention to provide a novel and useful plasma processing apparatus wherein the foregoing problems are eliminated. [0017]
  • Another and more specific object of the present invention is to provide a plasma processing apparatus having a microwave antenna, forming plasma in the processing vessel by providing microwaves from the microwave antenna to the processing vessel through the microwave transparent window, and processing the substrate in the plasma, in which the efficiency of supplying microwaves from the microwave waveguide to the microwave antenna is increased, and the abnormal discharge problem due to the mismatching of impedance at the joint unit between the microwave waveguide and the microwave antenna is eliminated. [0018]
  • Yet another object of the present invention is to provide a plasma processing apparatus, comprising, a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed, an evacuation system coupled to said processing vessel, a microwave transparent window provided on said processing vessel as a part of said outer wall, and opposite said substrate held on said stage, a plasma gas supplying part for supplying plasma gas to said processing vessel, a microwave antenna provided on said processing vessel in correspondence to said microwave, and a microwave power source electrically coupled to said microwave antenna, wherein said microwave antenna comprising a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and an antenna body provided to a point of said coaxial waveguide, said antenna body further comprising a first conductor surface forming a microwave radiation surface coupled with said microwave transparent window, and a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said dielectric plate, said inner conductor core is connected to said first conductor surface by a first joint unit, said outer conductor tube is connected to said second conductor surface by a second joint unit, said first joint unit forms a first taper unit in which an outer diameter of said inner conductor core increases toward said first conductor surface, and said second joint unit forms a second taper unit in which an inner diameter of said outer conductor tube increases toward said first conductor surface. [0019]
  • Another object of the present invention is to provide a plasma processing apparatus, comprising, a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed, an evacuation system coupled to said processing vessel, a microwave transparent window provided on said processing vessel as a part of said outer wall, opposite said substrate held on said stage, a plasma gas supplying part for supplying plasma gas to said processing vessel, a microwave antenna provided on said processing vessel in correspondence to said microwave, and a microwave power source electrically coupled to said microwave antenna, wherein said microwave antenna comprising a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and an antenna body provided to a point of said coaxial waveguide, said antenna body further comprising a first conductor surface forming microwave a radiation surface coupled with said microwave transparent window and a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said dielectric plate, said inner conductor core is connected to said first conductor surface by a first joint unit, said outer conductor tube is connected to said second conductor surface by a second joint unit, a dielectric member is provided in a space between said inner conductor core and said outer conductor tube, defined by a first edge face and a second edge face opposing said first edge face, said first edge face being adjacent to said dielectric plate, a permittivity of said dielectric member being lower than a permittivity of said dielectric plate and higher than a permittivity of air. [0020]
  • According to the present invention, the rapid change in impedance by the joint unit between the microwave waveguide and the microwave antenna is avoided. As a result, microwaves reflected by the joint unit are efficiently reduced. As the reflective waves are reduced, abnormal discharge at the joint unit and consequent damage on the antenna caused by the abnormal discharge is avoided. Additionally, the reduction in the reflective waves stabilizes the supply of microwaves to the processing vessel through the microwave transparent window, and makes it possible to form stable plasma in the processing vessel as desired. [0021]
  • Other features and advantages of the present invention will become more apparent from the following best mode for implementing the invention when read in conjunction with the accompanying drawings.[0022]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are diagrams showing the construction of a conventional microwave plasma processing apparatus that uses a radial line slot antenna; [0023]
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus according to a first embodiment of the present invention; [0024]
  • FIGS. 3A and 3B are diagrams showing the construction of the joint between a coaxial waveguide and a radial line slot antenna of the apparatus of FIG. 2; [0025]
  • FIG. 4 is a graph showing the effect of eliminating reflection by the construction of FIG. 3; [0026]
  • FIG. 5 is a graph showing the reflection coefficient measured for the microwave plasma formed in the plasma processing apparatus of FIGS. 2A and 2B using the power supplying structure of FIG. 3; [0027]
  • FIG. 6 is a diagram showing the construction of the process gas supplying structure of the microwave plasma processing apparatus shown in FIG. 2A; [0028]
  • FIG. 7 is a diagram showing the construction of the microwave power source coupled to the microwave plasma processing apparatus of FIG. 2A; [0029]
  • FIG. 8 is a diagram showing the construction of a microwave supplying structure according to a variation of the present embodiment; [0030]
  • FIG. 9 is a diagram showing the construction of a microwave supplying structure according to a second embodiment of the present invention; [0031]
  • FIG. 10 is a diagram showing a variation of the microwave supplying structure of FIG. 9; [0032]
  • FIG. 11 is a diagram showing another variation of the microwave supplying structure of FIG. 9; [0033]
  • FIG. 12 is a diagram showing another variation of the microwave supplying structure of FIG. 9; [0034]
  • FIG. 13 is a diagram showing yet another variation of the microwave supplying structure of FIG. 9; [0035]
  • FIG. 14 is a diagram showing yet another variation of the microwave supplying structure of FIG. 9; [0036]
  • FIG. 15 is a diagram showing the construction of microwave plasma processing apparatus according to a third embodiment of the present invention; [0037]
  • FIG. 16 is a diagram showing the construction of microwave plasma processing apparatus according to a fourth embodiment of the present invention; [0038]
  • FIG. 17 is a diagram showing the construction of microwave plasma processing apparatus according to a fifth embodiment of the present invention; [0039]
  • FIG. 18 is a diagram showing the construction of a semiconductor fabrication apparatus according to a sixth embodiment of the present invention, using the microwave plasma processing apparatus of FIGS. 2A and 2B; [0040]
  • FIG. 19 is a diagram showing the construction of an exhaustion system of the semiconductor fabrication apparatus of FIGS. 18A and 18B; [0041]
  • FIG. 20 is a diagram showing the construction of a screw molecular pump used for the exhaustion system of FIG. 19; [0042]
  • FIG. 21 is a diagram showing the construction of a gradational lead screw pump used for the exhaustion system of FIG. 19; [0043]
  • FIG. 22 is a diagram showing the construction of a gas supplying system used for the processing unit of FIG. 19; and [0044]
  • FIG. 23 is a diagram showing the construction of a current control apparatus used for the gas supplying system of FIG. 22.[0045]
  • BEST MODE FOR IMPLEMENTING THE INVENTION
  • Preferred embodiments of the present invention will be described below. [0046]
  • [First Embodiment][0047]
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus [0048] 10 according to a first embodiment of the present invention.
  • Referring to FIG. 2A, the microwave plasma processing apparatus [0049] 10 includes a processing vessel 11 and a stage 13 provided in the processing vessel 11 for holding a substrate 12 to be processed by an electrostatic chuck, wherein the stage 13 is preferably formed of AlN or Al2O3 by a hot isostatic pressing (HIP) process. In the processing vessel 11, there are formed two or three evacuation ports 11 a in a space 11A surrounding the stage 13 with an equal distance, and hence with an axial symmetry with respect to the substrate 12 on the stage 13. The processing vessel 11 is evacuated to a low pressure via the evacuation ports 11 a by a gradational lead screw pump.
  • The processing vessel [0050] 11 is preferably formed of an austenite stainless steel containing Al, and there is formed a protective film of aluminum oxide on the inner wall surface by an oxidizing process. Further, there is formed a disk-shaped shower plate 14 of dense Al2O3, formed by a HIP process, in the part of the outer wall of the processing vessel 11 corresponding to the substrate 12 as a part of the outer wall, wherein the shower plate 14 includes a large number of nozzle apertures 14A. The Al2O3 shower plate 14 thus formed by the HIP process is formed by using an Y2O3 additive and has porosity of 0.03% or less. This means that the Al2O3 shower plate is substantially free from pores or pinholes and has a very large, while not so large as that of AlN, thermal conductivity for a ceramic of 30W/mĀ·K.
  • The shower plate [0051] 14 is mounted on the processing vessel 11 and sealed thereto via a seal ring 11 s, and a cover plate 15 of dense Al2O3 formed also by an HIP process is provided on the shower plate 14 and sealed thereto via a seal ring lit. The shower plate 14 is formed with a depression 14B communicating with each of the nozzle apertures 14A and serving as a plasma gas passage, a side thereof formed by the cover plate 15. The depression 14B also communicates with another plasma gas passage 14C formed in the interior of the shower plate 14 in communication with a plasma gas inlet 11 p formed on the outer wall of the processing vessel 11.
  • The shower plate [0052] 14 is held by an extending part 11 b formed on the inner wall of the processing vessel 11, wherein the extending part 11 b is formed with a round surface,at the part holding the shower plate 14 so as to suppress electric discharge.
  • Thus, plasma gas such as Ar or Kr supplied to the plasma gas inlet [0053] 11 p is supplied to a space 11B underneath the shower plate 14 uniformly via the apertures 14A after being passed through the passage 14C and the depression 14B in the shower plate 14.
  • On the cover plate [0054] 15, there is provided a radial line slot antenna 20 formed,of a disk-shaped slot plate 16 formed with a number of slots 16 a and 16 b shown in FIG. 3B in intimate contact with the cover plate 15, a disk-shaped antenna body 17 holding the slot plate 16, and a retardation plate 18 of a dielectric material of low loss such as Al2O3, SiO2 or Si3N4 sandwiched between the slot plate 16 and the antenna body 17. The radial line slot antenna 20 is mounted on the processing vessel 11 and sealed thereto by way of a seal ring 11 u, and a microwave of 2.45 GHz or 8.3 GHz frequency is fed to the radial line slot antenna 20 from an external microwave source (not shown) via a coaxial waveguide 21. The microwave thus supplied is radiated into the interior of the processing vessel from the slots 16 a and 16 b in the slot plate 16 via the cover plate 15 and the shower plate 14. Thereby, the microwaves cause excitation of plasma in the plasma gas supplied from the apertures 14A in the space 11B underneath the shower plate 14. It should be noted that the cover plate 15 and the shower plate 14 are formed of Al2O3 and function as an efficient microwave-transmitting window. In order to avoid plasma excitation in the plasma gas passages 14A-14C, the plasma gas is held at a pressure of about 6666 Pa-13332 Pa (about 50-100 Torr) in the foregoing passages 14A-14C.
  • In order to improve intimate contact between the radial line slot antenna [0055] 20 and the cover plate 15, the microwave plasma processing apparatus 10 of the present embodiment has a ring-shaped groove 11 g in a part of the processing vessel 11 so as to be adjacent to the slot plate 16. By evacuating the groove 11 g via an evacuation port 11G communicating therewith, the pressure in the gap formed between the slot plate 16 and the cover plate 15 is reduced and the radial line slot antenna 20 is urged firmly upon the cover plate 15 by the atmospheric pressure. It is noted that such a gap includes not only the slots 16 a and 16 b formed in the slot plate 16 but also a gap formed for various other reasons. It should be noted further that such a gap is sealed by the seal ring 11 u provided between the radial line slot antenna 20 and the processing vessel 11.
  • By filling the gap between the slot plate [0056] 16 and the cover plate 15 with an inert gas of small molecular weight via the evacuation port 11G and the groove 11 g, heat transfer from the cover plate 15 to the slot plate 16 is facilitated. It is preferable to use He for such an inert gas in view of large thermal conductivity and large ionization energy. In the case wherein the gap is filled with He, it is preferable to set the pressure to about 0.8 a tm. In the construction of FIG. 3, there is provided a valve 11V on the evacuation port 11G for the evacuation of the groove 15 g and filling of the inert gas into the groove 15 g.
  • It is noted that an outer waveguide [0057] 21A of the coaxial waveguide 21A is connected to the disk-shaped antenna body 17 while a center conductor 21B is connected to the slot plate 16 via an opening formed in the retardation plate 18. Thus, the microwave fed to the coaxial waveguide 21A is propagated in the outer radial directions between the antenna body 17 and the slot plate 16 and is emitted from the slots 16 a and 16 b.
  • FIG. 2B shows the slots [0058] 16 a and 16 b formed in the slot plate 16.
  • Referring to FIG. 2B, the slots [0059] 16 a are arranged concentrically, and the slots 16 b, each corresponding to a slot 16 a and being perpendicular to the corresponding slot 16 a, are also arranged concentrically. The slots 16 a and 16 b are formed with an interval corresponding to the wavelength of the microwave compressed by the retardation plate 18 in the radial direction of the slot plate 16, and as a result, the microwave is radiated from the slot plate 16 in the form of a near plane wave. Because the slots 16 a and the slots 16 b are formed in a mutually perpendicular relationship, the microwave thus radiated forms a circularly polarized wave including two perpendicular polarization components.
  • In the plasma processing apparatus [0060] 10 of FIG. 2A, there is provided a cooling block 19 formed with a cooling water passage 19A on the antenna body 17, and the heat accumulated in the shower plate 14 is absorbed via the radial line slot antenna 20 by cooling the cooling block 19 with cooling water in the cooling water passage 19A. The cooling water passage 19A is formed on the cooling block 19 in a spiral form, and cooling water having a controlled oxidation-reduction potential is supplied thereto, wherein the control of the oxidation reduction potential is achieved by eliminating oxygen dissolved in the cooling water by way of bubbling of an H2 gas.
  • In the microwave plasma processing apparatus [0061] 10 of FIG. 2A, there is further provided a process gas supply structure 31 in the processing vessel 11 between the shower plate 14 and the substrate 12 on the stage 13, wherein the process gas supply structure 31 has gas passages 31A arranged in a lattice shape and releases a process gas supplied from a process gas inlet port 11 r provided in the outer wall of the processing vessel 11 through a large number of process gas nozzle apertures 31B (see FIG. 4). Thereby, desired uniform substrate processing is achieved in a space 11C between the process gas supply structure 31 and the substrate 12. Such substrate processing includes plasma oxidation processing, plasma nitridation processing, plasma oxynitridation processing, and plasma CVD processing. Further, it is possible to conduct a reactive ion etching of the substrate 12 by supplying a readily decomposing fluorocarbon gas such as C4F8, C5F8 or C4F6 or an etching-gas containing F or Cl from the process gas supply structure 31 to the space 11C and further by applying a high-frequency voltage to the stage 13 from a high-frequency power source 13A.
  • In the microwave plasma processing apparatus [0062] 10 of the present embodiment, it is possible to avoid deposition of reaction byproducts on the inner wall of the processing vessel by heating the outer wall of the processing vessel 11 to a temperature of about 150Ā° C. Thereby, the microwave plasma processing apparatus 10 can be operated constantly and with reliability, by merely conducting a dry cleaning process once a day or so.
  • In the case of the plasma processing apparatus [0063] 10 of FIG. 2A, a taper unit 21Bt of the center conductor 21B is formed at the joint/power supplying unit that connects the coaxial waveguide 21 to the radial line slot antenna 20, so that the radius or the cross sectional area of the center conductor 21B gradually increases towards the slot plate 16. Thus, the rapid change in impedance caused by the joint/power supply unit is smoothed by forming such a taper structure, which results in a great reduction of reflective waves caused by the rapid change in impedance.
  • FIG. 3A is an expanded diagram showing in detail the construction of the joint/microwave supplying unit between the coaxial waveguide [0064] 21 and the radial line slot antenna 20 of the plasma processing apparatus 10 of FIG. 2A. The slots 16 a and 16 b formed on the slot plate 16 are not shown to simplify the drawing.
  • Referring to FIG. 3A, the inner conductor [0065] 21B has a circular cross section having a diameter of 16.9 mm. A 4 mm-thick alumina plate having a relative permittivity of 10.1 is formed between the slot plate 16 and the antenna body 17 as the retardation plate 18. The outer waveguide 21A defines a cylindrical space having a circular cross section having an inner diameter of 38.8 mm in which the inner conductor 21B is provided.
  • As shown in FIG. 3A, the cross sectional area of the inner conductor [0066] 21B is gradually increased from 7 mm above the joint between the inner conductor 21B and the slot plate 16 to the joint. As a result, the inner conductor 21B has a circular cross section of a diameter of 23 mm at the joint. Additionally, the antenna body 17 is provided with a taper surface 21At corresponding to the taper surface 21Bt thus formed, the taper surface 21At starting from the position 10 mm (the thickness of the retardation plate 18 4 mm+the thickness of the antenna body 17 6 mm=10 mm) above the joint of the inner conductor 21B and the slot plate 16.
  • FIG. 4 shows the reflective ratio of microwave provided to the antenna [0067] 20 through the waveguide 21 in the case where the radial line slot antenna 20 and the waveguide 21 are used as shown in FIG. 3A, and the parameter ā€œaā€ shown in FIG. 3A is set at 6.4 mm. In FIG. 4, the reflective ratio is indicated by ā€œā€¢ā€. In addition, ā€œ*ā€ shown in FIG. 4 indicates a reflective ratio of the construction shown in FIG. 3B to which the taper units 21At and 21Bt are not provided.
  • Referring to FIG. 4, the reflective microwave includes not only the microwave reflected by the joint/supplying unit between the waveguide [0068] 21 the radial line antenna 20, but also the microwave reflected by the plasma. In the case of the construction of FIG. 3B, the reflective ratio is about āˆ’2 dB regardless of a frequency, which means about 80% of the microwave is reflectively returned to the waveguide 21 and the microwave source connected to the waveguide 21.
  • To the contrary, in the case of the construction of FIG. 3A to which the taper surfaces [0069] 21At and 21Bt are provided, the reflective ratio depends on the frequency of the microwave. The reflective ratio becomes the minimum āˆ’23 dB (about 14%) in the neighborhood of 2.4 GHz at which the plasma is excited.
  • FIG. 5 shows a microwave reflection factor measured by a power monitor provided between the waveguide [0070] 21 and the microwave source in the case of the antenna construction shown in FIG. 3A under the following condition: the inner pressure in the processing vessel being set at 133 Pa (about 1 Torr), Ar and 0 2 being supplied from the shower plate 14 at a flux of 690 SCCM and 23 SCCM, respectively, and microwaves of a frequency 2.45 GHz and a power of 1.6 kW is supplied from the waveguide 21 to the radial line slot antenna 20. Accordingly, the reflective factor includes not only the reflection of microwave by the joint between the waveguide 21 and the antenna 20, but also the reflection by the plasma formed under the shower plate 14 in the processing vessel 11.
  • Referring to FIG. 5, it is noted that in the case of the joint construction of FIG. 3B, the reflective ratio is about 80% (the factor of reflection ā‰ˆ0.8), but in the case of the joint construction of FIG. 3A, the reflective ratio is reduced to about 30% (the factor of reflection ā‰ˆ0.3) and substantially constant. Since the reflection ratio at the joint unit between the coaxial waveguide [0071] 21 and the radial line antenna 20 is about 14% as shown in FIG. 4, the reflective ratio of about 30% as shown in FIG. 5 includes the reflection by the plasma.
  • FIG. 6 is a bottom view showing the construction of the process gas supply structure [0072] 31 of FIG. 2A.
  • Referring to FIG. 6, the process gas supply structure [0073] 31 is formed by a conductive body such as an Al alloy containing Mg or a stainless steel added with Al. The lattice shaped gas passage 31A is connected to the process gas inlet port 11 r at a process gas supply port 31R and releases the process gas uniformly into the foregoing space 11C from the process gas nozzle apertures 31B formed at the bottom surface. Further, openings 31C are formed in the process gas supply structure 31 between the adjacent process gas passages 31A for passing the plasma or the process gas contained in the plasma therethrough. In the case wherein the process gas supply structure 31 is formed of an Al alloy containing Mg, it is preferable to form a fluoride film on the surface thereof. In the case wherein the process gas supplying structure 31 is formed of a stainless steel added with Al, it is preferable to form a passivation film of aluminum oxide on the surface thereof. In the plasma processing apparatus 10 of the present invention, the energy of incident plasma is low because of the low electron temperature of the excited plasma, and the problem of metal contamination of the substrate 12 by the sputtering of the process gas supply structure 31 is avoided. Further, it is possible to form the process gas supply structure 31 by a ceramic such as alumina.
  • The lattice shaped process gas passages [0074] 31A and the process gas nozzle apertures 31B are formed so as to encompass an area slightly larger than the substrate 12 represented in FIG. 4 by a broken line. By providing the process gas supply structure 31 between the shower plate 14 and the substrate 12, the process gas is excited by the plasma and uniform processing becomes possible by using such plasma excited process gas.
  • In the case of forming the process gas supply structure [0075] 31 by a conductor such as a metal, the process gas supply structure 31 can form a shunting plane of the microwaves by setting the interval between the lattice shaped process gas passages 31A shorter than the microwave wavelength. In such a case, the microwave excitation.of plasma takes place only in the space 11B, and there occurs excitation of the process gas in the space 11C including the surface of the substrate 12 by the plasma that has caused diffusion from the excitation space 11B. Further, such a construction can prevent the substrate from being exposed directly to the microwave at the time of ignition of the plasma, and thus, damaging of the substrate by the microwave is avoided.
  • In the microwave plasma processing apparatus [0076] 10 of the present embodiment, the supply of the process gas is controlled uniformly by the process gas supply structure 31, and the problem of excessive dissociation of the process gas on the surface of the substrate 12 is eliminated. Thus, it becomes possible to conduct the desired substrate processing even in the case wherein there is formed a structure of large aspect ratio on the surface of the substrate 12 up to the very bottom of the high aspect ratio structure. This means that the microwave plasma processing apparatus 10 is effective for fabricating various semiconductor devices of different generations characterized by different design rules.
  • FIG. 7 shows the schematic construction of the microwave source connected to the coaxial waveguide [0077] 21 of FIG. 2A.
  • Referring to FIG. 7, the coaxial waveguide is connected to an edge of the waveguide extending from an oscillation part [0078] 25 including therein a magnetron 25A oscillating at the frequency of 2.45 GHz or 8.3 GHz via an isolator 24, a power monitor 23 and a tuner 22 in this order. Thus, the microwave formed by the oscillator 25 is supplied to the radial line slot antenna 20, and the microwave reflected back from the high-density plasma formed in the plasma processing apparatus 10 is returned again to the radial line slot antenna 20 after conducting an impedance adjustment by the tuner 22. Further, the isolator 24 is an element having directivity and functions so as to protect the magnetron 25A in the oscillation part 25 from the reflection wave.
  • In the microwave plasma processing apparatus [0079] 10 of the present embodiment, the rapid change in impedance caused by the joint is reduced by forming the taper units 21At and 21Bt at the joint, or the power supplying unit, between the coaxial waveguide 21 and the radial line slot antenna 20. As a result, the reflection of microwaves caused by the rapid change in impedance is suppressed, which makes the supplying of microwaves from the coaxial waveguide 21 to the antenna 20 stable.
  • In addition, in the microwave plasma processing apparatus [0080] 10 according to the present embodiment, as shown in a variation shown in FIG. 8, it is possible to replace the taper faces 21At and 21Bt with round faces 21Ar and 21Br, respectively. The change in impedance caused by the joint is further reduced by forming the round faces, which results in further efficient suppressing of the reflective wave.
  • In the microwave plasma processing apparatus [0081] 10 of the present embodiment, the distance between the shower plate 14 exposed to the heat caused by the plasma and the cooling unit is reduced substantially, compared with the conventional microwave plasma processing apparatus of FIGS. 1A and 1B. As a result, it becomes possible to use a material such as Al2O3 having a small dielectric loss and also a small thermal conductivity for the microwave transmission window in place of AlN, which is characterized by large dielectric loss. Thereby, the efficiency of plasma processing and hence the processing rate are improved while simultaneously suppressing the temperature rise of the shower plate.
  • In the microwave plasma processing apparatus [0082] 10 of the present embodiment, it is further noted that the gas including the reaction byproduct formed in the space 11C as a result of the substrate processing forms a stable gas flow to the space 11A at the outer surrounding area because of the reduced distance between the shower plate 14 and the substrate 12 facing the shower plate 14, and the byproduct is removed from the space 11C quickly. By maintaining the temperature of the outer wall of the processing vessel 11 to be about 150Ā° C., it becomes possible to substantially eliminate the deposition of the reaction byproduct on the inner wall of the processing vessel 11, and the processing apparatus 10 quickly becomes ready for the next process.
  • By the way, in the above description of the present embodiment, specific dimensions are mentioned, but the present invention is not limited to such dimensions. [0083]
  • [Second Embodiment][0084]
  • FIG. 9 shows the construction of the joint/supplying unit between the coaxial waveguide [0085] 21 and the radial line antenna 20 according to a second embodiment of the present invention. In FIG. 9, portions previously described are referred to by the same reference numerals, and their description will be omitted.
  • Referring to FIG. 9, the outer waveguide [0086] 21A constructing the coaxial waveguide 21 and the body 17 of the radial line antenna 20 are connected perpendicularly to each other forming the joint/supplying unit that is perpendicularly bent. The inner conductor 21B is also connected to the slot plate 16 perpendicularly.
  • Meanwhile, in the construction of FIG. 9, the retardation plate [0087] 18 is made of Al2O3 having a high relative permittivity, and a ring-shaped member 18A made of SiO2, for example, is formed between the outer waveguide 21A and the inner conductor 21B so that an end of the member 18A contacts the retardation plate 18.
  • Because of this construction, the impedance changes stepwise, and the reflective waves are reduced. The length of the member [0088] 18A can be optimized based on the property of the antenna structure of the coaxial waveguide 21 and the antenna 20.
  • In the embodiment of FIG. 9, the second edge face opposing the first edge face in contact with the retardation plate [0089] 18 is exposed to air. As is shown in FIG. 10, it is possible, however, to provide another ring-shaped member 18B made of Teflon, for example, having smaller relative permittivity on the second face of the ring-shaped member 18A and to increase the number of steps in the impedance change at the joint unit.
  • Further, as is shown in FIG. 11, the ring-shaped member [0090] 18A may be made of sintered mixture of SiO2 and Si3N4 having different permittivity, and the mixture ratio of SiO2 and Si3N4 in the ring-shaped member 18A may be controlled so that the permittivity continuously increases from the first edge face to the second edge face.
  • FIG. 12 shows the construction of the joint unit between the coaxial waveguide [0091] 21 and the radial line antenna 20 according to another variation of the present embodiment. In FIG. 12, portions previously described are referred to by the same reference numeral, and their description will be omitted.
  • Referring to FIG. 12, in this variation, the second edge face of the ring-shaped member [0092] 18A is considered to be a taper surface, and the thickness of the ring-shaped member 18A is linearly increased toward the retardation plate 18.
  • Using this construction, in the case where the ring-shaped member [0093] 18A is made of the same material as the retardation plate 18 such as Al2O3, the impedance of the joint/supplying unit increases continuously toward the retardation plate 18, and reflection caused by the rapid change in impedance is reduced, which results in an efficient and stable supply of microwaves.
  • In addition, as is shown in FIG. 13, in a variation it is also possible to make the taper face of the ring-shaped member [0094] 18A a curved surface so that the thickness of the ring-shaped member 18A changes non-linearly to the property of the joint/supplying unit. For example, it is possible to increase the thickness of the ring-shaped member 18A exponentially.
  • Further, as is shown in FIG. 14, the ring-shaped member [0095] 18A may be coupled with the construction of FIG. 3A having taper surfaces 21At and 21Bt. In this case, the ring-shaped member 18A is not limited to that of FIG. 9, but may be any construction of FIGS. 9 through 13.
  • [Third Embodiment][0096]
  • FIG. 15 is a diagram showing the construction of a plasma processing apparatus [0097] 10A according to a third embodiment of the present invention. In FIG. 15, the parts described earlier are referred to by the same reference numerals, and their description is omitted.
  • Referring to FIG. 15, in the plasma processing apparatus [0098] 10A, the shower plate 14 is removed, and a plurality of plasma gas inlets 11P are formed, preferably in symmetry, in communication with the gas passage lip in the processing vessel 11. In the plasma processing apparatus 10A according to the present embodiment, the construction is simplified, and the fabrication cost can be reduced substantially.
  • In the plasma processing apparatus [0099] 10A thus constructed, the reflection of microwaves is reduced by forming the taper surfaces 21At and 21Bt in the joint/supplying unit between the radial line slot antenna 20 and the coaxial waveguide 21, which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation. In the present embodiment, the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • [Fourth Embodiment][0100]
  • FIG. 16 is a diagram showing the construction of a microwave plasma processing apparatus [0101] 10B according to a fourth embodiment of the present invention. In FIG. 16, parts that have been previously described are referred to by the same numerals, and their description will be omitted.
  • Referring to FIG. 16, in the construction of the microwave plasma processing apparatus [0102] 10B, the process gas supply structure 31 is removed. Additionally, the entire face of the extending part 11 b holding the shower plate 14 is rounded out.
  • The plasma processing apparatus [0103] 10B thus constructed cannot perform film-forming or etching by supplying a process gas besides the plasma gas since the lower shower plate 31 is removed. The plasma processing apparatus 10B, however, can form an oxidized layer, a nitrified layer, or an oxidized-nitrified layer by supplying an oxidizing gas or a nitrifying gas from the shower plate 14 together with the plasma gas.
  • In the plasma processing apparatus [0104] 10B thus constructed, the reflection of microwaves is reduced by forming the taper surfaces 21At and 21Bt in the joint/supplying unit between the radial line slot antenna 20 and the coaxial waveguide 21, which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation. In the present embodiment, the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • [Fifth Embodiment][0105]
  • The joint/supplying structure according to the present invention is not limited to the plasma processing apparatus [0106] 10 of FIG. 2A or its variation, and is applicable to the plasma processing apparatus 100 using a conventional radial line slot antenna previously described by referring to FIGS. 1A and 1B.
  • FIG. 17 shows the construction of a plasma processing apparatus [0107] 100A according to a fifth embodiment of the present invention using the joint/supplying structure of the present invention. In FIG. 17, the parts previously described are referred to by the same numerals, and their description will be omitted.
  • Referring to FIG. 17, the plasma processing apparatus [0108] 100A has substantially the same construction as the conventional plasma processing apparatus 100, but is different in that the plasma processing apparatus 100A includes taper surfaces similar to the taper surfaces 21At and 21Bt in the joint unit between the coaxial waveguide 110A and the radial slot antenna body 110B or the slot plate 110D.
  • In the present embodiment, the reflection of microwaves is reduced by forming the taper surfaces in the joint/supplying unit between the coaxial waveguide [0109] 110A and the radial line slot antenna, which results in an increase in the power supplying efficiency, a reduction in abnormal discharge caused by the reflective waves, and an increased stability of the plasma formation. In the present embodiment, the construction of the joint unit is not limited to that shown in FIG. 3A, and any construction of FIGS. 8 through 14 can be used.
  • [Sixth Embodiment][0110]
  • FIG. 18 is a cross sectional view showing the entire construction of a semiconductor fabrication apparatus [0111] 40 according to a sixth embodiment,of the present invention including the microwave plasma processing apparatus 10 of FIGS. 2A and 2B.
  • Referring to FIG. 18, the semiconductor fabrication apparatus [0112] 40 includes a vacuum transfer room 401 provided with a robot 405 having a transportation arm 415, and the microwave plasma processing apparatus 10 is formed on the top face of the vacuum transfer room 401. In this case, the stage 13 can be moved up and down by a cylinder 406 covered by a bellows 410. When the stage 13 descends to the end, the substrate 12 is set or taken out by the transportation arm 415. When the stage 13 ascends to the end, the substrate 12 is shut off from the vacuum transfer room 401 by a seal 410A and processed as desired.
  • A load lock room [0113] 402 having a stage 418 to hold a stack of substrates, is provided at another position on the upper side of the vacuum transfer room 401. When the stage 418 ascends to the end, the load rock room 402 is shut off from the vacuum transfer room 401 by a seal 417. Meanwhile, when the stage 418 descends to the end, the substrate stack 404 descends to the vacuum transfer room 401, and the transportation arm 415 picks up a substrate from the substrate stack 404 or returns a processed substrate thereto.
  • In the case of semiconductor fabrication apparatus [0114] 40 thus constructed, since a substrate is loaded and unloaded vertically, and not through a side wall, an axially symmetry plasma is formed in the processing vessel 11, and a gas in the processing vessel is exhausted through a plurality of exhaustion ports provided in an axial symmetry by a plurality of pumps. Accordingly, the semiconductor fabrication apparatus 40 can guarantee uniform plasma processing.
  • FIG. 19 shows the construction of an exhaustion system of the process unit A. [0115]
  • Referring to FIG. 19, in the process unit A, each exhaustion port [0116] 11 a of the processing vessel 11 is connected to a duct D1, and a gas in the processing vessel 11 is exhausted by screw molecular pumps P1 and P2, each having a construction as shown in FIGS. 14A and 14B, provided in the duct D1. The screw molecular pumps P1 and P2 are connected, at their exhaustion side, to an exhaustion line D2 commonly provided to the other processing units B and C of the semiconductor fabrication apparatus 40. The exhaustion line D2 is connected to an exhaustion line D3 commonly provided to the other semiconductor fabrication apparatuses via an intermediate booster pump P3.
  • FIG. 20A shows the construction of the screw molecular pumps P[0117] 1 and P2.
  • Referring to FIG. 20A, the screw molecular pump has a cylindrical body [0118] 51 and a pump inlet at an end part of the body 51 and a pump outlet on the sidewall of the body 51 near the bottom part. In the body 51, there is provided a rotor 52 shown in FIG. 20B, and a gradational lead screw 52A is formed on the rotor 52. It should be noted that the gradational lead screw 52A has a construction in which there is a large pitch formed at the pump inlet part and the pitch is decreased toward the outlet. Associated with this, the lead angle of the screw is decreased gradually from the inlet side toward the outlet side. Further, the volume of the pump chamber is decreased gradually from the inlet side toward the outlet side.
  • Further, the screw molecular pump of FIG. 20A includes a motor [0119] 53 provided in the rotor 52, an angle detector 54 detecting the angular position of the rotor 52 and a magnet 55 cooperating with the angle detector 54, wherein the rotor 52 is urged toward the outlet side by an electromagnet mechanism 56.
  • Such a screw molecular pump has a simple construction and is operable over a wide pressure range from the atmospheric pressure to several millitorrs with small electric power consumption. Further, the screw pump can obtain a pumping speed reaching 320 mL/min, which is larger than the pumping speed of conventional turbo molecular pumps. [0120]
  • FIG. 21 shows the construction of a gradational lead screw pump (GLSP) [0121] 60 used for the intermediate booster pump P3 for evacuating the screw pumps P1 and P2 in the construction of FIG. 19.
  • Referring to FIG. 21, the gradational lead screw pump includes, in a pump body [0122] 61 having an inlet 61A at an end and outlets 63A and 63B at another end, a pair of screw rotors 62A and 62B each changing a screw pitch thereof gradually from an inlet side to an outlet side as shown in FIG. 20B, in a meshing relationship of the screws, wherein the rotors 62A and 62B are driven by a motor 64 via gears 63A and 63B.
  • The gradational lead screw pump [0123] 60 of such a construction is operable over a wide pressure range from ordinary pressure to a low pressure of as much as 10āˆ’4 Torr, and can achieve a flow rate reaching 2,500 L/min.
  • In the construction of FIG. 19, in which the semiconductor fabrication apparatus is evacuated by the common back pump P[0124] 4 via the intermediate booster pump P3, the back pump P4 is operated in the most efficient pressure range, and the electric power consumption is reduced substantially.
  • In the construction of FIG. 19, the back pump P[0125] 4 can operate at the most efficient pressure range by exhausting the exhausted gas from the other semiconductor fabrication apparatus, which results in a substantially reduced power consumption.
  • FIG. 22 shows the construction of the gas supplying system cooperating with each of the processing units A-C in the semiconductor fabrication apparatus [0126] 40 of FIG. 18.
  • As explained before, the semiconductor fabrication apparatus [0127] 40 avoids deposition of reaction byproduct formed associated with the substrate processing on the processing vessel 11 of the microwave plasma processing apparatus 10 by maintaining the processing vessel 11 at a temperature of about 150Ā° C. Thus, the processing unit of FIG. 19 has a feature that the memory or hysteresis of the preceding processing can be erased completely without conducting a specific cleaning process.
  • Thus, by using the processing unit of FIG. 19, it becomes possible to conduct different substrate processing one after another by switching the plasma gas and/or process gas. For this, however, it is necessary to provide a gas supply system that can switch the process gas quickly. [0128]
  • Referring to FIG. 22, one or two gases selected fro N[0129] 2, Kr, Ar, H2, NF3, C4F8, CHF3, O2, CO, HBr, SiCl4 and the like, are supplied to the plasma gas inlet port lip provided on the processing vessel 11 in communication with the shower plate 14 through the first and/or second flow rate control apparatuses FCS1 and FCS2, and one or more gases selected from N2, Kr, Ar, H2, NF3, C4F8, CHF3, O2, CO, HBr, SiCl4 and the like, are supplied to the process gas inlet port 11 r communicating with the process gas supply structure 30 via the third through seventh flow rate control apparatuses FCS3-FCS7.
  • By using a flow rate control apparatus as shown in FIG. 23, having a construction-in which a control valve [0130] 71, a manometer 72, a stop valve 73 and an orifice 74 are formed consecutively on a straight tube 70 and by controlling the pressure P2 at the downstream side of the orifice 74 to be equal to or smaller than one-half the pressure P1 at the upstream side of the stop valve 73 (P1ā‰§2P2), it becomes possible to supply the process gas instantaneously with a predetermined flow rate. This is because there is no dead space in the flow rate control apparatus in which flow rate control is not possible.
  • Thus, by using the flow control apparatus of FIG. 23 in the gas supply system of FIG. 22, it becomes possible to switch the plasma gas or process gas instantaneously depending on the type of the substrate processing to be conducted in the processing unit. [0131]
  • In the semiconductor fabrication apparatus [0132] 40, it is noted that not only the plasma processing apparatus 10 but also the plasma processing apparatuses according to the modifications thereof, or the plasma processing apparatuses 10A and 10B according to other embodiments can also be used.
  • Further, the present invention is not limited to the specific embodiments noted above but various variations and modifications may be made within the scope of the invention set forth in claims. [0133]
  • Industrial Applicability [0134]
  • According to the present invention, in the microwave plasma processing apparatus, the rapid change in impedance caused by the joint between the coaxial waveguide providing microwaves and the microwave antenna radiating the microwaves in the processing vessel of the plasma processing apparatus is reduced. As a result, the reflection of microwaves caused by the rapid change in impedance is suppressed, which results in forming stable microwave plasma in the processing vessel. [0135]

Claims (35)

What is claimed is:
1. A plasma processing apparatus, comprising:
a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed;
an evacuation system coupled to said processing vessel;
a microwave transparent window provided on said processing vessel as a part of said outer wall, and opposite said substrate held on said stage;
a plasma gas supplying part for supplying plasma gas to said processing vessel;
a microwave antenna provided on said processing vessel in correspondence to said microwave; and
a microwave power source electrically coupled to said microwave antenna,
wherein
said microwave antenna comprising
a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and
an antenna body provided to a point of said coaxial waveguide;
said antenna body further comprising
a first conductor surface forming a microwave radiation surface coupled with said microwave transparent window, and
a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said dielectric plate;
said inner conductor core is connected to said first conductor surface by a first joint unit;
said outer conductor tube is connected to said second conductor surface by a second joint unit;
said first joint unit forms a first taper unit in which an outer diameter of said inner conductor core increases toward said first conductor surface; and
said second joint unit forms a second taper unit in which an inner diameter of said outer conductor tube increases toward said first conductor surface.
2. The microwave plasma processing apparatus as claimed in claim 1, wherein the distance between an outer surface of said inner conductor core and an inner surface of said outer conductor tube increases toward said first conductor surface.
3. The microwave plasma processing apparatus as claimed in claim 1, wherein
said first taper unit is defined by a first curved surface; and
said second taper unit is defined by a second curved surface.
4. The microwave plasma processing apparatus as claimed in claim 1, further comprising a dielectric member provided in a space between said inner conductor core and said outer conductor tube, defined by a first edge face and a second edge face opposing said first edge face, said first edge face being adjacent to said dielectric plate, a permittivity of said dielectric member being lower than a permittivity of said dielectric plate and higher than a permittivity of air.
5. The microwave plasma processing apparatus as claimed in claim 4, wherein composition of said dielectric member is changed from said first edge face to said second edge face.
6. The microwave plasma processing apparatus as claimed in claim 4, wherein
said dielectric plate is made of either alumina, silicon oxide, silicon oxynitrided, or silicon nitrided; and
said dielectric member is made of silicon oxide.
7. The microwave plasma processing apparatus as claimed in claim 4, further comprising another dielectric member in a space between said inner conductor core and said outer conductor tube, adjacent to said second edge face of said dielectric member, a permittivity of said other dielectric member being lower than a permittivity of said dielectric member and higher than a permittivity of air.
8. The microwave plasma processing apparatus as claimed in claim 7, wherein said dielectric member is made of silicon oxide, and said other dielectric member is made of Teflon.
9. The microwave plasma processing apparatus as claimed in claim 4, wherein
said second edge face of said dielectric member forms a taper surface; and
an outer diameter of said dielectric member decreases as a distance from said first edge face increases.
10. The microwave plasma processing apparatus as claimed in claim 9, wherein an outer diameter of said dielectric member linearly decreases as a distance from said first edge face increases.
11. The microwave plasma processing apparatus as claimed in claim 9, wherein an outer diameter of said dielectric member exponentially decreases as a distance from said first edge face increases.
12. The microwave plasma processing apparatus as claimed in claim 1, wherein said plasma gas supplying part further comprises a plasma gas passage connectable to a plasma gas source, said plasma gas passage being made of a microwave-transparent material, and a shower plate having a plurality of openings in communication with said plasma gas passage, provided in an interior of said microwave transparent window in intimate contact.
13. The microwave plasma processing apparatus as claimed in claim 12, wherein said shower plate is made of alumina.
14. The microwave plasma processing apparatus as claimed in claim 1, wherein said plasma gas supplying part is provided in an outer wall of said processing vessel.
15. The microwave plasma processing apparatus as claimed in claim 14, wherein said plasma gas supplying part is tubes provided in said outer wall of said processing vessel.
16. The plasma processing apparatus as claimed in claim 1, wherein said microwave antenna is provided so that said first conductor surface touches said microwave transparent window.
17. The plasma processing apparatus as claimed in claim 1, wherein said microwave antenna is provided so that said first conductor surface is spaced from said microwave transparent window.
18. The plasma processing apparatus as claimed in claim 1, wherein
a processing gas source is provided between said substrate and said plasma gas supplying part, in said processing vessel, said processing gas supplying part opposing to said substrate;
a first opening through which plasma formed in said processing vessel passes and a second opening through which processing gas is provided; and
said second opening is in communication with a processing gas passage connected to a processing gas source, formed in said processing gas supplying source.
19. A plasma processing apparatus, comprising:
a processing vessel defined by an outer wall and having a stage for holding a substrate to be processed;
an evacuation system coupled to said processing vessel;
a microwave transparent window provided on said processing vessel as a part of said outer wall, opposite said substrate held on said stage;
a plasma gas supplying part for supplying plasma gas to said processing vessel;
a microwave antenna provided on said processing vessel in correspondence to said microwave; and
a microwave power source electrically coupled to said microwave antenna,
wherein
said microwave antenna comprising
a coaxial waveguide connected to said microwave power source, said coaxial waveguide having an inner conductor core and an outer conductor tube surrounding said inner conductor core, and
an antenna body provided to a point of said coaxial waveguide;
said antenna body further comprising
a first conductor surface forming a microwave radiation surface coupled with said microwave transparent window, and
a second conductor surface opposite said first conductor surface via a dielectric plate, said second conductor surface being connected to said first conductor surface at a peripheral part of said dielectric plate;
said inner conductor core is connected to said first conductor surface by a first joint unit;
said outer conductor tube is connected to said second conductor surface by a second joint unit;
a dielectric member is provided in a space between said inner conductor core and said outer conductor tube, defined by a first edge face and a second edge face opposing said first edge face, said first edge face being adjacent to said dielectric plate, a permittivity of said dielectric member being lower than a permittivity of said dielectric plate and higher than a permittivity of air.
20. The plasma processing apparatus as claimed in claim 19, wherein said inner conductor core is connected substantially perpendicularly to said first conductor surface in said first joint unit.
21. The plasma processing apparatus as claimed in claim 19, wherein, in said second joint unit, said outer conductor core is connected substantially perpendicularly to said second conductor surface.
22. The plasma processing apparatus as claimed in claim 19, wherein composition of said dielectric member changes from said first edge face to said second edge face.
23. The plasma processing apparatus as claimed in claim 19, wherein
said dielectric plate is made of either alumina, silicon oxide, silicon oxynitridated, or silicon nitridated; and
said dielectric member is made of silicon oxide.
24. The plasma processing apparatus as claimed in claim 21, wherein, in a space between said inner conductor core and said outer waveguide, another dielectric member having a permittivity lower than a permittivity of said dielectric member and higher than a permittivity of air is provided adjacent to said second edge face of said dielectric member.
25. The plasma processing apparatus as claimed in claim 24, wherein said dielectric member is made of silicon oxide, and said other dielectric member is made of Teflon.
26. The plasma processing apparatus as claimed in claim 21, wherein said second edge face of said dielectric member forms a taper surface, and an outer diameter of said dielectric member decreases as a distance from said first edge face increases.
27. The plasma processing apparatus as claimed in claim 26, wherein an outer diameter of said dielectric member decreases linearly as a distance from said first edge face increases.
28. The plasma processing apparatus as claimed in claim 26, wherein an outer diameter of said dielectric member decreases exponentially as a distance from said first edge face increases.
29. The plasma processing apparatus as claimed in claim 19, wherein said plasma gas supplying part is provided with a plasma gas passage connectible to a plasma gas source, made of a microwave transparent material, and a shower plate having a plurality of openings in communication with said plasma gas passage.
30. The plasma processing apparatus as claimed in claim 29, wherein said microwave transparent window and said shower plate are made of alumina.
31. The plasma processing apparatus as claimed in claim 19, wherein said plasma gas supplying part is provided in an outer wall of said processing vessel.
32. The plasma processing apparatus as claimed in claim 31, wherein said plasma gas supplying part is tubes provided in said processing vessel.
33. The plasma processing apparatus as claimed in claim 19, wherein said microwave antenna is provided so that said first conductor surface touches said microwave transparent window.
34. The plasma processing apparatus as claimed in claim 19, wherein said microwave antenna is provided so that said first conductor surface separates from said microwave transparent window.
35. The plasma processing apparatus as claimed in claim 19, wherein a process gas supplying part is provided between said substrate and said plasma gas supplying part in said processing vessel, said process gas supplying part opposing to said substrate;
a first opening through which plasma gas formed in said processing vessel passes and a second opening through which process gas is supplied are formed in said process gas supplying part; and
said second opening is connected to a process gas passage formed in said process gas supplying part and connected to a process gas source.
US10/276,721 2001-03-28 2002-03-28 Plasma processing device Abandoned US20030168008A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/337,026 US7670454B2 (en) 2001-03-28 2006-01-23 Plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001094271A JP4727057B2 (en) 2001-03-28 2001-03-28 Plasma processing equipment
JP2001-094271 2001-03-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/003109 A-371-Of-International WO2002080250A1 (en) 2001-03-28 2002-03-28 Plasma processing device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/337,026 Division US7670454B2 (en) 2001-03-28 2006-01-23 Plasma processing apparatus

Publications (1)

Publication Number Publication Date
US20030168008A1 true US20030168008A1 (en) 2003-09-11

Family

ID=18948496

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/276,721 Abandoned US20030168008A1 (en) 2001-03-28 2002-03-28 Plasma processing device
US11/337,026 Expired - Fee Related US7670454B2 (en) 2001-03-28 2006-01-23 Plasma processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/337,026 Expired - Fee Related US7670454B2 (en) 2001-03-28 2006-01-23 Plasma processing apparatus

Country Status (9)

Country Link
US (2) US20030168008A1 (en)
EP (1) EP1376669B1 (en)
JP (1) JP4727057B2 (en)
KR (1) KR100486673B1 (en)
CN (1) CN1298027C (en)
AT (1) ATE362197T1 (en)
DE (1) DE60220039T2 (en)
IL (1) IL153155A0 (en)
WO (1) WO2002080250A1 (en)

Cited By (248)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US20030136516A1 (en) * 2002-01-22 2003-07-24 Hong-Seub Kim Gas diffussion plate for use in ICP etcher
US20040168769A1 (en) * 2002-05-10 2004-09-02 Takaaki Matsuoka Plasma processing equipment and plasma processing method
US20050173069A1 (en) * 2004-02-07 2005-08-11 Samsung Electronics Co., Ltd. Plasma generating apparatus and plasma processing apparatus
US20070095284A1 (en) * 2004-06-04 2007-05-03 Iizuka Hachishiro Gas treating device and film forming device
EP1806776A1 (en) * 2004-10-05 2007-07-11 Tokyo Electron Ltd. Plasma film forming method and plasma film forming device
US20090029066A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
US20090163013A1 (en) * 2007-12-21 2009-06-25 Hynix Semiconductor Inc. Method for Forming Gate of Non-Volatile Memory Device
US20100252412A1 (en) * 2007-10-04 2010-10-07 Tokyo Electron Limited Plasma processing apparatus and method for adjusting plasma density distribution
US20100317188A1 (en) * 2003-08-15 2010-12-16 Tokyo Electon Limited Fluorine doped carbon films produced by modification by radicals
US20110143551A1 (en) * 2008-04-28 2011-06-16 Christophe Borean Device and process for chemical vapor phase treatment
US20110303364A1 (en) * 2009-01-21 2011-12-15 Tokyo Electron Limited Plasma processing apparatus
US20120180953A1 (en) * 2009-09-30 2012-07-19 Tokyo Electron Limited Plasma processing apparatus and wave retardation plate used therein
US20180166258A1 (en) * 2016-12-14 2018-06-14 Asm Ip Holding B.V. Substrate processing apparatus
KR20180064490A (en) * 2015-10-05 2018-06-14 ģ‚¬ģ“ė ˜ ģ†Œģ‹œģ—ė–¼ ķ”„ķ ė¼ķ”Œė¦¬ź¹Œģ‹œģ˜¹ ģ—ė’¤ģŠ¤ķŠøė¦¬ģ—˜ ė“œ ė¼ ė ˆģ„øė„“ģ„ø ģ•™ ģ—˜ė ‰ķŠøė”œė‹ˆė„ ģ— ė§ˆģ“ķ¬ė”œ ģ˜¹ė° Base device for generating plasma with coaxial applicator
US10074521B2 (en) * 2009-09-10 2018-09-11 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US20190006152A1 (en) * 2017-06-30 2019-01-03 Tokyo Electron Limited Plasma generating unit and plasma processing apparatus
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (17)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
KR100780199B1 (en) * 2003-08-15 2007-11-27 ė™ź²½ ģ—˜ė ‰ķŠøė”  ģ£¼ģ‹ķšŒģ‚¬ Semiconductor device and method for manufacturing thereof
JP4344886B2 (en) * 2004-09-06 2009-10-14 ę±äŗ¬ć‚Ø惬ć‚Æćƒˆćƒ­ćƒ³ę Ŗ式会ē¤¾ Plasma processing equipment
KR100798416B1 (en) 2005-07-21 2008-01-28 ķ•œģ–‘ėŒ€ķ•™źµ ģ‚°ķ•™ķ˜‘ė „ė‹Ø Plasma processing apparatus
JP4852997B2 (en) * 2005-11-25 2012-01-11 ę±äŗ¬ć‚Ø惬ć‚Æćƒˆćƒ­ćƒ³ę Ŗ式会ē¤¾ Microwave introduction apparatus and plasma processing apparatus
JP2007335346A (en) * 2006-06-19 2007-12-27 Tokyo Electron Ltd Microwave introduction device, and plasma processing device
JP2008235611A (en) * 2007-03-21 2008-10-02 Tohoku Univ Plasma processing equipment and method for processing plasma
KR100795037B1 (en) * 2007-08-14 2008-01-15 ģ†”ź·œģ°½ Zero-pharse harmonic reduction device having protection function of overload
JP5421551B2 (en) * 2008-06-11 2014-02-19 ę±äŗ¬ć‚Ø惬ć‚Æćƒˆćƒ­ćƒ³ę Ŗ式会ē¤¾ Plasma processing apparatus and plasma processing method
KR101019103B1 (en) * 2008-11-18 2011-03-07 ģ£¼ģ‹ķšŒģ‚¬ ģ¼€ģ“ģ”Øķ… Atmospheric pressure plasma generating device and atmospheric pressure plasma device for treating the surface having the same
US8648534B2 (en) * 2009-08-24 2014-02-11 Korea Basic Science Institute Microwave antenna for generating plasma
WO2012032596A1 (en) * 2010-09-06 2012-03-15 ę Ŗ式会ē¤¾ć‚¤ćƒ¼ćƒ»ć‚Øćƒ ćƒ»ćƒ‡ć‚£ćƒ¼ Plasma processing apparatus
KR101513579B1 (en) 2011-08-11 2015-04-20 ģ„øė©”ģŠ¤ ģ£¼ģ‹ķšŒģ‚¬ Apparatus for treating a substrate
JP5839937B2 (en) * 2011-10-31 2016-01-06 äø‰č±é‡å·„ę„­ę Ŗ式会ē¤¾ Vacuum processing equipment
JP5793170B2 (en) * 2013-09-30 2015-10-14 ę Ŗ式会ē¤¾ę—„ē«‹å›½éš›é›»ę°— Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5805227B2 (en) * 2014-01-28 2015-11-04 ę±äŗ¬ć‚Ø惬ć‚Æćƒˆćƒ­ćƒ³ę Ŗ式会ē¤¾ Plasma processing equipment
US9530621B2 (en) * 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
CN109599248B (en) * 2018-11-30 2021-05-25 äø­å›½å·„ē؋ē‰©ē†ē ”ē©¶é™¢åŗ”ē”Øē”µå­å­¦ē ”ē©¶ę‰€ 1.8T compact type low-power-consumption strong-field direct-current magnet

Citations (5)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
US6311638B1 (en) * 1999-02-10 2001-11-06 Tokyo Electron Limited Plasma processing method and apparatus
US6322662B1 (en) * 1999-02-01 2001-11-27 Tokyo Electron Limited Plasma treatment system
US6830652B1 (en) * 1999-05-26 2004-12-14 Tokyo Electron Limited Microwave plasma processing apparatus

Family Cites Families (6)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0754759B2 (en) * 1987-04-27 1995-06-07 ę—„ęœ¬é›»äæ”電話ę Ŗ式会ē¤¾ Plasma processing method and apparatus, and mode converter for plasma processing apparatus
JPH03191072A (en) * 1989-12-21 1991-08-21 Canon Inc Microwave plasma treating device
JP3056772B2 (en) * 1990-08-20 2000-06-26 ę Ŗ式会ē¤¾ę—„ē«‹č£½ä½œę‰€ Plasma control method, plasma processing method and apparatus therefor
JPH0963793A (en) 1995-08-25 1997-03-07 Tokyo Electron Ltd Plasma processing device
JPH09148097A (en) * 1995-11-22 1997-06-06 Hitachi Ltd Plasma producing device, manufacture of semiconductor element using it, and semiconductor element
US6358324B1 (en) 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor

Patent Citations (5)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US5024716A (en) * 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
US6322662B1 (en) * 1999-02-01 2001-11-27 Tokyo Electron Limited Plasma treatment system
US6311638B1 (en) * 1999-02-10 2001-11-06 Tokyo Electron Limited Plasma processing method and apparatus
US6830652B1 (en) * 1999-05-26 2004-12-14 Tokyo Electron Limited Microwave plasma processing apparatus

Cited By (301)

* Cited by examiner, ā€  Cited by third party
Publication number Priority date Publication date Assignee Title
US7156950B2 (en) * 2002-01-22 2007-01-02 Jusung Engineering Co., Ltd Gas diffusion plate for use in ICP etcher
US20030136516A1 (en) * 2002-01-22 2003-07-24 Hong-Seub Kim Gas diffussion plate for use in ICP etcher
US20040168769A1 (en) * 2002-05-10 2004-09-02 Takaaki Matsuoka Plasma processing equipment and plasma processing method
US20100317188A1 (en) * 2003-08-15 2010-12-16 Tokyo Electon Limited Fluorine doped carbon films produced by modification by radicals
US8119518B2 (en) 2003-08-15 2012-02-21 Tokyo Electron Limited Noble metal barrier for fluorine-doped carbon films
US20050173069A1 (en) * 2004-02-07 2005-08-11 Samsung Electronics Co., Ltd. Plasma generating apparatus and plasma processing apparatus
US20070095284A1 (en) * 2004-06-04 2007-05-03 Iizuka Hachishiro Gas treating device and film forming device
EP1806776A1 (en) * 2004-10-05 2007-07-11 Tokyo Electron Ltd. Plasma film forming method and plasma film forming device
US20080311313A1 (en) * 2004-10-05 2008-12-18 Tokyo Electron Limited Film Forming Method and Film Forming Apparatus
EP1806776A4 (en) * 2004-10-05 2009-04-08 Tokyo Electron Ltd Plasma film forming method and plasma film forming device
US20090029066A1 (en) * 2007-07-25 2009-01-29 Tokyo Electron Limited Film forming method for a semiconductor
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
KR101176063B1 (en) * 2007-10-04 2012-08-24 ė„ģæ„ģ—˜ė ‰ķŠøė” ź°€ė¶€ģ‹œķ‚¤ź°€ģ“ģƒ¤ Plasma processing apparatus and method for adjusting plasma density distribution
US20100252412A1 (en) * 2007-10-04 2010-10-07 Tokyo Electron Limited Plasma processing apparatus and method for adjusting plasma density distribution
US8273210B2 (en) * 2007-10-04 2012-09-25 Tokyo Electron Limited Plasma processing apparatus and method for adjusting plasma density distribution
US20090163013A1 (en) * 2007-12-21 2009-06-25 Hynix Semiconductor Inc. Method for Forming Gate of Non-Volatile Memory Device
US8967081B2 (en) * 2008-04-28 2015-03-03 Altatech Semiconductor Device and process for chemical vapor phase treatment
US20110143551A1 (en) * 2008-04-28 2011-06-16 Christophe Borean Device and process for chemical vapor phase treatment
US20110303364A1 (en) * 2009-01-21 2011-12-15 Tokyo Electron Limited Plasma processing apparatus
US9105450B2 (en) * 2009-01-21 2015-08-11 Tohoku University Plasma processing apparatus
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10074521B2 (en) * 2009-09-10 2018-09-11 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US20120180953A1 (en) * 2009-09-30 2012-07-19 Tokyo Electron Limited Plasma processing apparatus and wave retardation plate used therein
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11120972B2 (en) * 2015-10-05 2021-09-14 Sairem Societe Pour L'application Industrielle De La Recherche En Electronique Et Micro Ondes Elementary device for producing a plasma, having a coaxial applicator
KR102635753B1 (en) * 2015-10-05 2024-02-14 ģ‚¬ģ“ė ˜ ģ†Œģ‹œģ—ė–¼ ķ”„ķ ė¼ķ”Œė¦¬ź¹Œģ‹œģ˜¹ ģ—ė’¤ģŠ¤ķŠøė¦¬ģ—˜ ė“œ ė¼ ė ˆģ„øė„“ģ„ø ģ•™ ģ—˜ė ‰ķŠøė”œė‹ˆė„ ģ— ė§ˆģ“ķ¬ė”œ ģ˜¹ė° Basic device for generating plasma with a coaxial applicator
KR20180064490A (en) * 2015-10-05 2018-06-14 ģ‚¬ģ“ė ˜ ģ†Œģ‹œģ—ė–¼ ķ”„ķ ė¼ķ”Œė¦¬ź¹Œģ‹œģ˜¹ ģ—ė’¤ģŠ¤ķŠøė¦¬ģ—˜ ė“œ ė¼ ė ˆģ„øė„“ģ„ø ģ•™ ģ—˜ė ‰ķŠøė”œė‹ˆė„ ģ— ė§ˆģ“ķ¬ė”œ ģ˜¹ė° Base device for generating plasma with coaxial applicator
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US20180166258A1 (en) * 2016-12-14 2018-06-14 Asm Ip Holding B.V. Substrate processing apparatus
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190006152A1 (en) * 2017-06-30 2019-01-03 Tokyo Electron Limited Plasma generating unit and plasma processing apparatus
US10923323B2 (en) * 2017-06-30 2021-02-16 Tokyo Electron Limited Plasma generating unit and plasma processing apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
KR100486673B1 (en) 2005-05-03
IL153155A0 (en) 2003-06-24
DE60220039T2 (en) 2008-01-10
DE60220039D1 (en) 2007-06-21
CN1460285A (en) 2003-12-03
WO2002080250A1 (en) 2002-10-10
JP4727057B2 (en) 2011-07-20
JP2002299314A (en) 2002-10-11
EP1376669B1 (en) 2007-05-09
US20060118241A1 (en) 2006-06-08
EP1376669A4 (en) 2006-01-04
EP1376669A1 (en) 2004-01-02
KR20030004428A (en) 2003-01-14
US7670454B2 (en) 2010-03-02
ATE362197T1 (en) 2007-06-15
CN1298027C (en) 2007-01-31

Similar Documents

Publication Publication Date Title
US7670454B2 (en) Plasma processing apparatus
US7097735B2 (en) Plasma processing device
US7115184B2 (en) Plasma processing device
US20040094094A1 (en) Plasma processing device
US7083701B2 (en) Device and method for plasma processing, and slow-wave plate
US20090229755A1 (en) Plasma processing apparatus
US6677549B2 (en) Plasma processing apparatus having permeable window covered with light shielding film
US20030148623A1 (en) Plasma processing device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION