US20030199112A1 - Copper wiring module control - Google Patents

Copper wiring module control Download PDF

Info

Publication number
US20030199112A1
US20030199112A1 US10/393,531 US39353103A US2003199112A1 US 20030199112 A1 US20030199112 A1 US 20030199112A1 US 39353103 A US39353103 A US 39353103A US 2003199112 A1 US2003199112 A1 US 2003199112A1
Authority
US
United States
Prior art keywords
wafer
polishing
property information
plating
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/393,531
Inventor
Arulkumar Shanmugasundram
Suketu Parikh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/393,531 priority Critical patent/US20030199112A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHANMUGASUNDRAM, ARULKUMAR, PARIKH, SUKETU A
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHANMUGASUNDRAM, ARULKUMAR, PARIKH, SUKETUA A.
Publication of US20030199112A1 publication Critical patent/US20030199112A1/en
Priority to US11/627,353 priority patent/US8005634B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to semiconductor wafer manufacturing systems and processes. More particularly, the present invention relates to techniques for optimizing semiconductor manufacturing processes at, for example, the fab, tool, and/or module levels using feedback and/or feedforward information. Even more particularly, the present invention relates to techniques for optimizing semiconductor manufacturing processes at, for example, a copper wiring module using feedback and/or feedforward information from the various tools within the module.
  • a typical fab may consist of hundreds of wafer processing functional units.
  • these functional units include modules, submodules, tools, cluster tools, chambers, and any other entities responsible for performing one or more of a variety of operations or processes on a semiconductor wafer.
  • the subject of processing by these functional units includes semiconductor wafers, which may be processed into a wide variety of items such as logic (e.g., central processing units) or memory (e.g., DRAMs).
  • Each tool in the fab is responsible for performing one or more operations or series of operations that result in the final product.
  • the wafer may be forwarded to a downstream tool where additional operations or series of operations may be performed.
  • Each tool may process wafers according to hundreds of distinct processes, with each having hundreds of individual steps.
  • the sum of the operations performed by these tools (e.g., the functional units in the fab) on the wafer results in the final product or the final state of the wafer.
  • the tools may be grouped, either logically or physically, into modules (which constitute a higher level functional unit relative to the tools) to produce a module level product (e.g., a product at the module level).
  • modules which constitute a higher level functional unit relative to the tools
  • a number of tools may be grouped together in a copper wiring module to produce intricate copper geometric circuit patterns on the substrate of a wafer.
  • modules may include, at one or more portions thereof, any number of tools such as, for example, electro chemical plating (ECP) tools, chemical mechanical polishing (CMP) tools, and other similar tools.
  • ECP electro chemical plating
  • CMP chemical mechanical polishing
  • wafers are initially moved into a chamber of the ECP tool where an electroplating or plating process takes place.
  • the result of the plating process is the application of, for example, a thin layer of copper on the wafer substrate.
  • the wafer may be moved downstream to a CMP tool.
  • the CMP tool polishes the wafer to remove any excess metallization (i.e., the plating or plated material).
  • the wafer may be moved to the next tool in the module, which may include, for example, a barrier polishing or other similar tool.
  • the end result or final product of the module includes the remaining copper material, which forms the desired copper geometric circuit pattern.
  • a number of quality control operations may be implemented within the functional units to improve the overall quality of the fab.
  • any number of wafer attributes or properties may be measured during or after processing by a functional unit. These measured properties may then be compared against the expected results or target parameters. If a measured property deviates too greatly from an expected result, a modification or adjustment may be made to the processing operation or procedure of the functional unit in an attempt to address the deficiency.
  • the thickness of a layer applied to a wafer may be measured to generate a thickness profile. If the plated layer is too thick, the ECP tool recipe may be modified to decrease a plating time (i.e., the amount of time plating material is applied to the wafer). In a similar manner, after polishing at a CMP tool, the thickness of the polished layer may be similarly measured. If the layer is too thick, the CMP tool recipe may be modified to increase a polishing time (i.e., the amount of time the wafer is polished). In this manner, the control processes of the individual functional units within a fab may be modified to increase effectiveness and efficiency.
  • a wafer may be physically removed from the processing line, where any number of wafer properties may be measured, and subsequently returned to the line.
  • a wafer may be removed after processing from a chamber in an ECP tool to allow measuring of a copper thickness. From there, the measured properties (e.g., the copper thickness) may be compared against the expected results or target parameters. When a less than satisfactory property or condition is identified, a modification may be made to the functional unit recipe to address the deficiency.
  • an ECP tool might decrease a plating time. While this modification may have resulted in a satisfactory result at the ECP tool, it may have also left a layer so thin that downstream CMP tools could not adequately process the wafer.
  • processing at one functional unit may be more effective if information could be utilized at other functional units to produce results that increase the effectiveness of processing of the first functional unit.
  • a CMP tool may process wafers more effectively if it could forward optimal processing information to an ECP tool. In this manner, the CMP tool may instruct the ECP tool to, for example, decrease a plating thickness.
  • What is therefore needed is a technique for optimizing semiconductor wafer manufacturing processes within a copper wiring module. Specifically, what is needed is a technique that transfers information from one tool within the copper wiring module to another for purpose of optimizing a copper wiring module output property. What is also needed is a technique that allows information or data to be transmitted between copper wiring module tools and/or processing runs. As a result, a tool may direct or request another tool to produce a result that provides optimal processing conditions for the requesting tool.
  • the present invention addresses the needs and the problems described above by using feedback and feedforward information to optimize manufacturing processes in a fab.
  • the invention may be implemented in a copper wiring module to optimize a copper wiring module output property such as a sheet resistance or an interconnect line resistance.
  • a first wafer property is initially measured during or after processing by a first process.
  • One example of the first process includes an electro chemical plating process.
  • Examples of the wafer properties that may be measured at the first process include thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity.
  • the wafer is forwarded to a second process.
  • An example of the second process includes a chemical mechanical polishing process.
  • a second wafer property is then measured during or after processing by the second process.
  • Examples of the wafer properties that may be measured at the second process include copper clearing time, reflectance, thickness, and an electrical property. Subsequently, at least one of these first and second wafer properties is used to optimize the second process. Specifically, one or more target parameters of a second process recipe are adjusted in a manner that obtains a desired final output property on the wafer (e.g., a sheet resistance or an interconnect line resistance) by using these first and second wafer properties.
  • a desired final output property on the wafer e.g., a sheet resistance or an interconnect line resistance
  • the second process (e.g., the CMP process) includes a bulk polish process, an endpoint process, and a barrier polish process.
  • information may be measured at any combination of these processes for optimization of the second process. Examples include thickness profile information collected during or after the bulk polish process, copper clearing information collected during or after the endpoint process, and/or electrical property information collected during or after the barrier polish process.
  • FIG. 1 depicts one example of a block diagram representation of a semiconductor manufacturing facility or fab utilizable for implementing one or more aspects of the present invention
  • FIG. 2 depicts one example of a block diagram representation of a semiconductor manufacturing tool utilizable for producing a tool product in the fab of FIG. 1;
  • FIG. 3 depicts one example of a process implementable for using feedback and feedforward information to optimize functional unit processing
  • FIG. 4 depicts one example of a flow diagram of a process sequence for optimizing functional unit processing of one or more of the embodiments of the present invention
  • FIG. 5 depicts one example of a process utilizable for forwarding feedback and/or feedforward information
  • FIG. 6 depicts one example of a flow diagram of a process sequence for receiving and utilizing feedforward and feedback information to modify and/or generate functional unit recipes
  • FIG. 7 depicts one example of a combined hardware and control process diagram of a copper wiring module illustrating one or more embodiments of the present invention
  • FIG. 8 is a high-level block diagram depicting aspects of computing devices contemplated as part of and for use with one or more embodiments of the present invention.
  • FIG. 9 illustrates one example of a memory medium which may be used for storing a computer implemented process of one or more embodiments of the present invention.
  • a sheet resistance or an interconnect line resistance output property of a copper wiring module may be optimized using information measured at the various tools within the module. Specifically, a first wafer property is initially measured during or after processing by a first process. Subsequently, the wafer is forwarded to a second process. A second wafer property is then measured during or after processing by the second process. At least one of these first and second wafer properties are used to optimize the second process. Specifically, one or more target parameters of a second process recipe are adjusted in a manner that obtains a desired final output property on the wafer by using these first and second wafer properties.
  • FIG. 1 depicts one example of a block diagram representation of a semiconductor manufacturing facility or fab 100 utilizable for implementing one or more aspects of the present invention.
  • Fab 100 may be used to process semiconductor wafers to produce any number of semiconductor products, such as DRAMs, processors, etc.
  • fab 100 includes, among other components, any number of modules 120 .
  • Modules 120 individually process wafers to produce a module final product and operate in conjunction with each other to produce a fab final product. During operation, wafers are passed from one module to another where any number of operations may be performed. Examples of modules include copper wiring modules, physical vapor deposition (PVD) modules, dep-etch modules, and the like.
  • PVD physical vapor deposition
  • Each module includes, among other components, any number of tools 130 .
  • tools 130 individually process wafers to produce a tool final product and operate in conjunction with each other to produce a module final product.
  • wafers are passed from one tool to another where any number of operations may be performed, the ultimate goal of which is to arrive at the module final product.
  • at least some of the tools can be “cluster tools” (or the like) capable of performing multiple functions. Examples of tools include electro chemical plating (ECP), chemical mechanical polishing (CMP), chemical vapor deposition, etching, copper barrier seed, barrier polishing tools, and the like.
  • FIG. 2 depicts one example of a block diagram representation of a semiconductor manufacturing tool utilizable for producing a tool product in the fab of FIG. 1.
  • Tool 130 includes any number of processing chambers 220 . Each chamber may be responsible for performing a process or series of processes on a wafer. The sum total of these processes results in a tool final product.
  • an ECP tool may include an electroplating or plating chamber, a material removal chamber, and a clearing chamber.
  • the plating chamber is responsible for applying a thin layer of plating material (i.e., metallization) onto a wafer substrate. From there, the wafer may be moved to the next chamber, in this case, the removal chamber, where any excess material may be removed.
  • any number of metrology devices may be implemented to measure wafer property information (e.g., during or after processing in a chamber).
  • wafer property information examples include thickness profile information, edge exclusion data, copper film thickness, sheet resistance profile, reflectance, resistivity drop, reflectivity, etc.
  • chambers 220 in FIG. 2 may correspond to the platens in a CMP tool.
  • a first platen i.e., Chamber 1
  • the bulk polish platen may be utilized to remove relatively large amounts of plating material.
  • a metrology device may be implemented to measure wafer property information such as, for example, plating thickness, etc.
  • the second platen i.e., Chamber 2
  • This platen performs a slower polishing step, and may be utilized to terminate the polishing process at an endpoint.
  • a metrology device may be implemented to measure wafer property information, such as, for example, a copper clearing profile, reflectance, thickness uniformity, etc.
  • the third platen i.e., Chamber 3
  • a metrology device may be implemented to measure wafer property information, such as, for example, electrical properties, sheet resistance, line resistance, leakage, capacitance, yield, deflectivity, etc.
  • a commercial embodiment of a CMP apparatus could be, for example, any of a number of processing stations or devices offered by Applied Materials, Inc. of Santa Clara, Calif. including, for example, the Mirra MesaTM CMP device.
  • controller 110 may be implemented at the fab level for controlling high-level operations of the entire fab.
  • lower level controllers such as module level controller 112 (FIG. 1) and tool level controller 114 (FIG. 2) may be implemented at the module and tool levels for controlling processing by those functional units.
  • controllers may also be implemented to control multiple functional units and functional units at distinct levels.
  • a tool controller includes iAPC offered by Applied Materials, Inc. of Santa Clara, Calif.
  • any number of sensors or metrology devices may be implemented within the fab to operate in conjunction with the controllers for, e.g., quality control purposes.
  • These metrology tools may be implemented as integrated or insitu sensors 240 within the functional units themselves or as inline sensors 230 outside of the functional units.
  • these sensors are implemented to collect wafer or metrology data, including, for example, any number of wafer properties, during or after processing by the functional units. In accordance with one or more embodiments of the present invention, this data may then be transmitted back to the instant processing functional unit, or other upstream or downstream functional units, and utilized to optimize processing procedures.
  • Examples of such metrology tools include the RS-75TM offered by KLA-Tencor of San Jose, Calif. Examples of wafer properties that may be collected and transmitted include thickness, clearing time, reflectivity, etc.
  • the controllers are responsible for directing the operation of the functional units (e.g., the fab, modules, or tools).
  • the controllers may be stand-alone computing units or integrated within one or more of the functional units.
  • a controller may direct any number of functional units to perform the tasks or operations required to obtain those desired targets.
  • each controller utilizes any number of models to attain these targets by determining the operations necessary to produce an output or product that has properties which fall within an acceptable range of the targets.
  • the models determine and/or optimize the processes or operations required to produce an output that is within an acceptable range of the target. As will be discussed below, these operations are included with a recipe of the functional unit.
  • the models are typically created through physical understanding, experimentation, and/or previous observation. Models may exist at any of the fab, module or tool levels.
  • the model for a functional unit may be implemented in an associated controller.
  • a fab-wide model may be implemented in fab controller 110 .
  • Each model is responsible for determining the specifics of the processes believed to be necessary to achieve the desired target parameter.
  • these models receive as inputs, for example, incoming wafer properties (e.g., an incoming thickness), material characteristics (e.g., properties of a substrate), the target parameters (e.g., a desired thickness), feedback from previous runs or the runs of other functional units, and any number of other inputs or information.
  • incoming wafer properties e.g., an incoming thickness
  • material characteristics e.g., properties of a substrate
  • the target parameters e.g., a desired thickness
  • feedback data e.g., information or data passed from an upstream functional unit
  • information from a previous run (in the same functional unit) or in a downstream functional unit may be termed feedback data.
  • the models subsequently determine or identify the processes or operations believed to be necessary to achieve the desired targets.
  • recipes are generated by these models for obtaining the wafer properties required to achieve or obtain the desired final product.
  • These recipes constitute a set of predefined process parameters believed to be required to effectuate a functional unit processing outcome.
  • a typical tool recipe may dictate one or more setpoints for any number of processes required to effect a desired tool output.
  • a recipe may identify the required temperature, pressure, power, processing time, lift position, and flow rate of a material needed to produce a particular target wafer result. Examples of these results include film thickness, uniformity profiles, via depth, trench depth, sheet resistance, uniformity of the copper patterns, etc.
  • An example of a technique utilizable for generating recipes is described in U.S. patent application Ser. No. 09/998,372, filed on Nov. 30, 2001, assigned to Applied Materials, Inc., of Santa Clara, Calif., which is incorporated herein by reference.
  • FIG. 3 a high level process utilizable for implementing at least some optimizing techniques contemplated by one or more embodiments of the present invention is depicted.
  • a number of processes 312 , 314 , 316 are implemented in a module or other functional unit grouping.
  • Processes 312 , 314 , 316 represent the processes or series of processes that are performed on a wafer to result in a final product.
  • processes 312 , 314 , 316 may correspond to the chambers in an individual tool or to the tools within a submodule or module.
  • processes 312 , 314 , 316 may represent ECP, CMP and barrier polishing processes, respectively.
  • plating processes contemplated by one or more embodiments of the present invention include electroless plating, physical vapor deposition plating, and other similar plating processes.
  • polishing processes contemplated by one or more embodiments of the present invention include electropolishing techniques and other similar processes.
  • wafers are passed from one process to another where any number of operations may be performed by, for example, individual tools or modules, as dictated by their recipes.
  • a wafer may initially be moved into ECP tool 312 , where a plating layer may be applied to a wafer substrate. From there, the wafer may be advanced to the next tool where subsequent operations may be performed.
  • the wafer is advanced to CMP tool 314 , where wafer polishing takes place. After polishing, the wafer may be transferred to subsequent downstream tools 316 , where additional processing may occur.
  • any number of wafer properties may be collected by metrology tools 230 , 240 (see, FIG. 1 or FIG. 2). These properties may be collected in real-time during processing by, for example, insitu sensors 240 , or immediately after processing by, for example, inline sensors 230 . In accordance with one or more embodiments of the present invention, these properties may be forwarded to downstream functional units 322 , 324 ; upstream functional units 332 , 334 ; or back to the instant functional units 342 , 344 to optimize processing operations.
  • incoming wafer properties, functional unit state conditions, substrate material characteristic information, and other similar information may be transferred for use in optimizing processing. More specifically, these properties may be forwarded to each of the functional unit controllers where they may be inputted into the functional unit models. As discussed above, using these inputs (e.g., the actual measured wafer properties and any additional information) the models generate or modify the recipes in a manner that leads to optimal outputs or results.
  • each functional unit may forward information or processing requests to upstream functional units that may be used to optimize their own results.
  • a functional unit may inform or direct another functional unit to attempt to attain a particular target parameter that optimizes its own processing.
  • a CMP tool may direct an upstream tool (e.g., an ECP tool) to produce a thickness that assists the CMP tool in attaining its final tool product.
  • an upstream tool e.g., an ECP tool
  • the CMP tool may optimize its processing by forwarding a request to the ECP tool for a thinner plated layer.
  • the ECP tool model responds by modifying the ECP tool recipe to attain a thinner layer target parameter. For example, the ECP tool model identifies any processes that may be adjusted to attain these target parameters (e.g., a plating process) and makes appropriate modifications (e.g., decreasing the plating time).
  • the optimized wafer or product 350 (i.e., the wafer produced using the above-described optimizing techniques) may be tested 360 .
  • the results of these tests may be passed to an optimizer 380 , where additional modifications to a process may be made (STEP 384 ).
  • edge exclusion of the bevel cleaner in the ECP process plays a significant role in the removal rate behavior at the CMP process.
  • edge exclusion parameters may be measured and adjusted at ECP processes to optimize or obtain better overall module results at CMP processes.
  • the area of a plated layer at or near the edge of the substrate is known as the “bevel”.
  • a multiple step bevel cleaning process i.e., a bevel cleaner
  • This bevel cleaning process involves applying an etchant to the bevel region to remove metal near the substrate edge.
  • the metallization i.e., the plated material
  • the etchant is then removed from the substrate through rinsing with deionized water.
  • the substrate is dried by spinning the substrate. The amount of material removed from the substrate constitutes the edge exclusion property of the wafer.
  • this edge exclusion property may be fed forward from an ECP tool to a CMP tool to optimize module processing.
  • the edge exclusion may be controlled at an ECP process to obtain a result that leads to optimal processing at a CMP tool.
  • CMP polishing may be adjusted to account for edge exclusion.
  • edge exclusion data may be measured at or after an ECP process and fed forward to a downstream process (e.g., a CMP process) and used in optimization of the downstream process.
  • the edge exclusion parameter at an upstream ECP process may be adjusted in response to a downstream request or problem.
  • FIG. 4 depicts one example of a flow diagram of a process sequence for optimizing functional unit processing of one or more embodiments of the present invention.
  • FIG. 4 depicts processing in a copper wiring module, it is to be understood that the process illustrated therein may be implemented in any functional unit.
  • the process may just as easily be implemented in a PVD module, the chambers in an electroplating tool, between a number of modules, etc.
  • processing commences with the delivery of a substrate or wafer to an ECP tool (STEP 402 ).
  • a substrate handler such as a robot, may be used to deliver the substrate to a first chamber of the ECP tool (e.g., an electroplating chamber).
  • the ECP tool processes the wafer (STEP 404 ) as directed by the ECP tool recipe.
  • the ECP tool applies a plating layer onto the substrate.
  • a metrology tool may be utilized to measure any number of wafer properties of the substrate (STEP 406 ).
  • any of an insitu or inline sensor may be used to measure the wafer properties.
  • the properties may be measured in real time during processing.
  • the metrology devices may collect thickness data, edge exclusion information, etc. More specifically, the thickness of a plated layer may be measured from any number of positions on the wafer. In one or more embodiments, the thickness may be measured at any number of key points, including for example, any number of inflection points on the wafer.
  • the substrate may be moved or transferred to a subsequent chamber within the tool, where any number of additional processes may be performed.
  • a rinsing process may take place in a downstream chamber to remove an edge bead of the bevel.
  • additional processes may be performed in a single chamber (i.e., the plating and rinsing processes may occur in the same chamber).
  • any number of metrology processes may occur at any time for the collection of additional wafer property data.
  • the metrology devices may collect edge exclusion data corresponding to the substrates.
  • any additional processing required to transform the data into useable information may take place (STEP 408 ).
  • the thickness measured at numerous positions on a wafer may be utilized to generate a thickness profile.
  • the metrology data may be forwarded to any number of functional units for optimizing processing at, for example, those functional units or at the transmitting functional unit.
  • the metrology data may be fed backwards to an upstream functional unit (STEP 410 ).
  • the data may be fed forward to a downstream functional unit (STEP 414 ).
  • the data may be fed back to the measuring functional unit for use in optimizing subsequent runs.
  • the metrology data may be forwarded to a CMP tool.
  • the metrology data may also be fed to a controller (STEP 412 ) for optimizing, for example, operations at a higher functional level.
  • the data may be fed back to the ECP tool to improve processing during subsequent ECP runs.
  • the wafer or substrate may be transferred to a downstream function unit (STEP 416 ).
  • the substrate may be moved or forwarded to a chamber in a CMP tool.
  • the downstream functional unit processes may be optimized utilizing, for example, the above described metrology data (STEP 418 ).
  • forwarded metrology data from an upstream functional unit may be inputted into a model by a controller for adjusting the functional unit recipe to obtain optimized processing results.
  • the information received is not limited to information from upstream functional units.
  • the controller may receive data from downstream functional units and/or information from previous runs. In this manner, information may be shared between functional units and/or processing runs to optimize processing.
  • the CMP controller may receive an indication from a downstream tool that the thickness of the wafer is thinner than optimal.
  • the CMP recipe may be adjusted to decrease a polishing pressure.
  • a CMP controller may receive a thickness profile from an upstream ECP tool. If the thickness at the center of the substrate is greater than expected, the CMP recipe may be adjusted to increase a polishing pressure at the center of the wafer.
  • feedback from a previous run at the CMP tool may indicate that a wafer thickness is greater than expected after polishing. This condition may indicate, for example, that the polishing pads of the CMP tool have worn out. In this case, the CMP recipe may be adjusted to increase polishing time to account for the wear of the pads.
  • the wafers are processed according to the optimized recipes (STEP 420 ).
  • the CMP tool polishes the wafer according to the recipe adjusted in the manner described above.
  • a metrology tool may be utilized to measure any number of wafer properties of the substrate (STEP 422 ) during or after processing by the CMP tool. Examples include copper clearing time, reflectance, thickness, electrical properties, etc.
  • any of an insitu or inline sensor may be used to measure the wafer properties.
  • the substrate may be transferred to other chambers within the CMP tool, where any number of additional processes may be performed.
  • the substrate may be transferred from a bulk polishing platen to an endpoint platen, or from an endpoint platen to a barrier polish platen.
  • additional processes may be executed in the current chamber.
  • any number of metrology processes may occur at this point for the collection of additional wafer property data for optimizing other or subsequent processes.
  • the metrology data from the CMP tool may be forwarded to any number of functional units for optimizing downstream, upstream or subsequent operation processing.
  • the metrology data may be fed backwards to an upstream functional unit including, for example, the ECP tool (STEP 424 ).
  • the data may be fed forward to a downstream functional unit (STEP 428 ), such as, for example, a barrier polishing tool.
  • the metrology data may be fed to a controller (STEP 426 ) for optimizing, for example, operations at a higher functional level.
  • the data may be fed back to the measuring functional unit to improve processing during subsequent runs.
  • the wafer or substrate may be transferred to a downstream functional unit (STEP 430 ).
  • the substrate may be moved or forwarded to a chamber in a barrier polishing tool.
  • an output property of the CMP tool is optimized by controlling a number of CMP and/or ECP process steps, according to wafer property metrology data collected by the CMP and/or ECP tools.
  • Examples of the output property that may be optimized include a sheet resistance distribution uniformity and interconnect line resistance, etc.
  • the wafer or substrate may be delivered to a bulk polishing platen (see, e.g., STEP 416 in FIG. 4 and Chamber 1 in FIG. 2) of a CMP tool.
  • a bulk polishing platen see, e.g., STEP 416 in FIG. 4 and Chamber 1 in FIG. 2
  • relatively large amounts of plated material e.g., copper metallization applied at the ECP tool in STEP 404
  • a metrology device may be used to measure metrology data or wafer property information.
  • an inline sensor may be used to gather thickness data.
  • the wafer may be transferred to a copper clearing platen (see, e.g., Chamber 2 in FIG. 2) where additional plated material may be removed.
  • a variety of metrology data may be collected at the second platen.
  • the data may include thickness uniformity as determined by a reflectance value measured in any number of zones on the wafer.
  • the wafer may be transferred to a third platen (e.g., the barrier polish platen [i.e., Chamber 3 in FIG. 2]), where additional processing and metrology collection may take place.
  • the barrier polish platen i.e., Chamber 3 in FIG. 2
  • wafer property information that may be collected at the third platen include electrical parameters such as line resistance, leakage, etc.
  • the metrology data measured at any combination of the three platens may be transmitted to, for example, a module controller (e.g., controller 114 in FIG. 2). Subsequently, the controller modifies or optimizes the recipes used to control processing of the individual platens. As an example, the controller may modify any of the target parameters of the bulk polish platen, including, for example, pressure, speed, etc., according to the forwarded metrology data.
  • a module controller e.g., controller 114 in FIG. 2
  • the controller modifies or optimizes the recipes used to control processing of the individual platens.
  • the controller may modify any of the target parameters of the bulk polish platen, including, for example, pressure, speed, etc., according to the forwarded metrology data.
  • data from prior processes may also be utilized in the optimization.
  • information from, for example, downstream etch or dielectric deposition functional units may be utilized to optimize CMP polishing processes.
  • Specific examples of information that may be forwarded includes dielectric deposition thickness and uniformity, critical dimensions such as line depth and width after an etch process, trench depth value, uniformity after an etch process, etc.
  • FIG. 5 one example of a process utilizable for controlling the forwarding of feedback and/or feedforward information is depicted.
  • the example shown in FIG. 5 depicts processing in the ECP tool of a copper wiring module, it is to be understood that at least one or more embodiments of the process illustrated therein may be implemented in any functional unit.
  • the ECP tool processes a wafer according to a tool recipe as described above (STEP 504 ). For example, a layer may be applied onto the substrate.
  • any number of metrology tools may be utilized to collect metrology data (i.e., wafer properties) from the wafer.
  • the thickness of the plated layer may be measured at a number of key points (STEP 508 ).
  • any necessary data transformations or processing may occur (STEP 512 ).
  • a thickness profile may be generated using the thickness measured at each of the above mentioned key points.
  • other metrology data may also be measured.
  • edge exclusion data may also be measured at this time (STEP 516 ).
  • the data may be forwarded only if the measured property is not within a range of acceptable limits (STEP 520 ).
  • the metrology data may be automatically forwarded (to predetermined functional units) if the difference in thickness is greater than an acceptable level (STEP 532 ). These conditions indicate, for example, that a deficiency exists, which must be addressed. On the other hand, if the thickness is within an acceptable range, no information is forwarded (STEP 524 ). These conditions indicate, for example, that no modifications are necessary.
  • Another alternative (and possibly parallel) method that may be used to determine whether metrology data should be forwarded focuses on the capabilities of the receiving functional unit (STEP 528 ).
  • the metrology data is forwarded only if the other functional unit (i.e., the recipient of the metrology data) is capable of adequately addressing the deficiency (STEP 532 ).
  • the metrology data is not forwarded if the other functional unit (i.e., the recipient of the metrology data) is not capable of adequately addressing the deficiency (STEP 524 ).
  • this methodology metrology data is only forwarded if it is possible to remedy the deficiency.
  • any input information to be utilized in modifying the recipes is received (STEP 604 ).
  • metrology information may be forwarded to a functional unit if that unit is capable of adequately addressing a deficiency or if measured data falls outside an acceptable target range (see, e.g., FIG. 5).
  • the information may include feedforward information from an upstream functional unit (STEP 604 ).
  • the information may include feedback information from a downstream functional unit or from a previous run at the instant functional unit (STEP 608 ).
  • the input information may include any data that impacts processing of the instant functional unit. In these situations, the data may be used in modifying processes of the instant unit to ultimately optimize processing of that unit. Similarly, the input information may include any data that impacts processing of, for example, another functional unit (e.g., a downstream or upstream functional unit). In these situations, the data may be used in modifying processes of the instant unit to ultimately optimize processing of the other unit.
  • another functional unit e.g., a downstream or upstream functional unit.
  • the data may be used in modifying processes of the instant unit to ultimately optimize processing of the other unit.
  • the information may include thickness information from a plating process that may result in the alteration of bulk and fine polishing times at a downstream polishing process. For instance, a thicker than expected thickness profile may result in a longer bulk polishing step at the polishing unit.
  • the information may include a request from the polishing process to apply less plating material. In this case, exceedingly long bulk polish times may result in a request to the plating process for a reduction in plating time.
  • the input information is utilized to modify a functional unit recipe to optimize processing.
  • the functional unit recipe is examined (STEP 612 ) and modified (STEP 620 ) in view of, for example, design of experiments (DOE) information and other similar data (STEP 616 ).
  • DOE design of experiments
  • experiments derived from DOE based techniques may be used to modify or construct the functional unit recipes.
  • DOE based techniques refer to a methodology where a set of experiments are determined to optimally provide information for developing a model or specific correlation structure.
  • the models may be generated based on, for example, experimentation, previous observation, or knowledge of the desired results.
  • the models then may be use to generate or alter the recipes.
  • the operations and processes required to obtain the final products may be determined.
  • this modification step results in a new recipe (STEP 624 ), which ideally optimizes the process of a functional unit.
  • the recipe may then be forwarded or implemented at the corresponding functional unit (STEP 628 ), where it may be utilized to produce optimized outputs.
  • module 704 may include any number of tools including, for example, an ECP tool 720 , a CMP tool 730 , and any other similar tools (e.g., barrier polishing tool 740 ).
  • ECP tool 720 e.g., a plasma vapor deposition tool
  • CMP tool 730 e.g., a CMP tool 730
  • barrier polishing tool 740 e.g., barrier polishing tool 740 .
  • wafers are advanced into module 704 from upstream tools of upstream modules and, after processing by module 704 , are forwarded to downstream tools of downstream modules.
  • wafers are initially processed by ECP tool 720 , where a plating process takes place for applying a layer of copper onto the wafer substrate.
  • the wafer may be moved downstream to CMP tool 730 , where the wafers are polished to remove any excess plating material. Afterwards, the wafers may be moved to the next tool in the module 740 , which may include, for example, a barrier polishing or other similar tool.
  • the end result or final product of the module includes the remaining copper material, which forms the desired copper geometric circuit pattern.
  • module 704 additionally includes a module level controller 710 .
  • controller 710 controls operation of module 704 .
  • controller 710 may be responsible for generating and/or optimizing the recipes of the individual tools of module 704 .
  • an optimizer process 714 which may be embodied as, for example, a computer program stored in controller memory (not shown).
  • optimizer 714 utilizes a number of inputs, such as, for example, metrology data to optimize processing of the tools of module 704 .
  • optimizer 714 may receive input information from upstream and downstream modules and forward information to those modules as well.
  • optimizer 714 may also receive information regarding the characteristics of the wafer as well. For example, optimizer 714 may receive characteristics of the dielectric stack formed on the substrate for use in optimizing processes at the individual tools 716 .
  • optimizer 714 is depicted as being implemented in a module level controller (e.g., controller 710 ), it may just as easily be implemented in controllers located at other functional levels. For instance, embodiments of the present invention contemplate that an optimizer process for optimizing operations of a module may be implemented in controllers located at the fab level, the tool level, or other levels as well.
  • a number of metrology tools may be used to collect metrology data. This data may then be forwarded to optimizer 714 . As discussed, examples of the data that may be forwarded include thickness data ( 724 ) and edge exclusion information ( 722 ). This information (after any necessary transformations [see, e.g., STEP 408 in FIG. 4]) may be processed by optimizer 714 to generate, for example, an optimized recipe for CMP tool 730 . Subsequently, the optimized recipe is downloaded to CMP tool 730 for use in controlling CMP processing runs. For example, an extremely thick profile from ECP tool 720 may cause optimizer 714 to direct CMP tool 730 (via a CMP tool recipe) to spend more time on a bulk polishing step to remove greater amounts of material.
  • a number of metrology tools may be used to collect metrology data.
  • This data may similarly be forwarded to optimizer 714 .
  • One example of data that may be forwarded includes copper clearing time information ( 732 ).
  • This information may be processed by optimizer 714 to generate, for example, an optimized recipe for ECP tool 720 and/or any other tools (e.g., tool 740 ).
  • the optimized recipe is downloaded to ECP tool 720 and tool 740 for use in controlling their processing runs ( 726 and 742 ).
  • optimizer 714 may receive from or transmit to upstream modules ( 752 ) and downstream modules ( 756 ) for optimizing module level processing. Similar techniques may also be implemented within an individual tool for optimizing processing at the chamber and other levels as well.
  • FIG. 8 illustrates a block diagram of one example of the internal hardware of any of the controllers utilized to implement the models discussed above, examples of which include any of a number of different types of computers such as those having PentiumTM based processors as manufactured by Intel Corporation of Santa Clara, Calif.
  • a bus 856 serves as the main information link interconnecting the other components of the system.
  • CPU 858 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of the instant invention as well as other programs.
  • Read only memory (ROM) 860 and random access memory (RAM) 862 constitute the main memory of the system.
  • Disk controller 864 interfaces one or more disk drives to the system bus 856 .
  • disk drives are, for example, floppy disk drives 870 , or CD ROM or DVD (digital video disks) drives 866 , or internal or external hard drives 868 .
  • CPU 858 can be any number of different types of processors, including those manufactured by Intel Corporation or Motorola of Schaumberg, Illinois.
  • the memory/storage devices can be any number of different types of memory devices such as DRAM and SRAM as well as various types of storage devices, including magnetic and optical media. Furthermore, the memory/storage devices can also take the form of a transmission.
  • a display interface 872 interfaces display 848 and permits information from the bus 856 to be displayed on display 848 .
  • Display 848 is also an optional accessory.
  • Communications with external devices such as the other components of the system described above, occur utilizing, for example, communication port 874 .
  • port 874 may be interfaced with a bus/network linked to a CMP tool.
  • Optical fibers and/or electrical cables and/or conductors and/or optical communication e.g., infrared, and the like
  • wireless communication e.g., radio frequency (RF), and the like
  • Peripheral interface 854 interfaces the keyboard 850 and mouse 852 , permitting input data to be transmitted to bus 856 .
  • the control system also optionally includes an infrared transmitter 878 and/or infrared receiver 876 .
  • Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission.
  • the control system may also optionally use a low power radio transmitter 880 and/or a low power radio receiver 882 .
  • the low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver.
  • FIG. 9 is an illustration of an exemplary computer readable memory medium 984 utilizable for storing computer readable code or instructions including the model(s), recipe(s), etc).
  • medium 984 may be used with disk drives illustrated in FIG. 8.
  • memory media such as floppy disks, or a CD ROM, or a digital video disk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein.
  • ROM 860 and/or RAM 862 can also be used to store the program information that is used to instruct the central processing unit 858 to perform the operations associated with the instant processes.
  • suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc.
  • the computer readable medium can be a transmission.
  • Embodiments of the present invention contemplate that various portions of software for implementing the various aspects of the present invention as previously described can reside in the memory/storage devices.

Abstract

Techniques for controlling an output property during wafer processing include forwarding feedforward and feedback information between functional units in a wafer manufacturing facility. At least some embodiments of the invention envision implementing such techniques in a copper wiring module to optimize a sheet resistance or an interconnect line resistance. Initially, a first wafer property is measured during or after processing by a plating process. Subsequently, the wafer is forwarded to a polishing process. A second wafer property is then measured during or after processing by the second process. At least one of these first and second wafer properties are used to optimize the second process. Specifically, one or more target parameters of a second process recipe are adjusted in a manner that obtains a desired final output property on the wafer by using these first and second wafer properties. Examples of the wafer properties that may be measured at the first process include thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity. Examples of the wafer properties that may be measured at the second process include copper clearing time, reflectance, thickness, and an electrical property.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application claims the benefit of U.S. Provisional Application 60/366,270, filed on Mar. 22, 2002, which is incorporated herein by reference.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor wafer manufacturing systems and processes. More particularly, the present invention relates to techniques for optimizing semiconductor manufacturing processes at, for example, the fab, tool, and/or module levels using feedback and/or feedforward information. Even more particularly, the present invention relates to techniques for optimizing semiconductor manufacturing processes at, for example, a copper wiring module using feedback and/or feedforward information from the various tools within the module. [0002]
  • BACKGROUND OF THE INVENTION
  • Present-day semiconductor wafer fabrication factories (or ‘fabs’) are extremely complex environments that require an extraordinary amount of coordination. A typical fab may consist of hundreds of wafer processing functional units. Examples of these functional units include modules, submodules, tools, cluster tools, chambers, and any other entities responsible for performing one or more of a variety of operations or processes on a semiconductor wafer. The subject of processing by these functional units includes semiconductor wafers, which may be processed into a wide variety of items such as logic (e.g., central processing units) or memory (e.g., DRAMs). Each tool in the fab is responsible for performing one or more operations or series of operations that result in the final product. After a tool performs its operation, the wafer may be forwarded to a downstream tool where additional operations or series of operations may be performed. Each tool may process wafers according to hundreds of distinct processes, with each having hundreds of individual steps. Ultimately, the sum of the operations performed by these tools (e.g., the functional units in the fab) on the wafer results in the final product or the final state of the wafer. [0003]
  • In typical situations, the tools may be grouped, either logically or physically, into modules (which constitute a higher level functional unit relative to the tools) to produce a module level product (e.g., a product at the module level). For example, a number of tools may be grouped together in a copper wiring module to produce intricate copper geometric circuit patterns on the substrate of a wafer. These modules may include, at one or more portions thereof, any number of tools such as, for example, electro chemical plating (ECP) tools, chemical mechanical polishing (CMP) tools, and other similar tools. In a typical processing scheme, wafers are initially moved into a chamber of the ECP tool where an electroplating or plating process takes place. The result of the plating process is the application of, for example, a thin layer of copper on the wafer substrate. From there, the wafer may be moved downstream to a CMP tool. The CMP tool polishes the wafer to remove any excess metallization (i.e., the plating or plated material). Afterwards, the wafer may be moved to the next tool in the module, which may include, for example, a barrier polishing or other similar tool. The end result or final product of the module includes the remaining copper material, which forms the desired copper geometric circuit pattern. [0004]
  • In addition to the above-described processes performed by the functional units on a wafer (e.g., the application and subsequent polishing of metallization by tools in a copper wiring module), a number of quality control operations may be implemented within the functional units to improve the overall quality of the fab. In typical situations, any number of wafer attributes or properties may be measured during or after processing by a functional unit. These measured properties may then be compared against the expected results or target parameters. If a measured property deviates too greatly from an expected result, a modification or adjustment may be made to the processing operation or procedure of the functional unit in an attempt to address the deficiency. [0005]
  • Thus, with the copper wiring module described above, after plating by an ECP tool, the thickness of a layer applied to a wafer may be measured to generate a thickness profile. If the plated layer is too thick, the ECP tool recipe may be modified to decrease a plating time (i.e., the amount of time plating material is applied to the wafer). In a similar manner, after polishing at a CMP tool, the thickness of the polished layer may be similarly measured. If the layer is too thick, the CMP tool recipe may be modified to increase a polishing time (i.e., the amount of time the wafer is polished). In this manner, the control processes of the individual functional units within a fab may be modified to increase effectiveness and efficiency. [0006]
  • To implement these quality control measures, conventional wafer manufacturing systems contemplate, for example, that an engineer may inspect the product of a process after each step and manually update the recipe of that particular functional unit to address any unsatisfactory results. These products are monitored by using, for example, sensors or metrology devices after each processing step. More particularly, a wafer may be physically removed from the processing line, where any number of wafer properties may be measured, and subsequently returned to the line. For example, in the copper wiring module described above, a wafer may be removed after processing from a chamber in an ECP tool to allow measuring of a copper thickness. From there, the measured properties (e.g., the copper thickness) may be compared against the expected results or target parameters. When a less than satisfactory property or condition is identified, a modification may be made to the functional unit recipe to address the deficiency. [0007]
  • While these techniques addressed some of the problems faced with certain types of individual functional units (e.g., situations where the results of processing by a lone tool have drifted outside an acceptable range), they failed to consider the dramatic impact a wafer property at one functional unit could have on the processing effectiveness of another functional unit. Instead of sharing information between the functional units (e.g., between the ECP and CMP tools of a copper wiring module), the conventional approach was to address each functional unit and each problem individually. [0008]
  • One reason for this approach was the limited connectivity capability of the functional units. For example, the ECP tools and CMP tools of a copper wiring module were not capable of communicating easily with each other. Other reasons stemmed from the inability of the metrology devices of those functional units to collect data at a wafer level basis. [0009]
  • As a result, these conventional quality control processes had no way of addressing a deficient property measured at one functional unit anywhere but at that functional unit. Similarly, these processes did not share or transfer information upstream, downstream, or between runs to optimize processing. Thus, information measured at a CMP tool was not fed back to an ECP tool for purposes of optimizing processing of the CMP tool. This led to situations where one deficiency or problem may have been compounded by the existence of other problems at other functional units. In some cases, the remedies to a problem at one functional unit produced a result that may have been satisfactory to the first functional unit, but resulted in a condition or deficiency that was impossible to resolve at a downstream functional unit. As an example, to address the problem of a thicker than desirable plated layer, an ECP tool might decrease a plating time. While this modification may have resulted in a satisfactory result at the ECP tool, it may have also left a layer so thin that downstream CMP tools could not adequately process the wafer. [0010]
  • In the above and other cases, processing at one functional unit may be more effective if information could be utilized at other functional units to produce results that increase the effectiveness of processing of the first functional unit. For example, a CMP tool may process wafers more effectively if it could forward optimal processing information to an ECP tool. In this manner, the CMP tool may instruct the ECP tool to, for example, decrease a plating thickness. [0011]
  • What is therefore needed is a technique for optimizing semiconductor wafer manufacturing processes within a copper wiring module. Specifically, what is needed is a technique that transfers information from one tool within the copper wiring module to another for purpose of optimizing a copper wiring module output property. What is also needed is a technique that allows information or data to be transmitted between copper wiring module tools and/or processing runs. As a result, a tool may direct or request another tool to produce a result that provides optimal processing conditions for the requesting tool. [0012]
  • SUMMARY OF THE INVENTION
  • The present invention addresses the needs and the problems described above by using feedback and feedforward information to optimize manufacturing processes in a fab. For example, the invention may be implemented in a copper wiring module to optimize a copper wiring module output property such as a sheet resistance or an interconnect line resistance. Specifically, a first wafer property is initially measured during or after processing by a first process. One example of the first process includes an electro chemical plating process. Examples of the wafer properties that may be measured at the first process include thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity. Subsequently, the wafer is forwarded to a second process. An example of the second process includes a chemical mechanical polishing process. A second wafer property is then measured during or after processing by the second process. Examples of the wafer properties that may be measured at the second process include copper clearing time, reflectance, thickness, and an electrical property. Subsequently, at least one of these first and second wafer properties is used to optimize the second process. Specifically, one or more target parameters of a second process recipe are adjusted in a manner that obtains a desired final output property on the wafer (e.g., a sheet resistance or an interconnect line resistance) by using these first and second wafer properties. [0013]
  • In one or more parallel and at least somewhat overlapping embodiments, the second process (e.g., the CMP process) includes a bulk polish process, an endpoint process, and a barrier polish process. In these embodiments, information may be measured at any combination of these processes for optimization of the second process. Examples include thickness profile information collected during or after the bulk polish process, copper clearing information collected during or after the endpoint process, and/or electrical property information collected during or after the barrier polish process.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Various objects, features, and advantages of the present invention can be more fully appreciated as the same become better understood with reference to the following detailed description of the present invention when considered in connection with the accompanying drawings, in which: [0015]
  • FIG. 1 depicts one example of a block diagram representation of a semiconductor manufacturing facility or fab utilizable for implementing one or more aspects of the present invention; [0016]
  • FIG. 2 depicts one example of a block diagram representation of a semiconductor manufacturing tool utilizable for producing a tool product in the fab of FIG. 1; [0017]
  • FIG. 3 depicts one example of a process implementable for using feedback and feedforward information to optimize functional unit processing; [0018]
  • FIG. 4 depicts one example of a flow diagram of a process sequence for optimizing functional unit processing of one or more of the embodiments of the present invention; [0019]
  • FIG. 5 depicts one example of a process utilizable for forwarding feedback and/or feedforward information; [0020]
  • FIG. 6 depicts one example of a flow diagram of a process sequence for receiving and utilizing feedforward and feedback information to modify and/or generate functional unit recipes; [0021]
  • FIG. 7 depicts one example of a combined hardware and control process diagram of a copper wiring module illustrating one or more embodiments of the present invention; [0022]
  • FIG. 8 is a high-level block diagram depicting aspects of computing devices contemplated as part of and for use with one or more embodiments of the present invention; and [0023]
  • FIG. 9 illustrates one example of a memory medium which may be used for storing a computer implemented process of one or more embodiments of the present invention.[0024]
  • DETAILED DESCRIPTION OF THE INVENTION
  • In accordance with one or more embodiments of the present invention, feedback and feedforward information is measured, transmitted and utilized by a number of functional units to optimize manufacturing processes used in the production of semiconductor wafers. For example, a sheet resistance or an interconnect line resistance output property of a copper wiring module may be optimized using information measured at the various tools within the module. Specifically, a first wafer property is initially measured during or after processing by a first process. Subsequently, the wafer is forwarded to a second process. A second wafer property is then measured during or after processing by the second process. At least one of these first and second wafer properties are used to optimize the second process. Specifically, one or more target parameters of a second process recipe are adjusted in a manner that obtains a desired final output property on the wafer by using these first and second wafer properties. [0025]
  • FIG. 1 depicts one example of a block diagram representation of a semiconductor manufacturing facility or fab [0026] 100 utilizable for implementing one or more aspects of the present invention. Fab 100 may be used to process semiconductor wafers to produce any number of semiconductor products, such as DRAMs, processors, etc. As shown in FIG. 1, fab 100 includes, among other components, any number of modules 120. Modules 120 individually process wafers to produce a module final product and operate in conjunction with each other to produce a fab final product. During operation, wafers are passed from one module to another where any number of operations may be performed. Examples of modules include copper wiring modules, physical vapor deposition (PVD) modules, dep-etch modules, and the like.
  • Each module includes, among other components, any number of [0027] tools 130. In a manner analogous to the above, tools 130 individually process wafers to produce a tool final product and operate in conjunction with each other to produce a module final product. Thus, similar to the module level, wafers are passed from one tool to another where any number of operations may be performed, the ultimate goal of which is to arrive at the module final product. In one or more embodiments of the present invention, at least some of the tools can be “cluster tools” (or the like) capable of performing multiple functions. Examples of tools include electro chemical plating (ECP), chemical mechanical polishing (CMP), chemical vapor deposition, etching, copper barrier seed, barrier polishing tools, and the like.
  • FIG. 2 depicts one example of a block diagram representation of a semiconductor manufacturing tool utilizable for producing a tool product in the fab of FIG. 1. [0028] Tool 130 includes any number of processing chambers 220. Each chamber may be responsible for performing a process or series of processes on a wafer. The sum total of these processes results in a tool final product. As an example, an ECP tool may include an electroplating or plating chamber, a material removal chamber, and a clearing chamber. In these examples, the plating chamber is responsible for applying a thin layer of plating material (i.e., metallization) onto a wafer substrate. From there, the wafer may be moved to the next chamber, in this case, the removal chamber, where any excess material may be removed. Processing continues in this manner, with the wafer being advanced from one chamber to another, until the desired final product has been produced. In conjunction with the processing that occurs in the ECP chambers, any number of metrology devices may be implemented to measure wafer property information (e.g., during or after processing in a chamber). Examples of wafer property information that may be collected include thickness profile information, edge exclusion data, copper film thickness, sheet resistance profile, reflectance, resistivity drop, reflectivity, etc.
  • As another example, [0029] chambers 220 in FIG. 2 may correspond to the platens in a CMP tool. In this example, a first platen (i.e., Chamber 1) may represent, for example, a bulk polish platen. The bulk polish platen may be utilized to remove relatively large amounts of plating material. In conjunction with bulk polishing, a metrology device may be implemented to measure wafer property information such as, for example, plating thickness, etc. Continuing with this example, the second platen (i.e., Chamber 2) may represent a copper clearing or endpoint platen. This platen performs a slower polishing step, and may be utilized to terminate the polishing process at an endpoint. In conjunction with this polishing process, a metrology device may be implemented to measure wafer property information, such as, for example, a copper clearing profile, reflectance, thickness uniformity, etc. The third platen (i.e., Chamber 3) may represent a barrier polish platen. Like the preceding platens, a metrology device may be implemented to measure wafer property information, such as, for example, electrical properties, sheet resistance, line resistance, leakage, capacitance, yield, deflectivity, etc. A commercial embodiment of a CMP apparatus could be, for example, any of a number of processing stations or devices offered by Applied Materials, Inc. of Santa Clara, Calif. including, for example, the Mirra Mesa™ CMP device.
  • The above described fabs, modules, tools, and chambers constitute examples of the different functional units within a typical wafer manufacturing facility. [0030]
  • Referring to FIGS. 1 and 2, and as will be discussed in greater detail below, in one or more embodiments of the present invention, any number of controllers may be implemented within the fab for overseeing operation of the various functional units. For example, controller [0031] 110 (FIG. 1) may be implemented at the fab level for controlling high-level operations of the entire fab. In a similar manner, lower level controllers, such as module level controller 112 (FIG. 1) and tool level controller 114 (FIG. 2) may be implemented at the module and tool levels for controlling processing by those functional units. In addition, controllers may also be implemented to control multiple functional units and functional units at distinct levels. One example of a tool controller includes iAPC offered by Applied Materials, Inc. of Santa Clara, Calif.
  • In addition to the controllers, any number of sensors or metrology devices may be implemented within the fab to operate in conjunction with the controllers for, e.g., quality control purposes. These metrology tools may be implemented as integrated or [0032] insitu sensors 240 within the functional units themselves or as inline sensors 230 outside of the functional units. As will be discussed in greater detail below, these sensors are implemented to collect wafer or metrology data, including, for example, any number of wafer properties, during or after processing by the functional units. In accordance with one or more embodiments of the present invention, this data may then be transmitted back to the instant processing functional unit, or other upstream or downstream functional units, and utilized to optimize processing procedures. Examples of such metrology tools include the RS-75™ offered by KLA-Tencor of San Jose, Calif. Examples of wafer properties that may be collected and transmitted include thickness, clearing time, reflectivity, etc.
  • As mentioned above, the controllers are responsible for directing the operation of the functional units (e.g., the fab, modules, or tools). The controllers may be stand-alone computing units or integrated within one or more of the functional units. As will be described below, based on a desired or target product (as defined by any number of target parameters) a controller may direct any number of functional units to perform the tasks or operations required to obtain those desired targets. [0033]
  • Generally speaking, each controller utilizes any number of models to attain these targets by determining the operations necessary to produce an output or product that has properties which fall within an acceptable range of the targets. Thus, the models determine and/or optimize the processes or operations required to produce an output that is within an acceptable range of the target. As will be discussed below, these operations are included with a recipe of the functional unit. As known to those of ordinary skill in the art, the models are typically created through physical understanding, experimentation, and/or previous observation. Models may exist at any of the fab, module or tool levels. For example, the model for a functional unit may be implemented in an associated controller. Thus, a fab-wide model may be implemented in [0034] fab controller 110. Each model is responsible for determining the specifics of the processes believed to be necessary to achieve the desired target parameter.
  • In accordance with one or more embodiments of the present invention, these models receive as inputs, for example, incoming wafer properties (e.g., an incoming thickness), material characteristics (e.g., properties of a substrate), the target parameters (e.g., a desired thickness), feedback from previous runs or the runs of other functional units, and any number of other inputs or information. In this regard, information or data passed from an upstream functional unit may be termed feedforward data. Likewise, information from a previous run (in the same functional unit) or in a downstream functional unit may be termed feedback data. Using this information, the models subsequently determine or identify the processes or operations believed to be necessary to achieve the desired targets. [0035]
  • In accordance with one or more embodiments of the present invention, recipes are generated by these models for obtaining the wafer properties required to achieve or obtain the desired final product. These recipes constitute a set of predefined process parameters believed to be required to effectuate a functional unit processing outcome. For example, a typical tool recipe may dictate one or more setpoints for any number of processes required to effect a desired tool output. Thus, a recipe may identify the required temperature, pressure, power, processing time, lift position, and flow rate of a material needed to produce a particular target wafer result. Examples of these results include film thickness, uniformity profiles, via depth, trench depth, sheet resistance, uniformity of the copper patterns, etc. An example of a technique utilizable for generating recipes is described in U.S. patent application Ser. No. 09/998,372, filed on Nov. 30, 2001, assigned to Applied Materials, Inc., of Santa Clara, Calif., which is incorporated herein by reference. [0036]
  • Referring now to FIG. 3, a high level process utilizable for implementing at least some optimizing techniques contemplated by one or more embodiments of the present invention is depicted. As shown in FIG. 3, a number of [0037] processes 312, 314, 316 are implemented in a module or other functional unit grouping. Processes 312, 314, 316 represent the processes or series of processes that are performed on a wafer to result in a final product. For example, processes 312, 314, 316 may correspond to the chambers in an individual tool or to the tools within a submodule or module. Thus, in the case of a copper wiring module, processes 312, 314, 316 may represent ECP, CMP and barrier polishing processes, respectively. Additionally, some other examples of plating processes contemplated by one or more embodiments of the present invention include electroless plating, physical vapor deposition plating, and other similar plating processes. Similarly, other examples of polishing processes contemplated by one or more embodiments of the present invention include electropolishing techniques and other similar processes.
  • As mentioned above, wafers are passed from one process to another where any number of operations may be performed by, for example, individual tools or modules, as dictated by their recipes. Thus, in the above copper wiring module example, a wafer may initially be moved into [0038] ECP tool 312, where a plating layer may be applied to a wafer substrate. From there, the wafer may be advanced to the next tool where subsequent operations may be performed. In this example, after processing has been completed at ECP tool 312, the wafer is advanced to CMP tool 314, where wafer polishing takes place. After polishing, the wafer may be transferred to subsequent downstream tools 316, where additional processing may occur.
  • In accordance with one or more embodiments of the present invention, at each processing step (i.e., at each of [0039] processes 312, 314, 316), any number of wafer properties may be collected by metrology tools 230, 240 (see, FIG. 1 or FIG. 2). These properties may be collected in real-time during processing by, for example, insitu sensors 240, or immediately after processing by, for example, inline sensors 230. In accordance with one or more embodiments of the present invention, these properties may be forwarded to downstream functional units 322, 324; upstream functional units 332, 334; or back to the instant functional units 342, 344 to optimize processing operations.
  • In addition to this metrology data, other information may also be transferred to the functional units. For example, incoming wafer properties, functional unit state conditions, substrate material characteristic information, and other similar information may be transferred for use in optimizing processing. More specifically, these properties may be forwarded to each of the functional unit controllers where they may be inputted into the functional unit models. As discussed above, using these inputs (e.g., the actual measured wafer properties and any additional information) the models generate or modify the recipes in a manner that leads to optimal outputs or results. [0040]
  • In accordance with one or more embodiments of the present invention, each functional unit may forward information or processing requests to upstream functional units that may be used to optimize their own results. In particular, a functional unit may inform or direct another functional unit to attempt to attain a particular target parameter that optimizes its own processing. Thus, a CMP tool may direct an upstream tool (e.g., an ECP tool) to produce a thickness that assists the CMP tool in attaining its final tool product. A simple example includes a large thickness at the CMP tool that requires a longer than optimal CMP polish time. In this situation, the CMP tool may optimize its processing by forwarding a request to the ECP tool for a thinner plated layer. If possible, the ECP tool model responds by modifying the ECP tool recipe to attain a thinner layer target parameter. For example, the ECP tool model identifies any processes that may be adjusted to attain these target parameters (e.g., a plating process) and makes appropriate modifications (e.g., decreasing the plating time). [0041]
  • After processing, the optimized wafer or product [0042] 350 (i.e., the wafer produced using the above-described optimizing techniques) may be tested 360. The results of these tests may be passed to an optimizer 380, where additional modifications to a process may be made (STEP 384).
  • The above techniques may be used to address unforeseeable relationships between the properties as well. In accordance with one or more embodiments of the present invention, the edge exclusion of the bevel cleaner in the ECP process (discussed below) plays a significant role in the removal rate behavior at the CMP process. As a result, edge exclusion parameters may be measured and adjusted at ECP processes to optimize or obtain better overall module results at CMP processes. [0043]
  • More specifically, the area of a plated layer at or near the edge of the substrate (i.e., the perimeter of the substrate) is known as the “bevel”. A number of problems occur at the bevel of the substrate. For example, because of higher current densities, the bevel tends to have a higher rate of deposition than at other areas of the substrate. Contact points present at or near the edge of the substrate may break after plating leading to irregularities at the edge. Thus, a multiple step bevel cleaning process (i.e., a bevel cleaner) is used to remove the bevel. This bevel cleaning process involves applying an etchant to the bevel region to remove metal near the substrate edge. Specifically, the metallization (i.e., the plated material) is removed from the substrate at a certain distance from the substrate edge. The etchant is then removed from the substrate through rinsing with deionized water. Lastly, the substrate is dried by spinning the substrate. The amount of material removed from the substrate constitutes the edge exclusion property of the wafer. [0044]
  • In accordance with one or more embodiments of the present invention, this edge exclusion property may be fed forward from an ECP tool to a CMP tool to optimize module processing. For example, larger edge exclusions on a substrate allow shorter relative polishing time at the edges during CMP processing. As a result, the edge exclusion may be controlled at an ECP process to obtain a result that leads to optimal processing at a CMP tool. In a similar manner, CMP polishing may be adjusted to account for edge exclusion. Thus, in these situations, edge exclusion data may be measured at or after an ECP process and fed forward to a downstream process (e.g., a CMP process) and used in optimization of the downstream process. Likewise, the edge exclusion parameter at an upstream ECP process may be adjusted in response to a downstream request or problem. [0045]
  • FIG. 4 depicts one example of a flow diagram of a process sequence for optimizing functional unit processing of one or more embodiments of the present invention. Although the example shown in FIG. 4 depicts processing in a copper wiring module, it is to be understood that the process illustrated therein may be implemented in any functional unit. For example, the process may just as easily be implemented in a PVD module, the chambers in an electroplating tool, between a number of modules, etc. [0046]
  • Initially, processing commences with the delivery of a substrate or wafer to an ECP tool (STEP [0047] 402). Specifically, a substrate handler, such as a robot, may be used to deliver the substrate to a first chamber of the ECP tool (e.g., an electroplating chamber). Once the substrate is positioned in the tool chamber, the ECP tool processes the wafer (STEP 404) as directed by the ECP tool recipe. In this example, the ECP tool applies a plating layer onto the substrate.
  • During (or after) the processing operations (e.g., STEP [0048] 404), a metrology tool may be utilized to measure any number of wafer properties of the substrate (STEP 406). For example, any of an insitu or inline sensor may be used to measure the wafer properties. With insitu sensors, the properties may be measured in real time during processing. With inline sensors, the properties may be measured immediately after processing by the tool. In the case of an ECP tool plating process, the metrology devices may collect thickness data, edge exclusion information, etc. More specifically, the thickness of a plated layer may be measured from any number of positions on the wafer. In one or more embodiments, the thickness may be measured at any number of key points, including for example, any number of inflection points on the wafer.
  • Upon completion of a process, the substrate may be moved or transferred to a subsequent chamber within the tool, where any number of additional processes may be performed. For example, a rinsing process may take place in a downstream chamber to remove an edge bead of the bevel. Alternatively, additional processes may be performed in a single chamber (i.e., the plating and rinsing processes may occur in the same chamber). Whatever the case, any number of metrology processes may occur at any time for the collection of additional wafer property data. For instance, as discussed above, the metrology devices may collect edge exclusion data corresponding to the substrates. [0049]
  • Once the metrology data has been collected, any additional processing required to transform the data into useable information may take place (STEP [0050] 408). For example, in the case of thickness data, the thickness measured at numerous positions on a wafer may be utilized to generate a thickness profile.
  • In accordance with one or more embodiments of the present invention, the metrology data may be forwarded to any number of functional units for optimizing processing at, for example, those functional units or at the transmitting functional unit. As one example, the metrology data may be fed backwards to an upstream functional unit (STEP [0051] 410). Similarly, the data may be fed forward to a downstream functional unit (STEP 414). In addition, the data may be fed back to the measuring functional unit for use in optimizing subsequent runs. Referring back to the above-described copper wiring module, after completion of processing at an ECP tool, the metrology data may be forwarded to a CMP tool. The metrology data may also be fed to a controller (STEP 412) for optimizing, for example, operations at a higher functional level. Likewise, the data may be fed back to the ECP tool to improve processing during subsequent ECP runs.
  • Once processing has been completed at a particular functional unit, the wafer or substrate may be transferred to a downstream function unit (STEP [0052] 416). In this example, the substrate may be moved or forwarded to a chamber in a CMP tool.
  • In accordance with one or more embodiments of the present invention, before processing takes place at the downstream functional unit, the downstream functional unit processes may be optimized utilizing, for example, the above described metrology data (STEP [0053] 418). For instance, forwarded metrology data from an upstream functional unit may be inputted into a model by a controller for adjusting the functional unit recipe to obtain optimized processing results. In addition, the information received is not limited to information from upstream functional units. As mentioned above, the controller may receive data from downstream functional units and/or information from previous runs. In this manner, information may be shared between functional units and/or processing runs to optimize processing.
  • As an example, the CMP controller may receive an indication from a downstream tool that the thickness of the wafer is thinner than optimal. In this case, the CMP recipe may be adjusted to decrease a polishing pressure. As another example, a CMP controller may receive a thickness profile from an upstream ECP tool. If the thickness at the center of the substrate is greater than expected, the CMP recipe may be adjusted to increase a polishing pressure at the center of the wafer. As yet another example, feedback from a previous run at the CMP tool may indicate that a wafer thickness is greater than expected after polishing. This condition may indicate, for example, that the polishing pads of the CMP tool have worn out. In this case, the CMP recipe may be adjusted to increase polishing time to account for the wear of the pads. [0054]
  • After optimization, the wafers are processed according to the optimized recipes (STEP [0055] 420). For example, the CMP tool polishes the wafer according to the recipe adjusted in the manner described above. Subsequently, a metrology tool may be utilized to measure any number of wafer properties of the substrate (STEP 422) during or after processing by the CMP tool. Examples include copper clearing time, reflectance, thickness, electrical properties, etc. As with the ECP tools, any of an insitu or inline sensor may be used to measure the wafer properties.
  • After the metrology data has been collected, the substrate may be transferred to other chambers within the CMP tool, where any number of additional processes may be performed. For example, the substrate may be transferred from a bulk polishing platen to an endpoint platen, or from an endpoint platen to a barrier polish platen. Alternatively, additional processes may be executed in the current chamber. Like with the above, any number of metrology processes may occur at this point for the collection of additional wafer property data for optimizing other or subsequent processes. [0056]
  • In accordance with one or more embodiments of the present invention, the metrology data from the CMP tool may be forwarded to any number of functional units for optimizing downstream, upstream or subsequent operation processing. In particular, the metrology data may be fed backwards to an upstream functional unit including, for example, the ECP tool (STEP [0057] 424). Similarly, the data may be fed forward to a downstream functional unit (STEP 428), such as, for example, a barrier polishing tool. Additionally, the metrology data may be fed to a controller (STEP 426) for optimizing, for example, operations at a higher functional level. Also, the data may be fed back to the measuring functional unit to improve processing during subsequent runs.
  • Once processing has been completed at the CMP tool, the wafer or substrate may be transferred to a downstream functional unit (STEP [0058] 430). In this example, the substrate may be moved or forwarded to a chamber in a barrier polishing tool.
  • Referring to FIGS. 4 and 2, a polishing procedure occurring at the CMP tool illustrates in greater detail one or more embodiments of the present invention. In this example, an output property of the CMP tool is optimized by controlling a number of CMP and/or ECP process steps, according to wafer property metrology data collected by the CMP and/or ECP tools. Examples of the output property that may be optimized include a sheet resistance distribution uniformity and interconnect line resistance, etc. [0059]
  • After processing at the ECP tool has been completed, the wafer or substrate may be delivered to a bulk polishing platen (see, e.g., [0060] STEP 416 in FIG. 4 and Chamber 1 in FIG. 2) of a CMP tool. At the bulk polishing platen, relatively large amounts of plated material (e.g., copper metallization applied at the ECP tool in STEP 404) may be removed. During or immediately after polishing, a metrology device may be used to measure metrology data or wafer property information. In this example, an inline sensor may be used to gather thickness data. From there, the wafer may be transferred to a copper clearing platen (see, e.g., Chamber 2 in FIG. 2) where additional plated material may be removed. Like with the first platen, a variety of metrology data may be collected at the second platen. In this example the data may include thickness uniformity as determined by a reflectance value measured in any number of zones on the wafer. Finally, the wafer may be transferred to a third platen (e.g., the barrier polish platen [i.e., Chamber 3 in FIG. 2]), where additional processing and metrology collection may take place. Examples of wafer property information that may be collected at the third platen include electrical parameters such as line resistance, leakage, etc.
  • In accordance with one or more embodiments of the present invention, the metrology data measured at any combination of the three platens may be transmitted to, for example, a module controller (e.g., [0061] controller 114 in FIG. 2). Subsequently, the controller modifies or optimizes the recipes used to control processing of the individual platens. As an example, the controller may modify any of the target parameters of the bulk polish platen, including, for example, pressure, speed, etc., according to the forwarded metrology data.
  • In addition, data from prior processes may also be utilized in the optimization. For instance, information from, for example, downstream etch or dielectric deposition functional units may be utilized to optimize CMP polishing processes. Specific examples of information that may be forwarded includes dielectric deposition thickness and uniformity, critical dimensions such as line depth and width after an etch process, trench depth value, uniformity after an etch process, etc. [0062]
  • Referring now to FIG. 5, one example of a process utilizable for controlling the forwarding of feedback and/or feedforward information is depicted. Although the example shown in FIG. 5 depicts processing in the ECP tool of a copper wiring module, it is to be understood that at least one or more embodiments of the process illustrated therein may be implemented in any functional unit. [0063]
  • To start, the ECP tool processes a wafer according to a tool recipe as described above (STEP [0064] 504). For example, a layer may be applied onto the substrate. During (or immediately after) processing, any number of metrology tools may be utilized to collect metrology data (i.e., wafer properties) from the wafer. For instance, the thickness of the plated layer may be measured at a number of key points (STEP 508). After measuring the metrology data, any necessary data transformations or processing may occur (STEP 512). For example, a thickness profile may be generated using the thickness measured at each of the above mentioned key points. In addition to thickness, other metrology data may also be measured. In particular, edge exclusion data may also be measured at this time (STEP 516).
  • For each set of measured or generated metrology data, a determination may be made to determine whether the metrology data should be forwarded to other functional units or to the measuring functional unit. A number of methods exist for determining whether data should be forwarded. In some cases, the data may be forwarded only if the measured property is not within a range of acceptable limits (STEP [0065] 520). Using thickness as an example, after comparing the measured properties against expected results, the metrology data may be automatically forwarded (to predetermined functional units) if the difference in thickness is greater than an acceptable level (STEP 532). These conditions indicate, for example, that a deficiency exists, which must be addressed. On the other hand, if the thickness is within an acceptable range, no information is forwarded (STEP 524). These conditions indicate, for example, that no modifications are necessary.
  • Another alternative (and possibly parallel) method that may be used to determine whether metrology data should be forwarded focuses on the capabilities of the receiving functional unit (STEP [0066] 528). In these cases, the metrology data is forwarded only if the other functional unit (i.e., the recipient of the metrology data) is capable of adequately addressing the deficiency (STEP 532). On the other hand, the metrology data is not forwarded if the other functional unit (i.e., the recipient of the metrology data) is not capable of adequately addressing the deficiency (STEP 524). Thus, in this methodology, metrology data is only forwarded if it is possible to remedy the deficiency.
  • Referring now to FIG. 6, one example of a flow diagram of a process sequence for receiving and utilizing feedforward and feedback information to modify and/or generate functional unit recipes is depicted. Initially, any input information to be utilized in modifying the recipes is received (STEP [0067] 604). As an example, and as discussed above, metrology information may be forwarded to a functional unit if that unit is capable of adequately addressing a deficiency or if measured data falls outside an acceptable target range (see, e.g., FIG. 5). The information may include feedforward information from an upstream functional unit (STEP 604). Similarly, the information may include feedback information from a downstream functional unit or from a previous run at the instant functional unit (STEP 608).
  • In accordance with one or more embodiments of the present invention, the input information may include any data that impacts processing of the instant functional unit. In these situations, the data may be used in modifying processes of the instant unit to ultimately optimize processing of that unit. Similarly, the input information may include any data that impacts processing of, for example, another functional unit (e.g., a downstream or upstream functional unit). In these situations, the data may be used in modifying processes of the instant unit to ultimately optimize processing of the other unit. [0068]
  • As an example, the information may include thickness information from a plating process that may result in the alteration of bulk and fine polishing times at a downstream polishing process. For instance, a thicker than expected thickness profile may result in a longer bulk polishing step at the polishing unit. As another example, the information may include a request from the polishing process to apply less plating material. In this case, exceedingly long bulk polish times may result in a request to the plating process for a reduction in plating time. [0069]
  • In accordance with one or more embodiments of the present invention, the input information is utilized to modify a functional unit recipe to optimize processing. Thus, the functional unit recipe is examined (STEP [0070] 612) and modified (STEP 620) in view of, for example, design of experiments (DOE) information and other similar data (STEP 616). Generally speaking, experiments derived from DOE based techniques may be used to modify or construct the functional unit recipes. DOE based techniques refer to a methodology where a set of experiments are determined to optimally provide information for developing a model or specific correlation structure. Thus, the models may be generated based on, for example, experimentation, previous observation, or knowledge of the desired results. The models then may be use to generate or alter the recipes. Based on the input information and the desired resulting outputs, and the relationships therebetween (as defined by the models relating the inputs to the outputs), the operations and processes required to obtain the final products may be determined.
  • In accordance with one or more embodiments of the present invention, this modification step results in a new recipe (STEP [0071] 624), which ideally optimizes the process of a functional unit. The recipe may then be forwarded or implemented at the corresponding functional unit (STEP 628), where it may be utilized to produce optimized outputs.
  • Referring to FIG. 7, a combined hardware and control process diagram of a copper wiring module [0072] 704 illustrating one or more embodiments of the present invention is depicted. As mentioned above, module 704 may include any number of tools including, for example, an ECP tool 720, a CMP tool 730, and any other similar tools (e.g., barrier polishing tool 740). In a typical process, wafers are advanced into module 704 from upstream tools of upstream modules and, after processing by module 704, are forwarded to downstream tools of downstream modules. In the example of FIG. 7, wafers are initially processed by ECP tool 720, where a plating process takes place for applying a layer of copper onto the wafer substrate. From there, the wafer may be moved downstream to CMP tool 730, where the wafers are polished to remove any excess plating material. Afterwards, the wafers may be moved to the next tool in the module 740, which may include, for example, a barrier polishing or other similar tool. The end result or final product of the module includes the remaining copper material, which forms the desired copper geometric circuit pattern.
  • In accordance with one or more embodiments of the present invention, module [0073] 704 additionally includes a module level controller 710. As mentioned above, controller 710 controls operation of module 704. For example, controller 710 may be responsible for generating and/or optimizing the recipes of the individual tools of module 704. Specifically, implemented in controller 710 is an optimizer process 714 which may be embodied as, for example, a computer program stored in controller memory (not shown). In operation, optimizer 714 utilizes a number of inputs, such as, for example, metrology data to optimize processing of the tools of module 704. Similarly, optimizer 714 may receive input information from upstream and downstream modules and forward information to those modules as well. In addition to metrology information from the tools within the module, optimizer 714 may also receive information regarding the characteristics of the wafer as well. For example, optimizer 714 may receive characteristics of the dielectric stack formed on the substrate for use in optimizing processes at the individual tools 716.
  • Although [0074] optimizer 714 is depicted as being implemented in a module level controller (e.g., controller 710), it may just as easily be implemented in controllers located at other functional levels. For instance, embodiments of the present invention contemplate that an optimizer process for optimizing operations of a module may be implemented in controllers located at the fab level, the tool level, or other levels as well.
  • Referring back to FIG. 7, after (or during) processing at [0075] ECP tool 720, a number of metrology tools may be used to collect metrology data. This data may then be forwarded to optimizer 714. As discussed, examples of the data that may be forwarded include thickness data (724) and edge exclusion information (722). This information (after any necessary transformations [see, e.g., STEP 408 in FIG. 4]) may be processed by optimizer 714 to generate, for example, an optimized recipe for CMP tool 730. Subsequently, the optimized recipe is downloaded to CMP tool 730 for use in controlling CMP processing runs. For example, an extremely thick profile from ECP tool 720 may cause optimizer 714 to direct CMP tool 730 (via a CMP tool recipe) to spend more time on a bulk polishing step to remove greater amounts of material.
  • As with [0076] ECP tool 720, after (or during) processing at CMP tool 730, a number of metrology tools may be used to collect metrology data. This data may similarly be forwarded to optimizer 714. One example of data that may be forwarded includes copper clearing time information (732). This information may be processed by optimizer 714 to generate, for example, an optimized recipe for ECP tool 720 and/or any other tools (e.g., tool 740). Subsequently, the optimized recipe is downloaded to ECP tool 720 and tool 740 for use in controlling their processing runs (726 and 742).
  • In addition to feedback and feedforward information within module [0077] 704, information may also be transmitted from outside the module (e.g., from other modules). For example, optimizer 714 may receive from or transmit to upstream modules (752) and downstream modules (756) for optimizing module level processing. Similar techniques may also be implemented within an individual tool for optimizing processing at the chamber and other levels as well.
  • FIG. 8 illustrates a block diagram of one example of the internal hardware of any of the controllers utilized to implement the models discussed above, examples of which include any of a number of different types of computers such as those having Pentium™ based processors as manufactured by Intel Corporation of Santa Clara, Calif. A [0078] bus 856 serves as the main information link interconnecting the other components of the system. CPU 858 is the central processing unit of the system, performing calculations and logic operations required to execute the processes of the instant invention as well as other programs. Read only memory (ROM) 860 and random access memory (RAM) 862 constitute the main memory of the system. Disk controller 864 interfaces one or more disk drives to the system bus 856. These disk drives are, for example, floppy disk drives 870, or CD ROM or DVD (digital video disks) drives 866, or internal or external hard drives 868. CPU 858 can be any number of different types of processors, including those manufactured by Intel Corporation or Motorola of Schaumberg, Illinois. The memory/storage devices can be any number of different types of memory devices such as DRAM and SRAM as well as various types of storage devices, including magnetic and optical media. Furthermore, the memory/storage devices can also take the form of a transmission.
  • A [0079] display interface 872 interfaces display 848 and permits information from the bus 856 to be displayed on display 848. Display 848 is also an optional accessory. Communications with external devices such as the other components of the system described above, occur utilizing, for example, communication port 874. For example, port 874 may be interfaced with a bus/network linked to a CMP tool. Optical fibers and/or electrical cables and/or conductors and/or optical communication (e.g., infrared, and the like) and/or wireless communication (e.g., radio frequency (RF), and the like) can be used as the transport medium between the external devices and communication port 874. Peripheral interface 854 interfaces the keyboard 850 and mouse 852, permitting input data to be transmitted to bus 856. In addition to these components, the control system also optionally includes an infrared transmitter 878 and/or infrared receiver 876. Infrared transmitters are optionally utilized when the computer system is used in conjunction with one or more of the processing components/stations that transmits/receives data via infrared signal transmission. Instead of utilizing an infrared transmitter or infrared receiver, the control system may also optionally use a low power radio transmitter 880 and/or a low power radio receiver 882. The low power radio transmitter transmits the signal for reception by components of the production process, and receives signals from the components via the low power radio receiver.
  • FIG. 9 is an illustration of an exemplary computer readable memory medium [0080] 984 utilizable for storing computer readable code or instructions including the model(s), recipe(s), etc). As one example, medium 984 may be used with disk drives illustrated in FIG. 8. Typically, memory media such as floppy disks, or a CD ROM, or a digital video disk will contain, for example, a multi-byte locale for a single byte language and the program information for controlling the above system to enable the computer to perform the functions described herein. Alternatively, ROM 860 and/or RAM 862 can also be used to store the program information that is used to instruct the central processing unit 858 to perform the operations associated with the instant processes. Other examples of suitable computer readable media for storing information include magnetic, electronic, or optical (including holographic) storage, some combination thereof, etc. In addition, one or more embodiments of the present invention contemplate that the computer readable medium can be a transmission.
  • Embodiments of the present invention contemplate that various portions of software for implementing the various aspects of the present invention as previously described can reside in the memory/storage devices. [0081]
  • In general, it should be emphasized that the various components of embodiments of the present invention can be implemented in hardware, software, or a combination thereof. In such embodiments, the various components and steps would be implemented in hardware and/or software to perform the functions of the present invention. Any presently available or future developed computer software language and/or hardware components can be employed in such embodiments of the present invention. For example, at least some of the functionality mentioned above could be implemented using C or C++ programming languages. [0082]
  • Further, it is to be understood that terms, such as “first” or “second,” used in describing components, such as, for example, functional units and other components of the present invention herein (and in the claims), do not denote any form of order. Rather, such terms are used merely for convenience to differentiate between multiple and distinct components. [0083]
  • It is also to be appreciated and understood that the specific embodiments of the invention described hereinbefore are merely illustrative of the general principles of the invention. Various modifications may be made by those skilled in the art consistent with the principles set forth hereinbefore. [0084]

Claims (57)

We claim:
1. A method for controlling an output property during wafer processing in a copper wiring module, said method comprising the steps of:
(1) measuring plating wafer property information during or after processing by a plating process;
(2) feeding said plating wafer property information to a polishing process, said polishing process comprising at least one copper removal step;
(3) measuring polishing wafer property information during or after processing by said polishing process; and
(4) optimizing said polishing process by using at least one of said plating wafer property information and said polishing wafer property information to modify one or more target parameters of said at least one copper removal process of said polishing process to obtain a desired output property on said wafer.
2. The method of claim 1, wherein the output property comprises at least one of a sheet resistance or an interconnect line resistance.
3. The method of claim 1, further comprising:
measuring wafer property information during or after processing by one or more upstream wafer processing processes; and
further optimizing said polishing process by using said wafer property information from said one or more upstream processing processes to modify one or more target parameters of said at least one copper removal process of said polishing process.
4. The method of claim 3, wherein said one or more upstream wafer processing processes comprises at least one of an etch or dielectric deposition process.
5. The method of claim 3, wherein said wafer property information measured at said one or more upstream wafer processing processes comprises at least one of a dielectric deposition thickness, dielectric deposition uniformity, critical depth, critical width, or trench depth.
6. The method of claim 1, wherein said one or more target parameters of said polishing process comprises a removal rate parameter.
7. The method of claim 1, wherein said one or more target parameters of said polishing process comprises a removal rate parameter and a bulk polish time.
8. The method of claim 1, said plating wafer property information comprises at least one of a thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity.
9. The method of claim 1, said polishing wafer property information comprises copper clearing time, reflectance, thickness, and an electrical property.
10. The method of claim 1, wherein said polishing process comprises a bulk polish process, an endpoint process, and a barrier polish process.
11. The method of claim 10, wherein said polishing wafer property information comprises thickness profile information collected during or after said bulk polish process.
12. The method of claim 10, wherein said polishing wafer property information comprises copper clearing information collected during or after said endpoint process.
13. The method of claim 10, wherein said polishing wafer property information comprises an electrical property collected during or after said barrier polish process.
14. The method of claim 1, wherein said polishing process comprises a chemical mechanical polishing process and said plating process comprises an electro chemical plating process.
15. A copper wiring module for processes wafers, said copper wiring module comprising:
a plating tool for applying a plating to a wafer;
a first metrology device for measuring plating wafer property information during or after processing by the plating tool;
a polishing tool for polishing the wafer via at least one copper removal step;
a second metrology device for measuring plating wafer property information during or after processing by the polishing tool; and
a controller for optimizing processing of the polishing tool by using at least one of said plating wafer property information received from said first metrology device and said polishing wafer property information received from said second metrology device to modify one or more target parameters of said at least one copper removal process of said polishing process to obtain a desired output property on said wafer.
16. The system of claim 15, wherein the output property comprises at least one of a sheet resistance or an interconnect line resistance.
17. The system of claim 15, further comprising:
one or more upstream wafer processing tools;
a third metrology device for measuring wafer property information during or after processing by said one or more upstream wafer processing tools; and
wherein said controller further optimizes processing of said polishing tool by using said wafer property information from said one or more upstream processing processes to modify one or more target parameters of said at least one copper removal process of said polishing tool.
18. The system of claim 17, wherein said one or more upstream wafer processing tools comprises at least one of an etch or dielectric deposition tool.
19. The system of claim 17, wherein said wafer property information measured at said one or more upstream wafer processing processes comprises at least one of a dielectric deposition thickness, dielectric deposition uniformity, critical depth, critical width, or trench depth.
20. The system of claim 15, wherein said one or more target parameters of said polishing tool comprises a removal rate parameter.
21. The system of claim 15, wherein said one or more target parameters of said polishing tool comprises a removal rate parameter and a bulk polish time.
22. The system of claim 15, said plating wafer property information comprises at least one of a thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity.
23. The system of claim 15, wherein said polishing tool further comprises a bulk polish chamber, an endpoint chamber, and a barrier polish chamber.
24. The system of claim 23, wherein said polishing wafer property information comprises thickness profile information collected at said bulk polish chamber.
25. The system of claim 23, wherein said polishing wafer property information comprises copper clearing information collected at said endpoint chamber.
26. The system of claim 23, wherein said polishing wafer property information comprises an electrical property collected at said barrier polish chamber.
27. The system of claim 15, wherein said plating tool comprises an electro chemical plating tool and said polishing tool comprises a chemical mechanical polishing tool.
28. An optimizer for optimizing processing of a copper wiring module, said optimizer comprising:
a communications port for receiving plating wafer property information received from a first metrology device associated with a plating tool and polishing wafer property information received from a second metrology device associated with a polishing tool;
a memory for storing tool recipes used to control operation of said plating tool and said polishing tool; and
a controller for optimizing processing of said polishing tool by using at least one of said plating wafer property information and said polishing wafer property information to modify one or more target parameters of a polishing tool recipe to obtain a desired output property on a wafer.
29. A system for controlling an output property during wafer processing in a copper wiring module, said system comprising:
means for measuring plating wafer property information during or after processing by a plating process;
means for feeding said plating wafer property information to a polishing process, said polishing process comprising at least one copper removal step;
means for measuring polishing wafer property information during or after processing by said polishing process; and
means for optimizing said polishing process by using at least one of said plating wafer property information and said polishing wafer property information to modify one or more target parameters of said at least one copper removal process of said polishing process to obtain a desired output property on said wafer.
30. The system of claim 29, wherein the output property comprises at least one of a sheet resistance or an interconnect line resistance.
31. The system of claim 29, further comprising:
means for measuring wafer property information during or after processing by one or more upstream wafer processing processes; and
means for further optimizing said polishing process by using said wafer property information from said one or more upstream processing processes to modify one or more target parameters of said at least one copper removal process of said polishing process.
32. The system of claim 31, wherein said one or more upstream wafer processing processes comprises at least one of an etch or dielectric deposition process.
33. The system of claim 31, wherein said wafer property information measured at said one or more upstream wafer processing processes comprises at least one of a dielectric deposition thickness, dielectric deposition uniformity, critical depth, critical width, or trench depth.
34. The system of claim 29, wherein said one or more target parameters of said polishing process comprises a removal rate parameter.
35. The system of claim 29, wherein said one or more target parameters of said polishing process comprises a removal rate parameter and a bulk polish time.
36. The system of claim 29, said plating wafer property information comprises at least one of a thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity.
37. The system of claim 29, said polishing wafer property information comprises copper clearing time, reflectance, thickness, and an electrical property.
38. The system of claim 29, wherein said polishing process comprises a bulk polish process, an endpoint process, and a barrier polish process.
39. The system of claim 38, wherein said polishing wafer property information comprises thickness profile information collected during or after said bulk polish process.
40. The system of claim 38, wherein said polishing wafer property information comprises copper clearing information collected during or after said endpoint process.
41. The system of claim 38, wherein said polishing wafer property information comprises an electrical property collected during or after said barrier polish process.
42. The system of claim 39, wherein said plating process comprises an electro chemical plating process and said polishing process comprises a chemical mechanical polishing process.
43. An optimizer for optimizing processing of a copper wiring module, said optimizer comprising:
means for receiving plating wafer property information received from a first metrology device associated with a plating tool and polishing wafer property information received from a second metrology device associated with a polishing tool;
means for storing tool recipes used to control operation of said plating tool and said polishing tool; and
means for optimizing processing of said polishing tool by using at least one of said plating wafer property information and said polishing wafer property information to modify one or more target parameters of a polishing tool recipe to obtain a desired output property on a wafer.
44. A computer readable medium for controlling an output property during wafer processing in a copper wiring module, said computer readable medium comprising:
computer readable instructions for measuring plating wafer property information during or after processing by a plating process;
computer readable instructions for feeding said plating wafer property information to a polishing process, said polishing process comprising at least one copper removal step;
computer readable instructions for measuring polishing wafer property information during or after processing by said polishing process; and
computer readable instructions for optimizing said polishing process by using at least one of said plating wafer property information and said polishing wafer property information to modify one or more target parameters of said at least one copper removal process of said polishing process to obtain a desired output property on said wafer.
45. The computer readable medium of claim 44, wherein the output property comprises at least one of a sheet resistance or an interconnect line resistance.
46. The computer readable medium of claim 44, further comprising:
computer readable instructions for measuring wafer property information during or after processing by one or more upstream wafer processing processes; and
computer readable instructions for further optimizing said polishing process by using said wafer property information from said one or more upstream processing processes to modify one or more target parameters of said at least one copper removal process of said polishing process.
47. The computer readable medium of claim 46, wherein said one or more upstream wafer processing processes comprises at least one of an etch or dielectric deposition process.
48. The computer readable medium of claim 46, wherein said wafer property information measured at said one or more upstream wafer processing processes comprises at least one of a dielectric deposition thickness, dielectric deposition uniformity, critical depth, critical width, or trench depth.
49. The computer readable medium of claim 46, wherein said one or more target parameters of said polishing process comprises a removal rate parameter.
50. The computer readable medium of claim 46, wherein said one or more target parameters of said polishing process comprises a removal rate parameter and a bulk polish time.
51. The computer readable medium of claim 46, said plating wafer property information comprises at least one of a thickness profile, edge exclusion information, sheet resistance profile, reflectance, resistivity drop, and reflectivity.
52. The computer readable medium of claim 46, said polishing wafer property information comprises copper clearing time, reflectance, thickness, and an electrical property.
53. The computer readable medium of claim 46, wherein said polishing process comprises a bulk polish process, an endpoint process, and a barrier polish process.
54. The computer readable medium of claim 53, wherein said polishing wafer property information comprises thickness profile information collected during or after said bulk polish process.
55. The computer readable medium of claim 53, wherein said polishing wafer property information comprises copper clearing information collected during or after said endpoint process.
56. The computer readable medium of claim 53, wherein said polishing wafer property information comprises an electrical property collected during or after said barrier polish process.
57. The computer readable medium of claim 44, wherein said plating process comprises an electro chemical plating process and said polishing process comprises a chemical mechanical polishing process.
US10/393,531 2002-03-22 2003-03-21 Copper wiring module control Abandoned US20030199112A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/393,531 US20030199112A1 (en) 2002-03-22 2003-03-21 Copper wiring module control
US11/627,353 US8005634B2 (en) 2002-03-22 2007-01-25 Copper wiring module control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36627002P 2002-03-22 2002-03-22
US10/393,531 US20030199112A1 (en) 2002-03-22 2003-03-21 Copper wiring module control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/627,353 Division US8005634B2 (en) 2002-03-22 2007-01-25 Copper wiring module control

Publications (1)

Publication Number Publication Date
US20030199112A1 true US20030199112A1 (en) 2003-10-23

Family

ID=38645526

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/393,531 Abandoned US20030199112A1 (en) 2002-03-22 2003-03-21 Copper wiring module control
US11/627,353 Expired - Fee Related US8005634B2 (en) 2002-03-22 2007-01-25 Copper wiring module control

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/627,353 Expired - Fee Related US8005634B2 (en) 2002-03-22 2007-01-25 Copper wiring module control

Country Status (2)

Country Link
US (2) US20030199112A1 (en)
TW (1) TWI279871B (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050070105A1 (en) * 2003-03-14 2005-03-31 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20050087759A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US20050090093A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US20050106848A1 (en) * 2003-03-14 2005-05-19 Lam Research Corporation System and method for stress free conductor removal
US6991516B1 (en) 2003-08-18 2006-01-31 Applied Materials Inc. Chemical mechanical polishing with multi-stage monitoring of metal clearing
US7024268B1 (en) 2002-03-22 2006-04-04 Applied Materials Inc. Feedback controlled polishing processes
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
US20090240366A1 (en) * 2008-03-08 2009-09-24 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
US20090248187A1 (en) * 2007-03-21 2009-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for semiconductor processing
US20100138026A1 (en) * 2008-03-08 2010-06-03 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US7797073B1 (en) * 2002-05-28 2010-09-14 Advanced Micro Devices, Inc. Controlling processing of semiconductor wafers based upon end of line parameters
US20110131162A1 (en) * 2008-03-08 2011-06-02 Tokyo Electron Limited Autonomous biologically based learning tool
US8005634B2 (en) 2002-03-22 2011-08-23 Applied Materials, Inc. Copper wiring module control
US20130244348A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Fine tuning highly resistive substrate resistivity and structures thereof
US11244838B2 (en) * 2016-09-13 2022-02-08 Tokyo Electron Limited Substrate processing apparatus and substrate processing method of controlling discharge angle and discharge position of processing liquid supplied to peripheral portion of substrate
CN116442112A (en) * 2023-06-16 2023-07-18 合肥晶合集成电路股份有限公司 Wafer grinding control method, system, device, equipment and storage medium

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732332B2 (en) * 2006-03-10 2010-06-08 United Microelectronics Corp. Chemical mechanical polishing method with inspection pre and post processing
JP2010087243A (en) * 2008-09-30 2010-04-15 Panasonic Corp Method of manufacturing semiconductor device
US10643853B2 (en) * 2012-02-10 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer thinning apparatus having feedback control and method of using
US11289387B2 (en) * 2020-07-31 2022-03-29 Applied Materials, Inc. Methods and apparatus for backside via reveal processing

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US89676A (en) * 1869-05-04 Improved ditching-machine
US149359A (en) * 1874-04-07 Improvement in balanced slide-valves
US199082A (en) * 1878-01-08 Improvement in plow attachments
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US5081796A (en) * 1990-08-06 1992-01-21 Micron Technology, Inc. Method and apparatus for mechanical planarization and endpoint detection of a semiconductor wafer
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5282141A (en) * 1989-06-16 1994-01-25 Rieter Machine Works, Ltd. Method of blending textile fibers
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5398336A (en) * 1990-10-16 1995-03-14 Consilium, Inc. Object-oriented architecture for factory floor management
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5410473A (en) * 1992-01-07 1995-04-25 Fukuda Denshi Kabushiki Kaisha Method and apparatus for recording electrocardiogram information
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5497316A (en) * 1990-08-31 1996-03-05 Sci Systems, Inc. Process gas distribution system and method
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5618447A (en) * 1996-02-13 1997-04-08 Micron Technology, Inc. Polishing pad counter meter and method for real-time control of the polishing rate in chemical-mechanical polishing of semiconductor wafers
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5730642A (en) * 1993-08-25 1998-03-24 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical montoring
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5738562A (en) * 1996-01-24 1998-04-14 Micron Technology, Inc. Apparatus and method for planar end-point detection during chemical-mechanical polishing
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5855804A (en) * 1996-12-06 1999-01-05 Micron Technology, Inc. Method and apparatus for stopping mechanical and chemical-mechanical planarization of substrates at desired endpoints
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5859975A (en) * 1993-12-15 1999-01-12 Hewlett-Packard, Co. Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5863807A (en) * 1995-09-20 1999-01-26 Samsung Electronics Co., Ltd. Manufacturing method of a semiconductor integrated circuit
US5870306A (en) * 1996-06-13 1999-02-09 Mitsubishi Denki Kabushiki Kaisha Automatic programming method and device for multi-system machine tool
US5883437A (en) * 1994-12-28 1999-03-16 Hitachi, Ltd. Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6046111A (en) * 1998-09-02 2000-04-04 Micron Technology, Inc. Method and apparatus for endpointing mechanical and chemical-mechanical planarization of microelectronic substrates
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6175777B1 (en) * 1997-04-17 2001-01-16 Samsung Electronics Co., Ltd. Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode
US6178390B1 (en) * 1997-12-26 2001-01-23 Samsung Electronics Co., Ltd. Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6184571B1 (en) * 1998-10-27 2001-02-06 Micron Technology, Inc. Method and apparatus for endpointing planarization of a microelectronic substrate
US6183345B1 (en) * 1997-03-24 2001-02-06 Canon Kabushiki Kaisha Polishing apparatus and method
US6192291B1 (en) * 1998-01-14 2001-02-20 Samsung Electronics Co., Ltd. Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6191864B1 (en) * 1996-05-16 2001-02-20 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6208425B1 (en) * 1996-02-16 2001-03-27 Micron Technology, Inc. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers
US6206754B1 (en) * 1999-08-31 2001-03-27 Micron Technology, Inc. Endpoint detection apparatus, planarizing machines with endpointing apparatus, and endpointing methods for mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6211094B1 (en) * 1998-09-15 2001-04-03 Samsung Electronics Co., Ltd. Thickness control method in fabrication of thin-film layers in semiconductor devices
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6213845B1 (en) * 1999-04-26 2001-04-10 Micron Technology, Inc. Apparatus for in-situ optical endpointing on web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies and methods for making and using same
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6222936B1 (en) * 1998-02-03 2001-04-24 Advanced Micro Devices, Inc. Apparatus and method for reducing defects in a semiconductor lithographic process
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6338667B2 (en) * 1993-08-25 2002-01-15 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US6350179B2 (en) * 1999-08-11 2002-02-26 Advanced Micro Devices, Inc. Method for determining a polishing recipe based upon the measured pre-polish thickness of a process layer
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6360184B1 (en) * 1996-03-28 2002-03-19 Bio-Analytics, Inc. D/B/A Biomedware, Inc. Method for measuring a degree of association for dimensionally referenced data
US6364742B1 (en) * 1998-10-15 2002-04-02 Nec Corporation Chemical-mechanical polishing apparatus
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6503839B2 (en) * 1999-08-11 2003-01-07 Micron Technology, Inc. Endpoint stabilization for polishing process
US6514853B1 (en) * 1999-06-25 2003-02-04 Nec Corporation Semiconductor device and a manufacturing process therefor
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6517668B2 (en) * 1998-08-25 2003-02-11 Micron Technology, Inc. Method and apparatus for endpointing a chemical-mechanical planarization process
US6520834B1 (en) * 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6540588B2 (en) * 1998-08-31 2003-04-01 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control

Family Cites Families (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (en) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (en) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrial processing and manufacturing systems
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
FR2589566A1 (en) 1985-11-06 1987-05-07 Cegedur METHOD FOR NON-CONTACT SCALE MEASUREMENT OF THE THICKNESS AND TEMPERATURE OF THIN METAL SHEETS USING FOUCAULT CURRENTS
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
DE3801969A1 (en) 1988-01-23 1989-07-27 Zeiss Carl Fa Method and apparatus for lapping or polishing optical surfaces
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
JP2780814B2 (en) 1989-06-22 1998-07-30 株式会社日立製作所 production management system
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5206037A (en) * 1990-08-31 1993-04-27 Robbins Edward S Iii Apparatus for collapsing a container
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
US5036015A (en) 1990-09-24 1991-07-30 Micron Technology, Inc. Method of endpoint detection during chemical/mechanical planarization of semiconductor wafers
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
EP0524317A4 (en) 1991-02-08 1995-02-15 Tokyo Shibaura Electric Co Model forecasting controller
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
US5069002A (en) 1991-04-17 1991-12-03 Micron Technology, Inc. Apparatus for endpoint detection during mechanical planarization of semiconductor wafers
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5222329A (en) 1992-03-26 1993-06-29 Micron Technology, Inc. Acoustical method and system for detecting and controlling chemical-mechanical polishing (CMP) depths into layers of conductors, semiconductors, and dielectric materials
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (en) 1993-08-03 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
US5643060A (en) 1993-08-25 1997-07-01 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including heater
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
DE69425100T2 (en) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamic neural network
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (en) 1993-12-28 1995-08-04 Hitachi Ltd Manufacture of semiconductor device and apparatus for manufacture the same, testing of the same and testing apparatus
US5413941A (en) 1994-01-06 1995-05-09 Micron Technology, Inc. Optical end point detection methods in semiconductor planarizing polishing processes
KR950034499A (en) 1994-01-28 1995-12-28 제임스 조셉 드롱 Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5439551A (en) 1994-03-02 1995-08-08 Micron Technology, Inc. Chemical-mechanical polishing techniques and methods of end point detection in chemical-mechanical polishing processes
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US6326248B1 (en) * 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (en) 1994-09-20 2003-05-06 株式会社リコー Process simulation input data setting device
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (en) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Production control information system
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (en) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> Process module control using site model and monitor wafer control
WO1997012300A1 (en) 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
KR970030225A (en) 1995-11-08 1997-06-26 김광호 Manufacturing method of semiconductor small in which the backside of wafer is polished using UV tape
KR100200480B1 (en) 1995-12-21 1999-10-01 윤종용 Controlling method of semiconductor process using feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
US5643048A (en) 1996-02-13 1997-07-01 Micron Technology, Inc. Endpoint regulator and method for regulating a change in wafer thickness in chemical-mechanical planarization of semiconductor wafers
US6075606A (en) 1996-02-16 2000-06-13 Doan; Trung T. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd Manufacture of semiconductor device
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
JP3699776B2 (en) 1996-04-02 2005-09-28 株式会社日立製作所 Manufacturing method of electronic parts
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JPH10112493A (en) 1996-08-13 1998-04-28 Sony Corp Surface-reformed thin plate holder, face adjusting means, and direction adjusting means
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
JPH10106917A (en) 1996-10-02 1998-04-24 Toshiba Corp Production system for manufacturing semiconductor device
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
JPH10173021A (en) 1996-12-12 1998-06-26 Mitsubishi Electric Corp Method and apparatus for analyzing manufacturing line
US6128016A (en) 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
TW396308B (en) 1997-04-01 2000-07-01 Tumbleweed Software Corp Document delivery system
JP3393035B2 (en) 1997-05-06 2003-04-07 東京エレクトロン株式会社 Control device and semiconductor manufacturing device
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US5957751A (en) 1997-05-23 1999-09-28 Applied Materials, Inc. Carrier head with a substrate detection mechanism for a chemical mechanical polishing system
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JPH118170A (en) 1997-06-13 1999-01-12 Canon Inc Semiconductor processing system and manufacture of device
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
US5937287A (en) * 1997-07-22 1999-08-10 Micron Technology, Inc. Fabrication of semiconductor structures by ion implantation
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6007408A (en) 1997-08-21 1999-12-28 Micron Technology, Inc. Method and apparatus for endpointing mechanical and chemical-mechanical polishing of substrates
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (en) 1997-09-29 1999-04-15 윤종용 Multi-chamber system with wafer recognition system and wafer processing method using the same
US5888120A (en) 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
US5916016A (en) 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
EP1752112B1 (en) * 1997-11-07 2009-12-23 Salviac Limited An embolic protection device
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US5997384A (en) 1997-12-22 1999-12-07 Micron Technology, Inc. Method and apparatus for controlling planarizing characteristics in mechanical and chemical-mechanical planarization of microelectronic substrates
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR100297371B1 (en) 1998-02-03 2001-10-25 윤종용 Method for integrally managing data of semiconductor process
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US5985094A (en) 1998-05-12 1999-11-16 Speedfam-Ipec Corporation Semiconductor wafer carrier
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (en) 1998-11-19 2004-01-19 沖電気工業株式会社 Transport method in semiconductor device manufacturing process
JP2000183002A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Method and device for detecting wafer polish end-point
JP2000183001A (en) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd Polish end-point detecting method for wafer and chemical-mechanical polishing device used for the same
JP3202710B2 (en) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 Dichroic prism for LCD projector
EP1141654B1 (en) 1998-12-18 2005-03-02 Micro-Epsilon Messtechnik GmbH & Co. KG Operating an eddy current sensor
US6422927B1 (en) 1998-12-30 2002-07-23 Applied Materials, Inc. Carrier head with controllable pressure and loading area for chemical mechanical polishing
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (en) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp Specifying method for defective point of semiconductor substrate
US6389491B1 (en) 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
WO2000073973A1 (en) 1999-05-28 2000-12-07 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
EP1200885A1 (en) 1999-06-22 2002-05-02 Brooks Automation, Inc. Run-to-run controller for use in microelectronic fabrication
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) * 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6291253B1 (en) 1999-08-20 2001-09-18 Advanced Micro Devices, Inc. Feedback control of deposition thickness based on polish planarization
US6492273B1 (en) 1999-08-31 2002-12-10 Micron Technology, Inc. Methods and apparatuses for monitoring and controlling mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6464824B1 (en) 1999-08-31 2002-10-15 Micron Technology, Inc. Methods and apparatuses for monitoring and controlling mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6306008B1 (en) 1999-08-31 2001-10-23 Micron Technology, Inc. Apparatus and method for conditioning and monitoring media used for chemical-mechanical planarization
US6157078A (en) 1999-09-23 2000-12-05 Advanced Micro Devices, Inc. Reduced variation in interconnect resistance using run-to-run control of chemical-mechanical polishing during semiconductor fabrication
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
AU8018200A (en) 1999-10-31 2001-05-14 Insyst Ltd. A knowledge-engineering protocol-suite
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6399501B2 (en) 1999-12-13 2002-06-04 Applied Materials, Inc. Method and apparatus for detecting polishing endpoint with optical monitoring
US6623333B1 (en) 1999-12-14 2003-09-23 Texas Instruments Incorporated System and method for controlling a wafer polishing process
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US7051015B1 (en) 2000-01-10 2006-05-23 Wind River Systems, Inc. System and method for implementing a flexible data-driven target object model
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (en) 2000-01-25 2004-03-15 株式会社ニコン MONITOR DEVICE, POLISHING APPARATUS HAVING THE MONITOR DEVICE, AND POLISHING METHOD
US8028049B1 (en) 2000-02-01 2011-09-27 Peer Intellectual Property Inc. Apparatus and method for web-based tool management
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
AU2001249724A1 (en) 2000-04-03 2001-10-15 Speed-Fam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
JP2001305108A (en) 2000-04-21 2001-10-31 Daido Steel Co Ltd Eddy current flaw detector
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
WO2002004887A1 (en) * 2000-07-08 2002-01-17 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US7097534B1 (en) 2000-07-10 2006-08-29 Applied Materials, Inc. Closed-loop control of a chemical mechanical polisher
US6602724B2 (en) 2000-07-27 2003-08-05 Applied Materials, Inc. Chemical mechanical polishing of a metal layer with polishing rate monitoring
JP2002043467A (en) * 2000-07-31 2002-02-08 Hitachi Chem Co Ltd Board for semiconductor package, its manufacturing method, semiconductor package using board and manufacturing method of semiconductor package
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6711731B2 (en) 2000-08-23 2004-03-23 Pri Automation, Inc. Web based tool control in a semiconductor fabrication facility
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
JP2002093761A (en) 2000-09-19 2002-03-29 Sony Corp Polishing method, polishing system, plating method and plating system
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
KR100366630B1 (en) 2000-09-20 2003-01-09 삼성전자 주식회사 Method of controlling wafer polishing time using sample-skip algorithm and method of wafer polishing using the same
US6766283B1 (en) 2000-10-13 2004-07-20 Insyst Ltd. System and method for monitoring process quality control
US6805613B1 (en) 2000-10-17 2004-10-19 Speedfam-Ipec Corporation Multiprobe detection system for chemical-mechanical planarization tool
JP2002124496A (en) 2000-10-18 2002-04-26 Hitachi Ltd Method and equipment for detecting and measuring end point of polishing process, and method and equipment for manufacturing semiconductor device using the same for detecting and measuring end point of polishing process
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US7188142B2 (en) * 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US20020128735A1 (en) 2001-03-08 2002-09-12 Hawkins Parris C.M. Dynamic and extensible task guide
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US20020138321A1 (en) 2001-03-20 2002-09-26 Applied Materials, Inc. Fault tolerant and automated computer software workflow
US6746958B1 (en) 2001-03-26 2004-06-08 Advanced Micro Devices, Inc. Method of controlling the duration of an endpoint polishing process in a multistage polishing process
US6549279B2 (en) * 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
JP4858798B2 (en) 2001-05-15 2012-01-18 株式会社ニコン Polishing apparatus, polishing method, and semiconductor device manufacturing method using the polishing apparatus
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7101799B2 (en) 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6534328B1 (en) * 2001-07-19 2003-03-18 Advanced Micro Devices, Inc. Method of modeling and controlling the endpoint of chemical mechanical polishing operations performed on a process layer, and system for accomplishing same
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6939198B1 (en) 2001-12-28 2005-09-06 Applied Materials, Inc. Polishing system with in-line and in-situ metrology
US7225047B2 (en) 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US89676A (en) * 1869-05-04 Improved ditching-machine
US149359A (en) * 1874-04-07 Improvement in balanced slide-valves
US199082A (en) * 1878-01-08 Improvement in plow attachments
US3229198A (en) * 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US4368510A (en) * 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4663703A (en) * 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US5282141A (en) * 1989-06-16 1994-01-25 Rieter Machine Works, Ltd. Method of blending textile fibers
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) * 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) * 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) * 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5081796A (en) * 1990-08-06 1992-01-21 Micron Technology, Inc. Method and apparatus for mechanical planarization and endpoint detection of a semiconductor wafer
US5495417A (en) * 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5508947A (en) * 1990-08-31 1996-04-16 Sci Systems, Inc. Process gas distribution system and method with automatic transducer zero calibration
US5497316A (en) * 1990-08-31 1996-03-05 Sci Systems, Inc. Process gas distribution system and method
US5398336A (en) * 1990-10-16 1995-03-14 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5719495A (en) * 1990-12-31 1998-02-17 Texas Instruments Incorporated Apparatus for semiconductor device fabrication diagnosis and prognosis
US5410473A (en) * 1992-01-07 1995-04-25 Fukuda Denshi Kabushiki Kaisha Method and apparatus for recording electrocardiogram information
US5602492A (en) * 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5490097A (en) * 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US6338667B2 (en) * 1993-08-25 2002-01-15 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5730642A (en) * 1993-08-25 1998-03-24 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical montoring
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5859975A (en) * 1993-12-15 1999-01-12 Hewlett-Packard, Co. Parallel processing computer system having shared coherent memory and interconnections utilizing separate undirectional request and response lines for direct communication or using crossbar switching device
US5511005A (en) * 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5883437A (en) * 1994-12-28 1999-03-16 Hitachi, Ltd. Method and apparatus for inspection and correction of wiring of electronic circuit and for manufacture thereof
US5617023A (en) * 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5599423A (en) * 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) * 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US6036349A (en) * 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5863807A (en) * 1995-09-20 1999-01-26 Samsung Electronics Co., Ltd. Manufacturing method of a semiconductor integrated circuit
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5603707A (en) * 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5738562A (en) * 1996-01-24 1998-04-14 Micron Technology, Inc. Apparatus and method for planar end-point detection during chemical-mechanical polishing
US5618447A (en) * 1996-02-13 1997-04-08 Micron Technology, Inc. Polishing pad counter meter and method for real-time control of the polishing rate in chemical-mechanical polishing of semiconductor wafers
US6208425B1 (en) * 1996-02-16 2001-03-27 Micron Technology, Inc. Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers
US6360184B1 (en) * 1996-03-28 2002-03-19 Bio-Analytics, Inc. D/B/A Biomedware, Inc. Method for measuring a degree of association for dimensionally referenced data
US5735055A (en) * 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US6191864B1 (en) * 1996-05-16 2001-02-20 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5870306A (en) * 1996-06-13 1999-02-09 Mitsubishi Denki Kabushiki Kaisha Automatic programming method and device for multi-system machine tool
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5889991A (en) * 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
US6206769B1 (en) * 1996-12-06 2001-03-27 Micron Technology, Inc. Method and apparatus for stopping mechanical and chemical mechanical planarization of substrates at desired endpoints
US5855804A (en) * 1996-12-06 1999-01-05 Micron Technology, Inc. Method and apparatus for stopping mechanical and chemical-mechanical planarization of substrates at desired endpoints
US6183345B1 (en) * 1997-03-24 2001-02-06 Canon Kabushiki Kaisha Polishing apparatus and method
US6175777B1 (en) * 1997-04-17 2001-01-16 Samsung Electronics Co., Ltd. Method for transferring wafer cassettes after checking whether process equipment is in a suitable mode
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6178390B1 (en) * 1997-12-26 2001-01-23 Samsung Electronics Co., Ltd. Method for controlling thicknesses of layers formed by deposition equipment for fabricating semiconductor devices
US6192291B1 (en) * 1998-01-14 2001-02-20 Samsung Electronics Co., Ltd. Method of controlling semiconductor fabricating equipment to process wafers of a single lot individually
US6222936B1 (en) * 1998-02-03 2001-04-24 Advanced Micro Devices, Inc. Apparatus and method for reducing defects in a semiconductor lithographic process
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6017771A (en) * 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6169931B1 (en) * 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
US6517668B2 (en) * 1998-08-25 2003-02-11 Micron Technology, Inc. Method and apparatus for endpointing a chemical-mechanical planarization process
US6540588B2 (en) * 1998-08-31 2003-04-01 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6046111A (en) * 1998-09-02 2000-04-04 Micron Technology, Inc. Method and apparatus for endpointing mechanical and chemical-mechanical planarization of microelectronic substrates
US6211094B1 (en) * 1998-09-15 2001-04-03 Samsung Electronics Co., Ltd. Thickness control method in fabrication of thin-film layers in semiconductor devices
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6364742B1 (en) * 1998-10-15 2002-04-02 Nec Corporation Chemical-mechanical polishing apparatus
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6362105B1 (en) * 1998-10-27 2002-03-26 Micron Technology, Inc. Method and apparatus for endpointing planarization of a microelectronic substrate
US6184571B1 (en) * 1998-10-27 2001-02-06 Micron Technology, Inc. Method and apparatus for endpointing planarization of a microelectronic substrate
US6173240B1 (en) * 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6214734B1 (en) * 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
US6172756B1 (en) * 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6213845B1 (en) * 1999-04-26 2001-04-10 Micron Technology, Inc. Apparatus for in-situ optical endpointing on web-format planarizing machines in mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies and methods for making and using same
US6334807B1 (en) * 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
US6360133B1 (en) * 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) * 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
US6514853B1 (en) * 1999-06-25 2003-02-04 Nec Corporation Semiconductor device and a manufacturing process therefor
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6350179B2 (en) * 1999-08-11 2002-02-26 Advanced Micro Devices, Inc. Method for determining a polishing recipe based upon the measured pre-polish thickness of a process layer
US6217412B1 (en) * 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6503839B2 (en) * 1999-08-11 2003-01-07 Micron Technology, Inc. Endpoint stabilization for polishing process
US6206754B1 (en) * 1999-08-31 2001-03-27 Micron Technology, Inc. Endpoint detection apparatus, planarizing machines with endpointing apparatus, and endpointing methods for mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6364746B2 (en) * 1999-08-31 2002-04-02 Micron Technology, Inc. Endpoint detection apparatus, planarizing machines with endpointing apparatus, and endpointing methods for mechanical or chemical-mechanical planarization of microelectronic-substrate assemblies
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6368884B1 (en) * 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
US6379980B1 (en) * 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
US6520834B1 (en) * 2000-08-09 2003-02-18 Micron Technology, Inc. Methods and apparatuses for analyzing and controlling performance parameters in mechanical and chemical-mechanical planarization of microelectronic substrates
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6336841B1 (en) * 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8005634B2 (en) 2002-03-22 2011-08-23 Applied Materials, Inc. Copper wiring module control
US7247080B1 (en) 2002-03-22 2007-07-24 Applied Materials, Inc. Feedback controlled polishing processes
US7024268B1 (en) 2002-03-22 2006-04-04 Applied Materials Inc. Feedback controlled polishing processes
US7797073B1 (en) * 2002-05-28 2010-09-14 Advanced Micro Devices, Inc. Controlling processing of semiconductor wafers based upon end of line parameters
US20050106848A1 (en) * 2003-03-14 2005-05-19 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US20050090093A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US20060105575A1 (en) * 2003-03-14 2006-05-18 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20050070105A1 (en) * 2003-03-14 2005-03-31 Lam Research Corporation Small volume process chamber with hot inner surfaces
US7217649B2 (en) 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US20050087759A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
US7074109B1 (en) 2003-08-18 2006-07-11 Applied Materials Chemical mechanical polishing control system and method
US6991516B1 (en) 2003-08-18 2006-01-31 Applied Materials Inc. Chemical mechanical polishing with multi-stage monitoring of metal clearing
US20090248187A1 (en) * 2007-03-21 2009-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for semiconductor processing
US8417362B2 (en) * 2007-03-21 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced process control for semiconductor processing
US9275335B2 (en) 2008-03-08 2016-03-01 Tokyo Electron Limited Autonomous biologically based learning tool
US8725667B2 (en) 2008-03-08 2014-05-13 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
US8190543B2 (en) 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8396582B2 (en) * 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US20090240366A1 (en) * 2008-03-08 2009-09-24 Tokyo Electron Limited Method and system for detection of tool performance degradation and mismatch
US9424528B2 (en) 2008-03-08 2016-08-23 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US20100138026A1 (en) * 2008-03-08 2010-06-03 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US20110131162A1 (en) * 2008-03-08 2011-06-02 Tokyo Electron Limited Autonomous biologically based learning tool
US8744607B2 (en) 2008-03-08 2014-06-03 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
KR101755746B1 (en) * 2010-01-29 2017-07-07 도쿄엘렉트론가부시키가이샤 Method and system for self-learning and self-improving a semiconductor manufacturing tool
US8679863B2 (en) * 2012-03-15 2014-03-25 International Business Machines Corporation Fine tuning highly resistive substrate resistivity and structures thereof
US20130244348A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Fine tuning highly resistive substrate resistivity and structures thereof
US11244838B2 (en) * 2016-09-13 2022-02-08 Tokyo Electron Limited Substrate processing apparatus and substrate processing method of controlling discharge angle and discharge position of processing liquid supplied to peripheral portion of substrate
US11640911B2 (en) 2016-09-13 2023-05-02 Tokyo Electron Limited Substrate processing method of controlling discharge angle and discharge position of processing liquid supplied to peripheral portion of substrate
CN116442112A (en) * 2023-06-16 2023-07-18 合肥晶合集成电路股份有限公司 Wafer grinding control method, system, device, equipment and storage medium

Also Published As

Publication number Publication date
US8005634B2 (en) 2011-08-23
US20070122921A1 (en) 2007-05-31
TWI279871B (en) 2007-04-21
TW200401382A (en) 2004-01-16

Similar Documents

Publication Publication Date Title
US8005634B2 (en) Copper wiring module control
US7082345B2 (en) Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6640151B1 (en) Multi-tool control system, method and medium
US10861708B2 (en) Three or more states for achieving high aspect ratio dielectric etch
US5751582A (en) Controlling process modules using site models and monitor wafer control
US7047099B2 (en) Integrating tool, module, and fab level control
US6630360B2 (en) Advanced process control (APC) of copper thickness for chemical mechanical planarization (CMP) optimization
US20020192966A1 (en) In situ sensor based control of semiconductor processing procedure
US7329168B2 (en) Extended Kalman filter incorporating offline metrology
US6912437B2 (en) Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
US20070260350A1 (en) Method for Improving Efficiency of a Manufacturing Process Such as a Semiconductor Fab Process
JP4777658B2 (en) Method and apparatus for polishing control
TW200425320A (en) Manufacturing method of semiconductor device, automatic operation method of semiconductor device, automatic operation system, and automatic operation method of CMP apparatus
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
US20170076916A1 (en) Cycle-averaged frequency tuning for low power voltage mode operation
EP1478494B1 (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US20030186546A1 (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US20050014299A1 (en) Control of metal resistance in semiconductor products via integrated metrology
El Chemali et al. Multizone uniformity control of a chemical mechanical polishing process utilizing a pre-and postmeasurement strategy
Moyne et al. Advanced process control in the semiconductor industry
Khan et al. Yield improvement at the contact process through run-to-run control
Smith et al. 1 Advanced Process Control in the Semiconductor Industry
Moyne et al. Multizone Uniformity Control of a CMP Process Utilizing a Pre-and Postmeasurement Strategy
Edelstein et al. Using cost of ownership (COO) modeling to optimize productivity and wafer output of sputtering tools

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHANMUGASUNDRAM, ARULKUMAR;PARIKH, SUKETU A;REEL/FRAME:013776/0153;SIGNING DATES FROM 20030522 TO 20030527

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHANMUGASUNDRAM, ARULKUMAR;PARIKH, SUKETUA A.;REEL/FRAME:013759/0008;SIGNING DATES FROM 20030522 TO 20030527

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION