US20030230385A1 - Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system - Google Patents

Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system Download PDF

Info

Publication number
US20030230385A1
US20030230385A1 US10/172,534 US17253402A US2003230385A1 US 20030230385 A1 US20030230385 A1 US 20030230385A1 US 17253402 A US17253402 A US 17253402A US 2003230385 A1 US2003230385 A1 US 2003230385A1
Authority
US
United States
Prior art keywords
processing
chamber
tandem
processing chamber
communication
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/172,534
Inventor
Joseph Bach
Shaoher Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/172,534 priority Critical patent/US20030230385A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BACH, JOSEPH, PAN, SHAOHER X.
Publication of US20030230385A1 publication Critical patent/US20030230385A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Definitions

  • Embodiments of the invention generally relate to semiconductor processing, and more particularly, to etch and inductive plasma related semiconductor manufacturing processes and related hardware.
  • Integrated circuit (IC) substrate processing systems and in particular, substrate processing systems configured to fabricate VLSI and/or ULSI circuits on silicon substrates, often utilize several processes in order to form the desired circuit features into a die on a substrate.
  • One process generally used in the manufacture of semiconductor devices is an etch process, which may be conducted in a reactive ion etching (RIE) chamber or a magnetically enhanced reactive ion etching (MERIE) chamber, for example.
  • RIE and MERIE chambers are generally effective in etching narrow features into layers formed on a substrate, and therefore, RIE and MERIE chambers are generally preferred for VLSI and ULSI applications.
  • features may be etched into a layer formed on a semiconductor substrate via the generation of a reactive plasma configured to react with a material on the substrate surface or the substrate surface itself though a series of photoresist masks.
  • the reactive plasma is generated via the introduction of a reactive gas into the chamber, generally via a showerhead and blocker plate assembly, along with the application of sufficient energy, generally RF energy, to ignite a plasma of the reactive gas.
  • a rotating magnetic field generally produced by a bank of rotating magnets mounted outside and above the MERIE chamber, may operate to stir the ignited plasma in order to generate more uniform plasma characteristics over the entire substrate surface.
  • the density of the reactive plasma generated in conventional MERIE systems is sufficient for etching, it is desired to provide a more dense plasma for some etch processes.
  • an externally excited torroidal plasma source was added to an etch chamber.
  • U.S. Pat. No. 6,348,126 which is incorporated herein by reference, illustrates a torroidal plasma source in communication with an etch chamber.
  • the torroidal plasma source operates to communicate a plasma to the processing region, and is generally capable of generating a plasma having a higher density than plasmas generated by conventional MERIE chambers.
  • tandem processing chambers have been utilized to provide improved throughput while maintaining yield uniformity.
  • U.S. Pat. No. 6,152,070 which is assigned to Applied Materials of Santa Clara, Calif., illustrates a tandem processing chamber that may be used for vacuum processing of two substrates in separate isolated tandem processing regions at the same time.
  • the tandem processing chambers may be accessed simultaneously by a single dual robot blade configured to insert and/or remove substrates from both of the processing regions at the same time.
  • conventional semiconductor processing apparatuses and methods generally do not provide an etch chamber capable of providing greater throughput than that provided by single substrate chambers without sacrificing the physical characteristics, such as uniformity, for example, of the substrates produced.
  • Embodiments of the invention generally provide an etch system configured to provide concurrent transfer of at least two substrates through the etch system simultaneously.
  • the substrates may be processed concurrently in tandem chambers that share common gas supply and pumping systems.
  • Each of the tandem chambers generally includes a processing region having a substrate support member positioned therein, wherein the substrate support member may include heating and/or cooling elements to maintain a desired substrate temperature during processing.
  • each of the tandem chambers includes devices configured to generate and control a plasma in each of the respective tandem chambers, as well as a shield member positioned between the respective chambers to prevent magnetic interference.
  • the present etch system is capable of providing the process control features of single substrate etch processing systems, while also providing increased substrate throughput.
  • inventions of the invention provide a tandem magnetically enhanced inductive source chamber for a semiconductor processing system.
  • the tandem chamber generally includes a first tandem processing chamber, a second tandem processing chamber positioned adjacent the first tandem processing chamber and being separated therefrom by a shared central wall, and a pumping apparatus cooperatively in fluid communication with the first and second chambers.
  • the first tandem processing chamber generally includes a first substrate support member positioned in a first chamber, a first plasma generation device in communication with the first chamber, and a plurality of first selectively actuated electromagnets positioned around the first chamber.
  • the second tandem processing chamber generally includes a second substrate support member positioned in a second chamber, a second plasma generation device in communication with the second chamber, and a plurality of second selectively actuated electromagnets positioned around the second chamber.
  • Embodiments of the invention further provide a magnetically enhanced inductive source processing system that includes a loadlock chamber, a substrate transfer chamber selectively in communication with the loadlock chamber, and at least one tandem processing chamber selectively in communication with the substrate transfer chamber.
  • the at least one tandem chamber generally includes a first and second adjacently positioned isolated processing chambers, a plurality of electromagnets positioned around the first and second processing regions, and at least one torroidal conduit in communication with each of the first and second adjacently positioned processing chambers. Additionally, the first and second adjacently positioned processing chambers generally share a common wall that magnetically separates the respective processing chambers while allowing fluid communication therebetween.
  • Embodiments of the invention further provide a tandem processing chamber having a first processing chamber, a second processing chamber positioned adjacent the first processing chamber and sharing a common wall therewith, at least one power supply in electrical communication with a first coil and a second coil, and a system controller in electrical communication with the power supply, the system controller being configured to regulate the electrical power delivered to the first and second coils.
  • the first processing chamber includes a first substrate support member configured to receive a substrate in a lower portion of the first processing chamber and communicate the substrate to an upper portion of the first processing chamber for processing, and a first plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the first processing chamber.
  • the first processing chamber generally includes at least one first torroidal plasma conduit in fluid communication with the upper portion of the first processing chamber, and at least one first coil positioned proximate the at least one first torroidal plasma conduit and being configured to generate a field within the at least one first torroidal plasma conduit.
  • the second processing chamber generally includes a second substrate support member configured to receive a substrate in a lower portion of the second processing chamber and communicate the substrate to an upper portion of the second processing chamber for processing and a second plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the second processing chamber.
  • the second processing chamber generally includes at least one second torroidal plasma conduit in fluid communication with the upper portion of the second processing chamber, and at least one second coil positioned proximate the at least one second torroidal plasma conduit and being configured to generate a field within the at least one second torroidal plasma conduit.
  • FIG. 1 illustrates a plan view of an embodiment of the etch system of the invention.
  • FIG. 2A illustrates a sectional view of an embodiment of a tandem etch chamber of the invention.
  • FIG. 2B illustrates a sectional view of another embodiment of a tandem etch chamber of the invention.
  • FIG. 3 illustrates a plan view of an exemplary tandem processing chamber of the invention.
  • FIG. 4 illustrates a plan view of another exemplary tandem processing chamber of the invention.
  • FIG. 5 illustrates a plan view of another exemplary tandem processing chamber of the invention.
  • FIG. 6 illustrates a sectional view of an alternative embodiment of the tandem processing chamber of the invention.
  • FIG. 7A illustrates a plan view of dense plasma regions within tandem processing chambers of the invention.
  • FIG. 7B illustrates a plan view of magnetic field lines in a tandem processing chamber of the invention.
  • FIG. 7C illustrates a plan view of magnetic field lines in another tandem processing chamber of the invention.
  • FIG. 8 illustrates a sectional view of an exemplary tandem processing chamber of the invention.
  • FIG. 9 illustrates a tandem etch processing chamber having cantilever-type substrate support members.
  • FIG. 10 illustrates a tandem etch processing chamber having rotatable magnets positioned above the lid of the chamber.
  • FIGS. 11 A- 11 D illustrate an exemplary plasma stirring process that may be implemented by embodiments of the invention.
  • FIG. 1 illustrates a plan view of an exemplary tandem chamber-type etch platform 100 of the invention.
  • Platform 100 is generally a self-contained system having the necessary processing utilities supported on a main frame structure that can be easily installed and provides a quick start up for operation.
  • System 100 generally includes four different regions, namely, a front end staging area 102 , a loadlock chamber 112 , and a transfer chamber 104 in communication with a plurality of tandem processing chambers 106 via isolation valves 209 .
  • Front end staging area 102 which is generally known as a factory interface or mini environment, generally includes an enclosure having at least one substrate containing cassette 109 positioned in communication therewith via a pod loader configuration.
  • a front end substrate transfer robot 113 which may generally be a track robot configured to move longitudinally within the enclosure, is generally positioned proximate cassettes 109 and is configured to remove substrates therefrom for processing, as well as position substrates therein once processing of the substrates is complete.
  • cassettes four cassettes are shown, the present invention is not limited to any particular number of cassettes.
  • embodiments of the invention contemplate using the two outermost substrate cassette positions/pod loaders, while replacing the two interior cassette positions/pod loaders with a stackable substrate cassette feeder assembly (not shown).
  • the stackable substrate feeder assembly may be configured to store a plurality of substrate cassettes in a vertical stack and individually deliver the cassettes to the outer cassette locations/pod loaders when needed.
  • the front end staging area 102 is selectively in communication with the load lock chamber 112 through, for example, a selectively actuated valve (not shown). Additionally, loadlock 112 may also be selectively in communication with the transfer chamber 104 via another selectively actuated valve, for example. Therefore, the loadlock chamber 112 may operate to isolate the interior of the substrate transfer chamber 104 from the interior of the front end enclosure 102 during the process of transferring one or more substrates into the transfer chamber 104 for processing.
  • Loadlock chamber 112 may be a side-by-side substrate type chamber, a single substrate type chamber, or multi-substrate-type loadlock chamber, for example, as is generally known in the art.
  • a substrate handler 105 may be centrally positioned in the interior portion of the transfer chamber 104 .
  • Substrate handler 105 is generally configured to receive substrates from the loadlock chamber 112 and transport the substrates received therefrom to one of the processing chambers 106 positioned about the perimeter of the transfer chamber 106 . Additionally, substrate handler 105 is generally configured to transport substrates between the respective processing chambers 106 , as well as from the processing chambers 106 back into the loadlock chamber 112 .
  • the substrate handler 105 generally includes a dual blade configured to support two substrates thereon simultaneously.
  • a utility supply unit (not shown), which may be positioned in any location that is generally proximate system 100 , generally houses the support utilities needed for operation of system 100 , such as a gas panel, a power distribution panel, power generators, and other components used to support semiconductor etch processes.
  • FIG. 2A illustrates a sectional view of an exemplary processing chamber 106 of the invention, which may be a tandem magnetically enhanced etch chamber, for example.
  • Processing chamber 106 generally provides a tandem process chamber configuration, wherein each of the tandem process chambers 200 , 201 includes an individual processing region 202 , 203 therein.
  • Each of the respective tandem process chambers 200 , 201 includes sidewalls 205 , a common interior wall 206 , and a bottom 207 .
  • the interior wall 206 may generally be a shared central wall that separates the upper portion of the respective chambers 200 , 201 from each other.
  • a substrate support member 208 which may include a substrate lift pin assembly 212 , may be positioned within each of the respective processing chambers 200 , 201 via extension into chambers 200 , 201 through bottom 207 .
  • the substrate support members 208 may be movable in a vertical direction, i.e., in the direction along the axis of the supporting stem member, and may be heated and/or cooled through, for example, fluid conduits formed therein or resistive heaters.
  • each of the apertures 209 may generally be in selective communication with, for example, a substrate transfer chamber, such as chamber 104 illustrated in FIG. 1. Therefore, in order to maintain a processing region within each of processing chambers 200 , 201 , a valve 210 , such as a gate or slit valve, for example, may be positioned between each of the apertures and the connecting chamber (as illustrated in FIG. 3), or alternatively, a single valve may be implemented.
  • a valve 210 such as a gate or slit valve, for example, may be positioned between each of the apertures and the connecting chamber (as illustrated in FIG. 3), or alternatively, a single valve may be implemented.
  • each of the respective tandem chambers 200 , 201 may include an upper and lower portions, wherein the upper portion generally includes the processing regions 202 , 203 , and wherein the lower portion generally includes a loading region 211 .
  • the loading region 211 may generally be defined as the region positioned below the electromagnets 218 (assuming electromagnets 218 are each a unitary rectangular magnet with a solid center), which will be further discussed herein. In this configuration, the substrate support members 208 may be lowered into the loading region 211 below the lower surface of electromagnets 218 .
  • a substrate may be positioned on the substrate support member 208 via aperture and gate valve 210 , which are formed into the sidewalls of the chambers below the electromagnets 218 . More particularly, when the substrate support member 208 is lowered, the lift pin assembly 212 may operate to lift a substrate off of the upper surface of the substrate support member 208 . Thereafter, a robot blade may enter into the loading region 211 and engage the substrate lifted by the lift pin assembly 212 for removal therefrom. Similarly, with the substrate support member 208 in a lowered positioned, substrates may be placed thereon for processing. Thereafter, the substrate support member may be vertically moved into a processing position, i.e., a position where the upper surface of the substrate support member 208 is positioned proximate the upper or top portion of the respective chamber.
  • a processing position i.e., a position where the upper surface of the substrate support member 208 is positioned proximate the upper or top portion of the respective chamber.
  • magnets 208 may be rectangular in shape and have a hollow central portion.
  • the substrate support member may be configured to have an upper substrate support surface that corresponds with the hollow central portion of the magnets 208 , and similarly, the aperture 209 and valve 210 may be located to correspond with the hollow central portion of the magnet 208 .
  • the substrate support member may not need to be movable in the vertical direction in order to load and unload substrates.
  • the rectangular magnets 208 having a hollow central portion may again be used, however, the aperture may again be positioned below the lower surface of the magnet 208 .
  • the substrate support member 208 may be movable between a processing position (where the upper surface of the substrate support member 208 is generally positioned proximate the middle hollow portion of the magnet 208 ) and a loading position (where the upper surface of the substrate support member 208 is positioned below the lower surface of the lowest portion of the magnet 218 ).
  • processing chamber 106 may generally include a unitary top or lid member 215 that defines the upper boundary of the respective processing regions 202 , 203 .
  • the lid member 215 may optionally include a gas distribution assembly 216 , such as, for example, a showerhead and blocker plate assembly configured to dispense a processing gas into the respective processing regions 202 , 203 .
  • the shower head assembly which may be manufactured from an electrically conductive material, may be in electrical communication with a power source (not shown) configured to supply an electrical bias thereto, as is known in the art. Additionally, the substrate support members 208 may be in electrical communication with a power supply. Therefore, once a plasma is generated in the respective processing regions, the power supply in communication with the substrate support member may be used to control bombardment of the ions in the plasma on the substrate support member.
  • the upper portions of the respective isolated chambers may also include a circumferentially positioned pumping channel 217 , wherein pumping channel 217 is in fluid communication with a common vacuum source (not shown), through, for example, vacuum lines 237 . Therefore, the respective pumping channels 217 are generally configured to maintain the respective chambers 200 , 201 , and more particularly, the respective processing regions 202 , 203 , at a pressure desired for semiconductor processing.
  • the upper portions of the respective chambers 200 , 201 also include a plurality of electromagnets 218 A, 218 B (generally referred to as electromagnets 218 ) positioned around the perimeter of the respective processing regions 202 , 203 .
  • electromagnets 218 may be positioned radially outward of the circumferential pumping channels 217 , and as such, electromagnets 218 may generally surround processing regions 202 , 203 .
  • Electromagnets 218 which may be in electrical communication with a system controller 250 configured to control the operation thereof, are generally positioned and configured to generate a quasi-static magnetic field in the respective processing regions 202 , 203 .
  • the system controller which may be a micro-processor based controller, for example, may be configured to electronically control both the electrical power applied to each of the respective electromagnets 218 , as well as various other system parameters, such as gas flows, chamber pressures, and other parameters generally controlled in a semiconductor processing system.
  • system controller 250 the cumulative magnetic field generated by the respective electromagnets 218 may be modified and or controlled by the system controller 250 , for example, in accordance with a semiconductor processing recipe.
  • the present invention implements a tandem etch processing chamber configuration, the inwardly positioned electromagnets 218 B may generate interfering magnetic fields.
  • a field insulating shield 219 i.e., a shield manufactured from a material configured to prevent the transmission of magnetic fields therethrough, may be positioned between the respective chambers, and more particularly, may be positioned between the respective adjacent electromagnets 218 B.
  • Shield member 219 may, for example, be manufactured from a number of dense metals known to shield magnetic fields, such as, for example, steel, aluminum, and/or iron. Additionally, shield member 219 may be manufactured from various alloys, rubbers, and plastics, which may also have metal dispersed therethrough to assist in the magnetic shielding properties.
  • shield member 219 which may be of varying thicknesses, is generally manufactured from one or more materials known in the art to shield magnetic fields. As such, a magnetic field generated by the respective electromagnets 218 B will be directed towards the interior of the respective processing chambers 200 , 201 , while the magnetic field emanating in the opposite direction from the adjacent electromagnet 218 B may be absorbed and/or canceled by the magnetic insulating shield 219 .
  • FIG. 3 illustrates a plan view of an exemplary tandem processing chamber 106 of the invention.
  • An example of the positioning of the respective electromagnets 218 around the respective chambers 200 , 201 is illustrated in FIG. 3. Additionally, the interstitially positioned magnetic shield member 219 is also illustrated.
  • embodiments of the present invention are in no way limited to the configuration of electromagnets 218 illustrated in FIG. 3.
  • each of electromagnets 218 may be radial or arc shaped electromagnets configured to mirror a portion of the perimeter of the respective processing regions 202 , 203 , as illustrated in the exemplary configuration of FIG. 4.
  • a plurality of the arc shaped electromagnets 218 may be positioned around the perimeter of the respective chambers to form a generally circularly shaped electromagnet configured to generate a magnetic field within each of the respective processing regions surrounded by the arc shaped electromagnet.
  • the embodiments of the invention illustrated in FIGS. 2, 3, and 4 utilize four electromagnets surrounding each of the respective chambers, the invention is in no way limited to using any particular number of electromagnets.
  • unitary magnet 218 B configured to generate a magnetic field on one side for the first chamber 200 and on another opposite side for a second chamber 201 , as illustrated in FIGS. 2B and 3A.
  • a unitary electromagnet 218 B is positioned between the respective chambers and is configured to supply a magnetic field to both chambers 200 , 201 simultaneously.
  • the magnetic field output of unitary electromagnet 218 B may be controlled by a system controller so that a plasma generated in the respective chambers 200 , 201 may be stirred through cooperative control of the magnetic field output of the respective electromagnets 218 A, 218 B.
  • the shield member 219 may be removed from the central portion of the chamber where the electromagnet 218 B is positioned. However, the shield member may still be positioned outward of the central electromagnet 218 B so that fields from the other electromagnets 218 A may be prevented from crossing over into the adjacent chamber.
  • the electromagnets are illustrated in a square-type configuration using four magnets per chamber, embodiments of the invention contemplate utilizing any number of magnets to surround the respective chambers. For example, linear or straight magnets may be utilized in an octagon type configuration, wherein eight magnets are positioned around the perimeter of a chamber.
  • the arc shaped magnets noted above may be utilized to surround a chamber, wherein any number of magnets from about 2 to about 24 or more magnets may be used, as illustrated in FIG. 4.
  • any number of electromagnets may be used to surround a processing chamber, and further, that the electromagnets may be configured in various shapes and configurations that may surround a chamber.
  • each of the respective chambers 200 , 201 may include an optional torroid assembly 220 configured to generate a plasma in the respective processing regions.
  • Each of the torroid assemblies 220 includes one or more hollow torroid conduits 221 that are in fluid communication with a processing region on opposing sides thereof. As illustrated in FIG. 2A, the torroid conduit 221 connects to a first side of a processing region 200 via a first aperture 222 .
  • the torroid conduit 221 then extends over the top portion 215 of the processing chamber 200 and returns to fluid communication with the processing region 202 on the opposite side thereof via a second aperture 222 .
  • the torroid conduit 221 may generally be manufactured from an electrically conductive material, and therefore, in order to reduce eddy currents generated therein during plasma generation, an insulating member 225 may be positioned inline with the torroidal conduit 221 .
  • the insulating member 225 may generally operate to separate the conduit 221 into two separate electrically isolated sections and prevent electrical current from flowing therethrough.
  • each torroid conduit 221 may also include a gas supply conduit 223 and at least one electrically biased coil 224 positioned proximate thereto.
  • the gas supply conduit 223 may not be necessary for proper plasma generation, as the gas supplied to the respective processing regions 200 , 201 may be communicated into the respective torroids for plasma generation, which eliminates the need for the additional gas supply 223 .
  • Each coil 224 may be wound around a corresponding conduit 221 so that a field generated therefrom may generally intersect and pass through the hollow interior portion of the corresponding conduit 221 .
  • Each of the individual coils 224 may be in electrical communication with a power supply 226 , which may be, for example, an RF power supply configured to drive the respective coils 224 .
  • a power supply 226 which may be, for example, an RF power supply configured to drive the respective coils 224 .
  • torroid conduit apertures 222 of torroid conduit 221 are illustrated as entering into the respective processing regions 202 , 203 via the top or lid portion thereof (see FIG. 2A), the present invention also contemplates that the torroid conduit apertures may enter into the processing regions from the sidewall 205 of the chamber. As illustrated in FIG. 5, the respective electromagnets 218 may be spaced apart slightly at their distil ends, thus forming a region where the aperture 222 of the torroid conduit 221 may communicate with processing regions 202 , 203 .
  • the plasma generated within the respective torroid conduits 221 which may number two or more, for example, is communicated to the respective processing region and distributed over the surface of the respective substrate positioned therein for processing.
  • each of the respective processing chambers are illustrated as including two of the individual torroidal conduits 221 , embodiments of the invention are not limited to any specific number of torroidal conduits 221 . However, if two torroidal conduits are used, generally, the conduits will extend above each of the respective processing chambers and intersect or cross over each other at a generally right angle.
  • this configuration generally provides for an even distribution of the plasma generated within the torroidal conduit 221 into the respective processing regions, as placement of the torroidal conduits 221 at right angles to each other provides for an aperture in the respective processing chamber at 90 degree increments, and therefore, provides for a generally uniform plasma to be distributed within the respective processing region.
  • embodiments of the invention contemplate that three or more torroidal conduits may be utilized, and as such, the corresponding number of plasma apertures may be positioned radially around the respective processing regions in equal radial spacing.
  • FIG. 2A and FIG. 6 show both a showerhead assembly and a torroidal plasma generation assembly
  • embodiments of the invention contemplate that either one or both of the respective plasma generation assemblies may be implemented in the tandem etch chambers of the invention. More particularly, embodiments of the invention generally contemplate that the showerhead assembly may be omitted, while the torroidal plasma conduits may be implemented in order to generate a plasma in the respective processing regions.
  • the tandem processing chambers illustrated in FIG. 2A or FIG. 6 may be implemented without the torroidal plasma conduits, as illustrated in FIG. 8.
  • the tandem processing chamber implemented without the torroidal plasma conduits may generally operate as a tandem MERIE chamber.
  • a plasma may be capacitatively generated through introduction of a processing gas via the showerhead and the application of an electrical bias between the showerhead and the substrate support member.
  • the plasma may be stirred and/or controlled via the selective actuation of a plurality of electromagnets positioned around the respective processing regions.
  • the shield member positioned between the adjacent tandem processing regions may operate to prevent cross over of magnetic fields intended for one processing region into the adjacent processing region.
  • tandem MERIE configuration two substrates may be simultaneously processed in the tandem processing regions, thereby doubling the throughput provided by conventional MERIE chambers, while not sacrificing the control and uniformity provided by single MERIE chambers.
  • the chamber may also be configured to implement the torroidal plasma conduits and not the showerhead assembly.
  • FIG. 6 illustrates an alternative configuration of the processing system of the invention. More particularly, FIG. 6 illustrates an embodiment of the invention wherein the torroidal conduits 221 are configured to enter into the respective processing regions 202 , 203 via the sidewall 205 . Further still, the embodiment of the invention illustrated in FIG. 6 utilizes a central pumping aperture 230 centrally located within the bottom portion of the respective chambers.
  • the central pumping aperture 230 which may be in fluid communication with a vacuum pump 235 , generally operates to communicate a negative pressure to the respective chambers 200 , 201 .
  • a single pump in fluid communication with the respective chambers via aperture 230 may be utilized to maintain both of the respective chambers at a desired common processing pressure.
  • processing conditions in both chamber 200 and chamber 201 may be identical, and therefore, variations between substrate processes within the respective chambers may be minimized.
  • both the sidewall entrance configuration for the torroidal conduits 221 may be implemented individually or in combination into each of the embodiments of the invention.
  • the chambers 200 , 201 may be separated/isolated from each other, i.e., aperture 230 may be eliminated, and therefore, the pressure in the respective chambers 200 , 201 may be individually controlled.
  • the exemplary tandem processing chamber illustrated in FIG. 6 is similar to the tandem processing chamber illustrated in FIG. 2A, and therefore, the structural description of the chamber illustrated in FIG. 2A may be generally applied to FIG. 6 for the common elements. As such, the chamber illustrated in FIG.
  • system controller 650 configured to control the electromagnets, plasma generation in the torroidal conduits, gas flows into the chambers and conduits, pressures in the respective chambers, electrical biases applied to generate plasmas, and other parameters generally associated with a semiconductor processing system.
  • FIG. 9 illustrates a tandem etch processing chamber 900 having cantilever-type substrate support members 908 positioned therein.
  • An exemplary cantilever mounted substrate support member that may be used in the present invention may be found in U.S. Pat. No. 6,001,267 entitled Plasma Enhanced Chemical Method, which is hereby incorporated by reference.
  • Chamber 900 which is structurally similar to the tandem chamber illustrated in FIG. 6 (and therefore, the structural description of FIG. 6 may be applied to the description of FIG. 9 where applicable), generally replaces the centrally mounted stem-type substrate support members 208 with the cantilevered substrate support members 908 . With the exception of the replacement of the substrate support members, the chamber configuration and features may be similar to the exemplary chamber illustrated in FIGS. 2 or 6 .
  • the cantilevered substrate support members 908 utilized in the present exemplary embodiment generally attach to the sidewall 905 of the respective chambers via one or more support arms extending radially outward from the substrate support member to a mounting plate on the outer wall 905 .
  • the bottom portion of the respective chambers is generally open.
  • the cantilevered substrate support members 908 allow for a central pumping configuration, which may, for example, include a shared central pumping aperture 930 in communication with a vacuum pump 935 .
  • the use of the cantilevered substrate support member, and in particular, the elimination of the stem portion of the conventional substrate support members, may provide for improved gas flow around the substrate support members 908 .
  • the cantilevered substrate support members 908 allow for the individual processing chambers to both have central pumping apertures formed therein, i.e., each chamber may have a central pumping aperture formed therein immediately below each of the respective cantilevered substrate support members 908 .
  • each of the pumping apertures formed directly below the cantilevered substrate support members 908 may be in fluid communication with a common vacuum pump.
  • FIG. 10 illustrates an exemplary embodiment of a tandem etch processing chamber 1000 having rotatable magnet assemblies 1001 positioned above the lid of the chamber 1000 .
  • the rotatable magnet assembly is generally configured to generate a magnetic field in the respective processing regions of the tandem chambers positioned below.
  • chamber 1000 is similar in construction to the exemplary tandem etch chamber illustrated in FIG. 2A, and therefore, the structural description of the chamber illustrated in FIG. 2A may generally be applicable.
  • the electromagnets 218 illustrated in FIG. 2A are removed from the perimeter of the respective processing regions and replaced by the rotatable magnet assemblies 1001 of the present exemplary embodiment.
  • the shield member 919 may generally extend above the top portion of the respective chambers/processing regions 902 so that the magnetic fields generated by the respective rotatable magnet assemblies 1001 do not interfere with the magnetic fields in the adjacent processing region.
  • Shield member 919 may be configured to absorb, cancel, or reflect the magnetic field lines passing therethrough so that the field lines do not interfere with adjacent chambers.
  • the rotatable magnet assemblies 1001 are generally configured to generate rotating or movable magnetic fields in the processing regions of the chambers positioned below the rotating magnets.
  • the rotating or movable magnetic fields may generally operate to stir and/or control a plasma generated in the processing region therebelow.
  • the embodiment of FIG. 10 may also include a torroidal plasma source in communication with each of the respective processing regions.
  • embodiments of the invention generally provide a processing system configured to conduct etch processes on at least two semiconductor substrates simultaneously. More particularly, using the exemplary embodiment of the invention illustrated in FIG. 1 as an example, substrates to be processed may be placed into substrate processing system 100 via cassettes 109 . Then substrates, generally two, may be transported into loadlock chamber 112 via robot 113 , and loadlock chamber 112 may be sealed from the chamber containing cassettes 109 , through, for example, a selectively actuated gate valve positioned between the respective chambers. Thereafter, the loadlock chamber 112 may be brought to a predetermined pressure and opened up to the substrate transfer chamber 104 .
  • the two substrates in the loadlock chamber 112 may be simultaneously transported into the substrate transfer chamber 104 via substrate transfer robot 105 , which generally includes a robot blade configured to simultaneously support two substrates.
  • the two substrates are generally supported in a side-by-side configuration in the same horizontal plane by the robot blade.
  • a pair of the gate valves 210 positioned between the transfer chamber 104 and the processing chamber 106 may be opened and the two substrates may be inserted into a processing chamber 106 , wherein an etch process may be conducted thereon.
  • the substrates may be simultaneously placed into the respective tandem chambers 200 , 201 .
  • the receiving process for the respective tandem chambers 200 , 201 generally includes, for example, lowering of the respective substrate support members 208 into a loading position, i.e., a position where the substrate support members 208 engage a lift pin assembly 212 , and are generally positioned below a plane through which the robot blade may enter into the respective chambers via gate valve 210 and entrance aperture 209 .
  • the robot blade may deposit the substrates into the respective chambers 200 , 201 by lowering the substrates onto the lift pin assemblies 208 .
  • the robot blade may be retracted from the respective chambers 200 , 201 and the gate valves 210 may be closed to seal the chambers 200 , 201 from the transfer chamber 104 .
  • the respective substrate support members 208 may be moved from a loading position to a substrate processing position.
  • the transition from the loading position to the substrate processing position generally includes raising the substrate support member vertically within the respective chambers 200 , 201 , such that the distance from the upper surface of the substrate support member 208 to the lower surface of the showerhead assembly 216 is minimized.
  • This movement of the substrate support member 208 also operates to define the respective processing regions 202 , 203 within chambers 200 , 201 , as the upper surface of the substrate support member 208 defines the lower portion of the respective regions 202 , 203 .
  • the vertical movement of the respective substrate support members 208 generally causes the lift pin assemblies 212 to lower the substrates onto the upper surfaces of the respective substrate support members 208 as the substrate support members 208 disengage with the portion of lift pin assembly 212 positioned in the lower portion of the respective chambers.
  • the process of raising the substrate support members 208 to the upper position also operates to position the upper surface of the substrate support member on approximately the same plane as the electromagnets 218 positioned around the respective processing regions 202 , 203 . As such, the magnetic fields generated by the respective electromagnets 218 will generally be concentrated in the processing regions 202 , 203 immediately above the substrate support members 208 .
  • the process of bringing the respective substrate support members 208 into the processing position may further include bringing the respective chambers to a processing pressure, which generally includes evacuating ambient gases from the respective chambers via the aforementioned vacuum pump.
  • a plasma may be generated within both of the respective processing regions 202 , 203 . More particularly, a plasma may be generated via application of a bias between substrate support member 208 and the showerhead assembly 216 , which then generates a plasma from a process gas introduced into the respective processing region, or a plasma may be generated within the torroidal conduits 221 and communicated to the respective processing regions 202 , 203 via apertures 222 at the terminating ends of torroidal conduits 221 . Additionally, if desired, both the showerhead and torroidal conduits may be cooperatively utilized to generate a plasma in the respective processing regions.
  • a process gas In order to generate a plasma within the respective torroidal conduits 221 , a process gas must first be present therein. Therefore, process gases from the respective processing regions 202 , 203 may be communicated into the respective torroidal conduits 221 , or alternatively, process gases may be delivered directly into the respective torroidal conduits via a gas supply 223 .
  • a field may be applied thereto in order to ionize the process gas within the torroidal conduits 221 into a plasma.
  • the field required to ionize the process gases may be generated by coils 224 , which are in electrical communication with power supply 226 , which may be an RF power supply, for example.
  • the plasma generated within the torroidal conduits 221 generally circulates through the torroidal path that extends through the respective processing regions 202 , 203 via apertures 222 , and therefore forms a continuous plasma path and extends over the surface of the substrate.
  • the density of a plasma may be manipulated and/or controlled by the selective activation of the individual electromagnets 218 . More particularly, when each of the individual electromagnets 218 are activated, the magnetic field generated by the respective electromagnet 218 intersects the processing region proximate thereto, as each of electromagnets 218 are positioned proximate the perimeter of a processing region. Therefore, each of the electromagnets 218 may be used to vary the magnetic field intensity exerted on a particular portion of the processing region positioned proximate thereto, which operates to confine or control the plasma generated or communicated to that particular portion of the processing region.
  • the present invention provides for control over the magnetic field in intensity through the entire processing region, which inherently provides for control over the plasma density over the entire processing region.
  • FIG. 7A illustrates a schematic representation of exemplary tandem processing chambers 200 , 201 of the invention during processing, and more particularly, during the time period when system controller 250 is operating to generate and control a quasi-static, multi-directional magnetic field in each of the respective processing regions 202 , 203 .
  • opposing coil pairs 218 (coils positioned on opposite sides of the respective processing regions 202 , 203 ) cooperatively operate to form mutually perpendicular magnetic field vectors B y and B x , respectively, which are generally parallel to the substrate support member and the surface of the substrate positioned thereon.
  • the magnitude and direction of the current supplied to each of the individual electromagnets may be controlled by system controller 250 .
  • the perpendicular field vectors B y and B x generated by the coil pairs may be defined by the following equations:
  • system controller 250 may independently solve the above noted equations to obtain associated magnetic field vectors B y and B x , which provide the desired strength of field and orientation. Thereafter, system controller 250 may selectively regulate the application of electric currents to the individual electromagnets, and in particular the electromagnet pairs, to provide the desired magnetic field in the respective processing chambers 200 , 201 . Additionally, the angular orientation and magnitude of the generated magnetic fields may be independently altered as quickly or as slowly as desired by changing the current supplied to the electromagnets.
  • the time that the field is on at each angular position and the direction of angular stepping may be varied, as well as the field intensity, since these parameters are solely a function of changing the currents to the electromagnets and are readily controlled by the system controller 250 .
  • the magnetic field in each of the processing regions 202 , 203 may be moved or stirred around the respective processing region using selected orientation and time increments, as illustrated by arrows A and B in FIG. 7.
  • the magnitude of the resultant field By may be changed as the process or reactor configuration requires, or a constant field strength may be used.
  • the electrical current-controlled system provides the versatility of a fast or slow moving, constant or varying strength magnetic field of constant or varied angular velocity.
  • the orientation of the field need not be stepped or changed sequentially, but can be instantaneously switched from any given orientation (or field strength) to another.
  • This versatility in independently controlling the direction and magnitude of the magnetic field is distinct from existing commercially useful rotating magnetic fields, which typically rotate at a fixed relatively high frequency such as the standard rate of 60 Hertz.
  • the ability to “rotate” slowly, at a rate, for example, as low as 2 to 5 sec./revolution (12 to 30 cycles/min.) or slower avoids problems, such as the eddy current losses associated with the use of higher frequencies in metal chambers.
  • embodiments of the invention contemplate that either DC or pulsed-type, RF for example, power supplies may be used in conjunction with the controller and electromagnets of the invention.
  • the magnetic field may be rotated in 90-degree increments by successively and periodically connecting a DC power supply to a first coil pair with positive polarity, then to a second coil pair with positive polarity, then to the first coil pair with negative polarity, and then to the second coil pair with negative polarity.
  • the magnetic field may be continuously rotated via the use of low frequency (in the range of 0.1 to 10 Hz, for example) power supply having quadrature outputs connected to provide current to the first coil pair offset in phase by 90 degrees from the current provided to the second coil pair 32 .
  • FIG. 7B illustrates exemplary magnetic field lines for an embodiment of the invention wherein four electromagnets 218 A and 218 B are positioned orthogonally around each of the processing regions and a shield member 219 is positioned between the electromagnets that share the common central wall.
  • Shield member 219 and the common central wall are shown as a unitary member in FIG. 7B, however, the invention is not limited to this configuration, as the shield and wall members may be separate or unitary.
  • adjacent sets of electromagnets orthogonally positioned may be configured to augment the strength of the magnetic field near the perimeter of the substrate closest to the intersection of the adjacent electromagnets (designated point Q in FIG.
  • the total magnetic flux produced by one electromagnet pair (in this embodiment an electromagnet pair is defined as two electromagnets positioned adjacent each other, i.e., two electromagnets that both terminate at one end at the same corner) may be set to be sufficiently less than the total magnetic flux produced by the adjacent electromagnet pair so that the combined magnetic field from the two electromagnet pairs declines in strength from point P to point Q across the surface of the substrate.
  • the use of adjacently positioned opposing electromagnet pairs may operate to reduce the rate of decline, but does not eliminate or reverse the decline, from point P to point Q in the magnetic field strength.
  • the ratio R (where R>1) of the total magnetic flux produced by one electromagnet pair to the total magnetic flux produced by the other electromagnet pair may be adjusted to maximize the spatial uniformity of the ion flux over the surface of the substrate being processed.
  • Shield member 219 operates to magnetically isolate the respective tandem chambers from each other, and therefore, the magnetic field generated by electromagnets for one processing region does not cross over into the adjacent processing region and interfere with the controllability of the field strength in that particular processing region.
  • FIG. 7C illustrates a plan view of the magnetic field lines generated by another embodiment of the invention.
  • the respective tandem processing chambers 200 and 201 share a common central electromagnet 218 B.
  • electromagnet 218 B is configured to generate a magnetic field that may be simultaneously used for processing in both tandem chamber 200 and tandem chamber 201 . Therefore, the system controller in electrical communication with the respective electromagnets will generally be configured to adjust the magnitude and direction of the field generated by the central electromagnet 218 B cooperatively with the remaining electromagnets positioned around the remaining three sides of the respective processing regions. For example, as illustrated in FIG.
  • the magnetic field generated by the central electromagnet 218 B and the lowermost electromagnets 218 A is in a clockwise direction, and therefore, assuming that a contributory magnetic field effect is desired, the magnetic field cooperatively generated by electromagnets 218 C and 218 D may be in a counter-clockwise direction.
  • This configuration may generate a uniformly dense plasma area in a particular area of each of the processing regions, and in the exemplary embodiment, the dense plasma area would be in the area denoted by an “X” in FIG. 7C, as the area proximate the “X” is where the respective field lines converge.
  • the field direction of electromagnet 218 B may be switched to a counterclockwise direction, and therefore, the associated magnetic fields generated by the remaining electromagnets may also be switched in direction to maintain the contributory field effect.
  • the system controller in each of the above noted embodiments may selectively control the electrical current supplied to each of the individual electromagnets, the region of dense plasma generated by the electromagnets may be selectively moved or stirred within the respective processing regions.
  • the magnetic field control features of the present invention provides the versatility of a fast or slow moving, constant or varying strength magnetic field of constant or varied angular velocity within each of the respective tandem processing regions.
  • the orientation of the field need not be stepped or changed sequentially, as it may be instantaneously switched from any given orientation (or field strength) to another, i.e., the plasma confining magnetic field may be switched from one quadrant in the processing region to another quadrant in the processing region, where the respective quadrants are not adjacent each other.
  • the number of sectors or regions may be varied in accordance with the number of magnets and processing region chamber shape.
  • a sector defined within the processing region may correspond to an area where the magnetic field generated therein is primarily controlled by a single one of a plurality of electromagnets 218 or pairs of electromagnets operating cooperatively.
  • FIGS. 11 A- 11 D illustrate an exemplary plasma stirring process that may be implemented by embodiments of the invention through selective control of electromagnets positioned around processing regions.
  • a tandem magnetically enhanced etch chamber using a unitary central electro magnet (designated electromagnet 1 ) and six surrounding electromagnets (designated electromagnets 2 - 7 ) is used to simultaneously stir a plasma in tandem processing regions.
  • FIG. 1 a unitary central electro magnet
  • electromagnets 2 - 7 six surrounding electromagnets
  • the magnetic field is configured to generate a dense plasma region in the left side tandem processing region near the upper left hand corner of the region, i.e., proximate the corners of magnets 2 and 6 , while simultaneously generating a dense plasma in the right side tandem region near the lower left corner of the processing region, i.e., proximate the corners of magnets 1 and 5 .
  • the magnetic field between magnet 1 and magnet 2 is set up to be in a clockwise direction
  • the magnetic field between magnets 4 and 6 is set up to be in a counterclockwise direction, as shown by the arrows in FIG. 11A.
  • the magnetic field between magnets 3 and 1 is set up to be in a clockwise direction
  • the magnetic field between magnets 7 and 5 is set up to be in a counterclockwise direction.
  • FIG. 11B illustrates an exemplary second step of a magnetic field stirring process, wherein a dense plasma region is generated in an upper right hand corner of the left hand side tandem processing region, while a dense plasma region is generated in a lower right hand corner of the right side tandem processing region.
  • the magnetic field between magnet 6 and magnet 2 is generally in a counter clockwise rotation, while the magnetic field between magnet 1 and magnet 4 is in a clockwise rotation.
  • the magnetic field between electromagnets 3 and 7 is in a counterclockwise direction, while the magnetic field between electromagnets 1 and 3 is in a clockwise direction.
  • FIG. 11C illustrates an exemplary third step of a magnetic field stirring process, wherein a dense plasma region is generated in the lower right hand corner of the left side tandem processing region, while a dense region is generated in an upper right hand corner of the right side tandem processing region.
  • the magnetic field between electromagnet 2 and electromagnet 1 is in a counterclockwise direction, while the magnetic field between electromagnet 6 and electromagnet 4 is in a clockwise direction.
  • the magnetic field between electromagnet 1 and electromagnet 3 is generally in a counterclockwise direction
  • the magnetic field between electromagnet 5 and electromagnet 7 is generally in a clockwise direction.
  • FIG. 11D illustrates an exemplary fourth step of a magnetic field stirring process, wherein a dense plasma region is generated in a lower left hand corner of the left side tandem processing region, while a dense plasma region is generated in an upper left hand corner of a right side tandem processing region.
  • the magnetic field between electromagnet 2 and electromagnet 6 is in a clockwise direction, while the magnetic field between electromagnet 1 and electromagnet 4 is in a counterclockwise direction.
  • the magnetic field between electromagnet 7 and electromagnet 3 is in a clockwise direction, while the magnetic field between electromagnet 5 and electromagnet 1 is in a counterclockwise direction.
  • a dense plasma region in each of the respective processing regions may be simultaneously circulated through each of the respective processing regions.
  • a circular circulation has been illustrated in the exemplary embodiment, the invention is not limited to this configuration. Rather, embodiments of the invention contemplate that various plasma circulation patterns may be implemented, including, for example, criss-cross patterns, z-shaped patterns, and box patterns.
  • the unloading process generally includes lowering of the substrate support member 208 from the processing position to the substrate loading/unloading position. Once the substrate support member is in the loading/unloading position, valves 210 may be opened in order to allow a robot blade to access the respective processing chamber and remove the processed substrates therefrom. Once the substrates are removed, they may be transferred to another set of processing chambers so that another processing recipe step may be conducted thereon. Similarly, two additional substrates may be brought into the processing chambers where the two substrates were just removed therefrom so that a processing step may be conducted thereon. As such, the exemplary configurations of the present invention, which are generally illustrated in FIGS. 1, 2, and 6 allows for the simultaneous processing of two substrates in the tandem processing chambers.

Abstract

Embodiments of the invention provide a tandem magnetically enhanced etch chamber. The tandem chamber generally includes a first tandem processing chamber, a second tandem processing chamber positioned adjacent the first tandem processing chamber and being partially separated therefrom by a shared central wall, and a pumping apparatus cooperatively in fluid communication with the first and second chambers. The first tandem processing chamber generally includes a first substrate support member positioned in a first chamber, a first plasma generation device in communication with the first chamber, and a plurality of first selectively actuated electromagnets positioned around the first chamber. The second tandem processing chamber generally includes a second substrate support member positioned in a second chamber, a second plasma generation device in communication with the second chamber, and a plurality of second selectively actuated electromagnets positioned around the second chamber.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • Embodiments of the invention generally relate to semiconductor processing, and more particularly, to etch and inductive plasma related semiconductor manufacturing processes and related hardware. [0002]
  • 2. Description of the Related Art [0003]
  • Integrated circuit (IC) substrate processing systems, and in particular, substrate processing systems configured to fabricate VLSI and/or ULSI circuits on silicon substrates, often utilize several processes in order to form the desired circuit features into a die on a substrate. One process generally used in the manufacture of semiconductor devices is an etch process, which may be conducted in a reactive ion etching (RIE) chamber or a magnetically enhanced reactive ion etching (MERIE) chamber, for example. RIE and MERIE chambers are generally effective in etching narrow features into layers formed on a substrate, and therefore, RIE and MERIE chambers are generally preferred for VLSI and ULSI applications. [0004]
  • In an MERIE chamber, for example, features may be etched into a layer formed on a semiconductor substrate via the generation of a reactive plasma configured to react with a material on the substrate surface or the substrate surface itself though a series of photoresist masks. The reactive plasma is generated via the introduction of a reactive gas into the chamber, generally via a showerhead and blocker plate assembly, along with the application of sufficient energy, generally RF energy, to ignite a plasma of the reactive gas. A rotating magnetic field, generally produced by a bank of rotating magnets mounted outside and above the MERIE chamber, may operate to stir the ignited plasma in order to generate more uniform plasma characteristics over the entire substrate surface. However, although the density of the reactive plasma generated in conventional MERIE systems is sufficient for etching, it is desired to provide a more dense plasma for some etch processes. [0005]
  • In response to the need for a high density plasma in etch processes, an externally excited torroidal plasma source was added to an etch chamber. For example, U.S. Pat. No. 6,348,126, which is incorporated herein by reference, illustrates a torroidal plasma source in communication with an etch chamber. The torroidal plasma source operates to communicate a plasma to the processing region, and is generally capable of generating a plasma having a higher density than plasmas generated by conventional MERIE chambers. [0006]
  • However, another challenge associated with conventional semiconductor etch systems is that they are generally configured as single chamber, single substrate-type chambers, i.e., a single chamber is used to conduct an etch process on a single substrate in a one-at-a-time-type fashion. These single chamber-type systems are not able to provide high throughput rates, as each substrate must be sequentially processed in the single chamber. In order to address the throughput issues of single chamber-type systems, batch etch processing-type chambers have been developed. However, batch-type systems have been found to be generally undesirable in semiconductor manufacturing etch processes, as batch etch-type systems have been shown to yield uniformity variations between substrates manufactured in the same batch. Additionally, in other semiconductor processing areas, such as, for example, chemical vapor deposition, tandem processing chambers have been utilized to provide improved throughput while maintaining yield uniformity. For example, U.S. Pat. No. 6,152,070, which is assigned to Applied Materials of Santa Clara, Calif., illustrates a tandem processing chamber that may be used for vacuum processing of two substrates in separate isolated tandem processing regions at the same time. The tandem processing chambers may be accessed simultaneously by a single dual robot blade configured to insert and/or remove substrates from both of the processing regions at the same time. However, conventional semiconductor processing apparatuses and methods generally do not provide an etch chamber capable of providing greater throughput than that provided by single substrate chambers without sacrificing the physical characteristics, such as uniformity, for example, of the substrates produced. [0007]
  • Therefore, there is a need for an etch chamber configured to provide controllable etch uniformity and improved throughput characteristics. [0008]
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention generally provide an etch system configured to provide concurrent transfer of at least two substrates through the etch system simultaneously. The substrates may be processed concurrently in tandem chambers that share common gas supply and pumping systems. Each of the tandem chambers generally includes a processing region having a substrate support member positioned therein, wherein the substrate support member may include heating and/or cooling elements to maintain a desired substrate temperature during processing. Additionally, each of the tandem chambers includes devices configured to generate and control a plasma in each of the respective tandem chambers, as well as a shield member positioned between the respective chambers to prevent magnetic interference. Accordingly, the present etch system is capable of providing the process control features of single substrate etch processing systems, while also providing increased substrate throughput. [0009]
  • More particularly, embodiments of the invention provide a tandem magnetically enhanced inductive source chamber for a semiconductor processing system. The tandem chamber generally includes a first tandem processing chamber, a second tandem processing chamber positioned adjacent the first tandem processing chamber and being separated therefrom by a shared central wall, and a pumping apparatus cooperatively in fluid communication with the first and second chambers. The first tandem processing chamber generally includes a first substrate support member positioned in a first chamber, a first plasma generation device in communication with the first chamber, and a plurality of first selectively actuated electromagnets positioned around the first chamber. The second tandem processing chamber generally includes a second substrate support member positioned in a second chamber, a second plasma generation device in communication with the second chamber, and a plurality of second selectively actuated electromagnets positioned around the second chamber. [0010]
  • Embodiments of the invention further provide a magnetically enhanced inductive source processing system that includes a loadlock chamber, a substrate transfer chamber selectively in communication with the loadlock chamber, and at least one tandem processing chamber selectively in communication with the substrate transfer chamber. The at least one tandem chamber generally includes a first and second adjacently positioned isolated processing chambers, a plurality of electromagnets positioned around the first and second processing regions, and at least one torroidal conduit in communication with each of the first and second adjacently positioned processing chambers. Additionally, the first and second adjacently positioned processing chambers generally share a common wall that magnetically separates the respective processing chambers while allowing fluid communication therebetween. [0011]
  • Embodiments of the invention further provide a tandem processing chamber having a first processing chamber, a second processing chamber positioned adjacent the first processing chamber and sharing a common wall therewith, at least one power supply in electrical communication with a first coil and a second coil, and a system controller in electrical communication with the power supply, the system controller being configured to regulate the electrical power delivered to the first and second coils. The first processing chamber includes a first substrate support member configured to receive a substrate in a lower portion of the first processing chamber and communicate the substrate to an upper portion of the first processing chamber for processing, and a first plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the first processing chamber. Additionally, the first processing chamber generally includes at least one first torroidal plasma conduit in fluid communication with the upper portion of the first processing chamber, and at least one first coil positioned proximate the at least one first torroidal plasma conduit and being configured to generate a field within the at least one first torroidal plasma conduit. The second processing chamber generally includes a second substrate support member configured to receive a substrate in a lower portion of the second processing chamber and communicate the substrate to an upper portion of the second processing chamber for processing and a second plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the second processing chamber. Additionally, the second processing chamber generally includes at least one second torroidal plasma conduit in fluid communication with the upper portion of the second processing chamber, and at least one second coil positioned proximate the at least one second torroidal plasma conduit and being configured to generate a field within the at least one second torroidal plasma conduit.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above-recited features of the invention are obtained may be understood in detail, a more particular description of the invention briefly summarized above may be had by reference to the embodiments thereof, which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention, and are therefore, not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0013]
  • FIG. 1 illustrates a plan view of an embodiment of the etch system of the invention. [0014]
  • FIG. 2A illustrates a sectional view of an embodiment of a tandem etch chamber of the invention. [0015]
  • FIG. 2B illustrates a sectional view of another embodiment of a tandem etch chamber of the invention. [0016]
  • FIG. 3 illustrates a plan view of an exemplary tandem processing chamber of the invention. [0017]
  • FIG. 4 illustrates a plan view of another exemplary tandem processing chamber of the invention. [0018]
  • FIG. 5 illustrates a plan view of another exemplary tandem processing chamber of the invention. [0019]
  • FIG. 6 illustrates a sectional view of an alternative embodiment of the tandem processing chamber of the invention. [0020]
  • FIG. 7A illustrates a plan view of dense plasma regions within tandem processing chambers of the invention. [0021]
  • FIG. 7B illustrates a plan view of magnetic field lines in a tandem processing chamber of the invention. [0022]
  • FIG. 7C illustrates a plan view of magnetic field lines in another tandem processing chamber of the invention. [0023]
  • FIG. 8 illustrates a sectional view of an exemplary tandem processing chamber of the invention. [0024]
  • FIG. 9 illustrates a tandem etch processing chamber having cantilever-type substrate support members. [0025]
  • FIG. 10 illustrates a tandem etch processing chamber having rotatable magnets positioned above the lid of the chamber. [0026]
  • FIGS. [0027] 11A-11D illustrate an exemplary plasma stirring process that may be implemented by embodiments of the invention.
  • DETAILED DESCRIPTION
  • FIG. 1 illustrates a plan view of an exemplary tandem chamber-[0028] type etch platform 100 of the invention. Platform 100 is generally a self-contained system having the necessary processing utilities supported on a main frame structure that can be easily installed and provides a quick start up for operation. System 100 generally includes four different regions, namely, a front end staging area 102, a loadlock chamber 112, and a transfer chamber 104 in communication with a plurality of tandem processing chambers 106 via isolation valves 209. Front end staging area 102, which is generally known as a factory interface or mini environment, generally includes an enclosure having at least one substrate containing cassette 109 positioned in communication therewith via a pod loader configuration. A front end substrate transfer robot 113, which may generally be a track robot configured to move longitudinally within the enclosure, is generally positioned proximate cassettes 109 and is configured to remove substrates therefrom for processing, as well as position substrates therein once processing of the substrates is complete. Although four cassettes are shown, the present invention is not limited to any particular number of cassettes. For example, embodiments of the invention contemplate using the two outermost substrate cassette positions/pod loaders, while replacing the two interior cassette positions/pod loaders with a stackable substrate cassette feeder assembly (not shown). The stackable substrate feeder assembly may be configured to store a plurality of substrate cassettes in a vertical stack and individually deliver the cassettes to the outer cassette locations/pod loaders when needed. The front end staging area 102 is selectively in communication with the load lock chamber 112 through, for example, a selectively actuated valve (not shown). Additionally, loadlock 112 may also be selectively in communication with the transfer chamber 104 via another selectively actuated valve, for example. Therefore, the loadlock chamber 112 may operate to isolate the interior of the substrate transfer chamber 104 from the interior of the front end enclosure 102 during the process of transferring one or more substrates into the transfer chamber 104 for processing. Loadlock chamber 112 may be a side-by-side substrate type chamber, a single substrate type chamber, or multi-substrate-type loadlock chamber, for example, as is generally known in the art.
  • A [0029] substrate handler 105 may be centrally positioned in the interior portion of the transfer chamber 104. Substrate handler 105 is generally configured to receive substrates from the loadlock chamber 112 and transport the substrates received therefrom to one of the processing chambers 106 positioned about the perimeter of the transfer chamber 106. Additionally, substrate handler 105 is generally configured to transport substrates between the respective processing chambers 106, as well as from the processing chambers 106 back into the loadlock chamber 112. The substrate handler 105 generally includes a dual blade configured to support two substrates thereon simultaneously. Additionally, the blade of substrate handler 105 is selectively extendable, while the base is rotatable, which allows the blade to access the interior portion of any of the processing chambers 106, the loadlock chamber 112, and/or any other chamber positioned around the perimeter of the transfer chamber 104. A utility supply unit (not shown), which may be positioned in any location that is generally proximate system 100, generally houses the support utilities needed for operation of system 100, such as a gas panel, a power distribution panel, power generators, and other components used to support semiconductor etch processes.
  • FIG. 2A illustrates a sectional view of an [0030] exemplary processing chamber 106 of the invention, which may be a tandem magnetically enhanced etch chamber, for example. Processing chamber 106 generally provides a tandem process chamber configuration, wherein each of the tandem process chambers 200, 201 includes an individual processing region 202, 203 therein. Each of the respective tandem process chambers 200, 201 includes sidewalls 205, a common interior wall 206, and a bottom 207. The interior wall 206 may generally be a shared central wall that separates the upper portion of the respective chambers 200, 201 from each other. As such, the processing regions 202, 203 defined in the respective chambers 200, 201 may not be in line of sight contact, but may share a common pressure, as the lower portion of wall 206 may allow the respective chambers 200, 201 to communicate with each other. A substrate support member 208, which may include a substrate lift pin assembly 212, may be positioned within each of the respective processing chambers 200, 201 via extension into chambers 200, 201 through bottom 207. The substrate support members 208 may be movable in a vertical direction, i.e., in the direction along the axis of the supporting stem member, and may be heated and/or cooled through, for example, fluid conduits formed therein or resistive heaters. Additionally, the substrate support member 208 may be in electrical communication with a power supply configured to supply an electrical bias to the substrate support member 200. The sidewalls 205 of each of the respective chambers 200, 201 additionally include an aperture 209 formed therein, wherein the aperture 209 is configured to communicate substrates into and out of the respective chambers. As such, each of the apertures 209 may generally be in selective communication with, for example, a substrate transfer chamber, such as chamber 104 illustrated in FIG. 1. Therefore, in order to maintain a processing region within each of processing chambers 200, 201, a valve 210, such as a gate or slit valve, for example, may be positioned between each of the apertures and the connecting chamber (as illustrated in FIG. 3), or alternatively, a single valve may be implemented.
  • Additionally, each of the [0031] respective tandem chambers 200, 201 may include an upper and lower portions, wherein the upper portion generally includes the processing regions 202, 203, and wherein the lower portion generally includes a loading region 211. The loading region 211 may generally be defined as the region positioned below the electromagnets 218 (assuming electromagnets 218 are each a unitary rectangular magnet with a solid center), which will be further discussed herein. In this configuration, the substrate support members 208 may be lowered into the loading region 211 below the lower surface of electromagnets 218. In this position, a substrate may be positioned on the substrate support member 208 via aperture and gate valve 210, which are formed into the sidewalls of the chambers below the electromagnets 218. More particularly, when the substrate support member 208 is lowered, the lift pin assembly 212 may operate to lift a substrate off of the upper surface of the substrate support member 208. Thereafter, a robot blade may enter into the loading region 211 and engage the substrate lifted by the lift pin assembly 212 for removal therefrom. Similarly, with the substrate support member 208 in a lowered positioned, substrates may be placed thereon for processing. Thereafter, the substrate support member may be vertically moved into a processing position, i.e., a position where the upper surface of the substrate support member 208 is positioned proximate the upper or top portion of the respective chamber.
  • In another embodiment of the invention, [0032] magnets 208 may be rectangular in shape and have a hollow central portion. In this configuration the substrate support member may be configured to have an upper substrate support surface that corresponds with the hollow central portion of the magnets 208, and similarly, the aperture 209 and valve 210 may be located to correspond with the hollow central portion of the magnet 208. Thus, in this configuration the substrate support member may not need to be movable in the vertical direction in order to load and unload substrates. In yet another embodiment, the rectangular magnets 208 having a hollow central portion may again be used, however, the aperture may again be positioned below the lower surface of the magnet 208. As such, the substrate support member 208 may be movable between a processing position (where the upper surface of the substrate support member 208 is generally positioned proximate the middle hollow portion of the magnet 208) and a loading position (where the upper surface of the substrate support member 208 is positioned below the lower surface of the lowest portion of the magnet 218).
  • The upper portion of the [0033] respective chambers 200, 201 generally define the respective isolated processing regions 202, 203 for each of the respective chambers. Additionally, the upper portions of the respective chambers provides the devices and/or apparatuses necessary to support plasma generation. For example, processing chamber 106 may generally include a unitary top or lid member 215 that defines the upper boundary of the respective processing regions 202, 203. The lid member 215 may optionally include a gas distribution assembly 216, such as, for example, a showerhead and blocker plate assembly configured to dispense a processing gas into the respective processing regions 202, 203. The shower head assembly, which may be manufactured from an electrically conductive material, may be in electrical communication with a power source (not shown) configured to supply an electrical bias thereto, as is known in the art. Additionally, the substrate support members 208 may be in electrical communication with a power supply. Therefore, once a plasma is generated in the respective processing regions, the power supply in communication with the substrate support member may be used to control bombardment of the ions in the plasma on the substrate support member. The upper portions of the respective isolated chambers may also include a circumferentially positioned pumping channel 217, wherein pumping channel 217 is in fluid communication with a common vacuum source (not shown), through, for example, vacuum lines 237. Therefore, the respective pumping channels 217 are generally configured to maintain the respective chambers 200, 201, and more particularly, the respective processing regions 202, 203, at a pressure desired for semiconductor processing.
  • As briefly noted above, the upper portions of the [0034] respective chambers 200, 201 also include a plurality of electromagnets 218A, 218B (generally referred to as electromagnets 218) positioned around the perimeter of the respective processing regions 202, 203. As illustrated in FIG. 2A, electromagnets 218 may be positioned radially outward of the circumferential pumping channels 217, and as such, electromagnets 218 may generally surround processing regions 202, 203. Electromagnets 218, which may be in electrical communication with a system controller 250 configured to control the operation thereof, are generally positioned and configured to generate a quasi-static magnetic field in the respective processing regions 202, 203. The system controller, which may be a micro-processor based controller, for example, may be configured to electronically control both the electrical power applied to each of the respective electromagnets 218, as well as various other system parameters, such as gas flows, chamber pressures, and other parameters generally controlled in a semiconductor processing system. However, inasmuch as each of the electromagnets 218 are individually and cooperatively controlled by system controller 250, the cumulative magnetic field generated by the respective electromagnets 218 may be modified and or controlled by the system controller 250, for example, in accordance with a semiconductor processing recipe. Furthermore, inasmuch as the present invention implements a tandem etch processing chamber configuration, the inwardly positioned electromagnets 218B may generate interfering magnetic fields. Therefore, in order to prevent interfering fields from entering into the adjacent processing chamber, a field insulating shield 219, i.e., a shield manufactured from a material configured to prevent the transmission of magnetic fields therethrough, may be positioned between the respective chambers, and more particularly, may be positioned between the respective adjacent electromagnets 218B. Shield member 219 may, for example, be manufactured from a number of dense metals known to shield magnetic fields, such as, for example, steel, aluminum, and/or iron. Additionally, shield member 219 may be manufactured from various alloys, rubbers, and plastics, which may also have metal dispersed therethrough to assist in the magnetic shielding properties. Regardless of the actual composition, shield member 219, which may be of varying thicknesses, is generally manufactured from one or more materials known in the art to shield magnetic fields. As such, a magnetic field generated by the respective electromagnets 218B will be directed towards the interior of the respective processing chambers 200, 201, while the magnetic field emanating in the opposite direction from the adjacent electromagnet 218B may be absorbed and/or canceled by the magnetic insulating shield 219.
  • FIG. 3 illustrates a plan view of an exemplary [0035] tandem processing chamber 106 of the invention. An example of the positioning of the respective electromagnets 218 around the respective chambers 200, 201 is illustrated in FIG. 3. Additionally, the interstitially positioned magnetic shield member 219 is also illustrated. However, it is to be noted that embodiments of the present invention are in no way limited to the configuration of electromagnets 218 illustrated in FIG. 3. For example, it is contemplated that each of electromagnets 218 may be radial or arc shaped electromagnets configured to mirror a portion of the perimeter of the respective processing regions 202, 203, as illustrated in the exemplary configuration of FIG. 4. In this configuration, a plurality of the arc shaped electromagnets 218 may be positioned around the perimeter of the respective chambers to form a generally circularly shaped electromagnet configured to generate a magnetic field within each of the respective processing regions surrounded by the arc shaped electromagnet. Additionally, although the embodiments of the invention illustrated in FIGS. 2, 3, and 4 utilize four electromagnets surrounding each of the respective chambers, the invention is in no way limited to using any particular number of electromagnets. For example, the adjacent magnets 218B positioned between the respective chambers 200, 201 in FIG. 3 may be replaced by a unitary magnet 218B configured to generate a magnetic field on one side for the first chamber 200 and on another opposite side for a second chamber 201, as illustrated in FIGS. 2B and 3A. In this embodiment, a unitary electromagnet 218B is positioned between the respective chambers and is configured to supply a magnetic field to both chambers 200, 201 simultaneously. Additionally, as will be discussed herein, the magnetic field output of unitary electromagnet 218B may be controlled by a system controller so that a plasma generated in the respective chambers 200, 201 may be stirred through cooperative control of the magnetic field output of the respective electromagnets 218A, 218B. Additionally, when the unitary electromagnet 218B is utilized, the shield member 219 may be removed from the central portion of the chamber where the electromagnet 218B is positioned. However, the shield member may still be positioned outward of the central electromagnet 218B so that fields from the other electromagnets 218A may be prevented from crossing over into the adjacent chamber. Further, although the electromagnets are illustrated in a square-type configuration using four magnets per chamber, embodiments of the invention contemplate utilizing any number of magnets to surround the respective chambers. For example, linear or straight magnets may be utilized in an octagon type configuration, wherein eight magnets are positioned around the perimeter of a chamber. Alternatively, the arc shaped magnets noted above may be utilized to surround a chamber, wherein any number of magnets from about 2 to about 24 or more magnets may be used, as illustrated in FIG. 4. Regardless of the shape or configuration of the electromagnets utilized, embodiments of the invention contemplate that any number of electromagnets may be used to surround a processing chamber, and further, that the electromagnets may be configured in various shapes and configurations that may surround a chamber.
  • Although the combination of the biased [0036] substrate support members 208 and the electrically biased showerhead assemblies 216 may operate to generate a plasma within the respective processing regions 202, 203, embodiments of the invention provide additional assemblies for communicating a plasma into the respective processing regions 202, 203. More particularly, as illustrated in FIG. 2A, each of the respective chambers 200, 201 may include an optional torroid assembly 220 configured to generate a plasma in the respective processing regions. Each of the torroid assemblies 220 includes one or more hollow torroid conduits 221 that are in fluid communication with a processing region on opposing sides thereof. As illustrated in FIG. 2A, the torroid conduit 221 connects to a first side of a processing region 200 via a first aperture 222. The torroid conduit 221 then extends over the top portion 215 of the processing chamber 200 and returns to fluid communication with the processing region 202 on the opposite side thereof via a second aperture 222. The torroid conduit 221 may generally be manufactured from an electrically conductive material, and therefore, in order to reduce eddy currents generated therein during plasma generation, an insulating member 225 may be positioned inline with the torroidal conduit 221. The insulating member 225 may generally operate to separate the conduit 221 into two separate electrically isolated sections and prevent electrical current from flowing therethrough. Inasmuch as a torroid conduit 221 is generally configured to generate a plasma and communicate the plasma to a processing region, each torroid conduit 221 may also include a gas supply conduit 223 and at least one electrically biased coil 224 positioned proximate thereto. However, it is understood that the gas supply conduit 223 may not be necessary for proper plasma generation, as the gas supplied to the respective processing regions 200, 201 may be communicated into the respective torroids for plasma generation, which eliminates the need for the additional gas supply 223. Each coil 224 may be wound around a corresponding conduit 221 so that a field generated therefrom may generally intersect and pass through the hollow interior portion of the corresponding conduit 221. Each of the individual coils 224 may be in electrical communication with a power supply 226, which may be, for example, an RF power supply configured to drive the respective coils 224. As such, the combination of the application of electrical power to the respective coils 224 and the process gas in the torroids causes a plasma to be generated within the torroid conduit 221.
  • Additionally, although the [0037] apertures 222 of torroid conduit 221 are illustrated as entering into the respective processing regions 202, 203 via the top or lid portion thereof (see FIG. 2A), the present invention also contemplates that the torroid conduit apertures may enter into the processing regions from the sidewall 205 of the chamber. As illustrated in FIG. 5, the respective electromagnets 218 may be spaced apart slightly at their distil ends, thus forming a region where the aperture 222 of the torroid conduit 221 may communicate with processing regions 202, 203. As a result of this configuration, the plasma generated within the respective torroid conduits 221, which may number two or more, for example, is communicated to the respective processing region and distributed over the surface of the respective substrate positioned therein for processing. Furthermore, although each of the respective processing chambers are illustrated as including two of the individual torroidal conduits 221, embodiments of the invention are not limited to any specific number of torroidal conduits 221. However, if two torroidal conduits are used, generally, the conduits will extend above each of the respective processing chambers and intersect or cross over each other at a generally right angle. Although not required, this configuration generally provides for an even distribution of the plasma generated within the torroidal conduit 221 into the respective processing regions, as placement of the torroidal conduits 221 at right angles to each other provides for an aperture in the respective processing chamber at 90 degree increments, and therefore, provides for a generally uniform plasma to be distributed within the respective processing region. However, embodiments of the invention contemplate that three or more torroidal conduits may be utilized, and as such, the corresponding number of plasma apertures may be positioned radially around the respective processing regions in equal radial spacing.
  • Furthermore, although embodiments of the invention illustrated in FIG. 2A and FIG. 6 show both a showerhead assembly and a torroidal plasma generation assembly, embodiments of the invention contemplate that either one or both of the respective plasma generation assemblies may be implemented in the tandem etch chambers of the invention. More particularly, embodiments of the invention generally contemplate that the showerhead assembly may be omitted, while the torroidal plasma conduits may be implemented in order to generate a plasma in the respective processing regions. [0038]
  • In another embodiment of the invention, the tandem processing chambers illustrated in FIG. 2A or FIG. 6 may be implemented without the torroidal plasma conduits, as illustrated in FIG. 8. As such, the tandem processing chamber implemented without the torroidal plasma conduits may generally operate as a tandem MERIE chamber. In this configuration a plasma may be capacitatively generated through introduction of a processing gas via the showerhead and the application of an electrical bias between the showerhead and the substrate support member. The plasma may be stirred and/or controlled via the selective actuation of a plurality of electromagnets positioned around the respective processing regions. The shield member positioned between the adjacent tandem processing regions may operate to prevent cross over of magnetic fields intended for one processing region into the adjacent processing region. Therefore, in this tandem MERIE configuration, two substrates may be simultaneously processed in the tandem processing regions, thereby doubling the throughput provided by conventional MERIE chambers, while not sacrificing the control and uniformity provided by single MERIE chambers. Alternatively, however, as noted above, the chamber may also be configured to implement the torroidal plasma conduits and not the showerhead assembly. [0039]
  • FIG. 6 illustrates an alternative configuration of the processing system of the invention. More particularly, FIG. 6 illustrates an embodiment of the invention wherein the [0040] torroidal conduits 221 are configured to enter into the respective processing regions 202, 203 via the sidewall 205. Further still, the embodiment of the invention illustrated in FIG. 6 utilizes a central pumping aperture 230 centrally located within the bottom portion of the respective chambers. The central pumping aperture 230, which may be in fluid communication with a vacuum pump 235, generally operates to communicate a negative pressure to the respective chambers 200, 201. As such, inasmuch as the respective chambers are in fluid communication with each other as a result of the central wall not extending completely to the bottom portion of the respective chambers, a single pump in fluid communication with the respective chambers via aperture 230 may be utilized to maintain both of the respective chambers at a desired common processing pressure. As a result of this configuration, processing conditions in both chamber 200 and chamber 201 may be identical, and therefore, variations between substrate processes within the respective chambers may be minimized. It is to be noted, however, that both the sidewall entrance configuration for the torroidal conduits 221, as well as the central pumping configuration, may be implemented individually or in combination into each of the embodiments of the invention. Alternatively, the chambers 200, 201 may be separated/isolated from each other, i.e., aperture 230 may be eliminated, and therefore, the pressure in the respective chambers 200, 201 may be individually controlled. Aside from the above noted distinctions, the exemplary tandem processing chamber illustrated in FIG. 6 is similar to the tandem processing chamber illustrated in FIG. 2A, and therefore, the structural description of the chamber illustrated in FIG. 2A may be generally applied to FIG. 6 for the common elements. As such, the chamber illustrated in FIG. 6 again includes a system controller 650 configured to control the electromagnets, plasma generation in the torroidal conduits, gas flows into the chambers and conduits, pressures in the respective chambers, electrical biases applied to generate plasmas, and other parameters generally associated with a semiconductor processing system.
  • FIG. 9 illustrates a tandem etch processing chamber [0041] 900 having cantilever-type substrate support members 908 positioned therein. An exemplary cantilever mounted substrate support member that may be used in the present invention may be found in U.S. Pat. No. 6,001,267 entitled Plasma Enhanced Chemical Method, which is hereby incorporated by reference. Chamber 900, which is structurally similar to the tandem chamber illustrated in FIG. 6 (and therefore, the structural description of FIG. 6 may be applied to the description of FIG. 9 where applicable), generally replaces the centrally mounted stem-type substrate support members 208 with the cantilevered substrate support members 908. With the exception of the replacement of the substrate support members, the chamber configuration and features may be similar to the exemplary chamber illustrated in FIGS. 2 or 6. The cantilevered substrate support members 908 utilized in the present exemplary embodiment generally attach to the sidewall 905 of the respective chambers via one or more support arms extending radially outward from the substrate support member to a mounting plate on the outer wall 905. Inasmuch as the cantilevered substrate support members 908 do not utilize a bottom mounted stem portion to support the substrate platen, the bottom portion of the respective chambers is generally open. As such, the cantilevered substrate support members 908 allow for a central pumping configuration, which may, for example, include a shared central pumping aperture 930 in communication with a vacuum pump 935. The use of the cantilevered substrate support member, and in particular, the elimination of the stem portion of the conventional substrate support members, may provide for improved gas flow around the substrate support members 908. Additionally, the cantilevered substrate support members 908 allow for the individual processing chambers to both have central pumping apertures formed therein, i.e., each chamber may have a central pumping aperture formed therein immediately below each of the respective cantilevered substrate support members 908. In this configuration, each of the pumping apertures formed directly below the cantilevered substrate support members 908 may be in fluid communication with a common vacuum pump.
  • FIG. 10 illustrates an exemplary embodiment of a tandem [0042] etch processing chamber 1000 having rotatable magnet assemblies 1001 positioned above the lid of the chamber 1000. The rotatable magnet assembly is generally configured to generate a magnetic field in the respective processing regions of the tandem chambers positioned below. Generally, chamber 1000 is similar in construction to the exemplary tandem etch chamber illustrated in FIG. 2A, and therefore, the structural description of the chamber illustrated in FIG. 2A may generally be applicable. However, the electromagnets 218 illustrated in FIG. 2A are removed from the perimeter of the respective processing regions and replaced by the rotatable magnet assemblies 1001 of the present exemplary embodiment. Additionally, inasmuch as the rotatable magnet assemblies 1001 of the present exemplary embodiment are positioned above the respective chambers/processing regions 902 and not beside them, as with the electromagnets 218 illustrated in FIG. 2A, the shield member 919 may generally extend above the top portion of the respective chambers/processing regions 902 so that the magnetic fields generated by the respective rotatable magnet assemblies 1001 do not interfere with the magnetic fields in the adjacent processing region. Shield member 919, therefore, may be configured to absorb, cancel, or reflect the magnetic field lines passing therethrough so that the field lines do not interfere with adjacent chambers. In similar fashion to previous embodiments, the rotatable magnet assemblies 1001 are generally configured to generate rotating or movable magnetic fields in the processing regions of the chambers positioned below the rotating magnets. The rotating or movable magnetic fields may generally operate to stir and/or control a plasma generated in the processing region therebelow. The embodiment of FIG. 10 may also include a torroidal plasma source in communication with each of the respective processing regions.
  • In operation, embodiments of the invention generally provide a processing system configured to conduct etch processes on at least two semiconductor substrates simultaneously. More particularly, using the exemplary embodiment of the invention illustrated in FIG. 1 as an example, substrates to be processed may be placed into [0043] substrate processing system 100 via cassettes 109. Then substrates, generally two, may be transported into loadlock chamber 112 via robot 113, and loadlock chamber 112 may be sealed from the chamber containing cassettes 109, through, for example, a selectively actuated gate valve positioned between the respective chambers. Thereafter, the loadlock chamber 112 may be brought to a predetermined pressure and opened up to the substrate transfer chamber 104. Once the two chambers are in communication with each other, the two substrates in the loadlock chamber 112 may be simultaneously transported into the substrate transfer chamber 104 via substrate transfer robot 105, which generally includes a robot blade configured to simultaneously support two substrates. The two substrates are generally supported in a side-by-side configuration in the same horizontal plane by the robot blade. A pair of the gate valves 210 positioned between the transfer chamber 104 and the processing chamber 106 may be opened and the two substrates may be inserted into a processing chamber 106, wherein an etch process may be conducted thereon.
  • Once the robot blade is inserted into the [0044] processing chamber 106, the substrates may be simultaneously placed into the respective tandem chambers 200, 201. The receiving process for the respective tandem chambers 200, 201 generally includes, for example, lowering of the respective substrate support members 208 into a loading position, i.e., a position where the substrate support members 208 engage a lift pin assembly 212, and are generally positioned below a plane through which the robot blade may enter into the respective chambers via gate valve 210 and entrance aperture 209. Thus, the robot blade may deposit the substrates into the respective chambers 200, 201 by lowering the substrates onto the lift pin assemblies 208. Once the substrates are positioned on the lift pin assemblies 212, the robot blade may be retracted from the respective chambers 200, 201 and the gate valves 210 may be closed to seal the chambers 200, 201 from the transfer chamber 104.
  • Once the loading process is complete, the respective [0045] substrate support members 208 may be moved from a loading position to a substrate processing position. The transition from the loading position to the substrate processing position generally includes raising the substrate support member vertically within the respective chambers 200, 201, such that the distance from the upper surface of the substrate support member 208 to the lower surface of the showerhead assembly 216 is minimized. This movement of the substrate support member 208 also operates to define the respective processing regions 202, 203 within chambers 200, 201, as the upper surface of the substrate support member 208 defines the lower portion of the respective regions 202, 203. Additionally, the vertical movement of the respective substrate support members 208 generally causes the lift pin assemblies 212 to lower the substrates onto the upper surfaces of the respective substrate support members 208 as the substrate support members 208 disengage with the portion of lift pin assembly 212 positioned in the lower portion of the respective chambers. Additionally, the process of raising the substrate support members 208 to the upper position, generally referred to as a processing position, also operates to position the upper surface of the substrate support member on approximately the same plane as the electromagnets 218 positioned around the respective processing regions 202, 203. As such, the magnetic fields generated by the respective electromagnets 218 will generally be concentrated in the processing regions 202, 203 immediately above the substrate support members 208. Further, the process of bringing the respective substrate support members 208 into the processing position may further include bringing the respective chambers to a processing pressure, which generally includes evacuating ambient gases from the respective chambers via the aforementioned vacuum pump.
  • Once the respective substrates are loaded, moved into the processing position, and the pressure in the [0046] respective chambers 200, 201 is brought to a desired processing pressure, a plasma may be generated within both of the respective processing regions 202, 203. More particularly, a plasma may be generated via application of a bias between substrate support member 208 and the showerhead assembly 216, which then generates a plasma from a process gas introduced into the respective processing region, or a plasma may be generated within the torroidal conduits 221 and communicated to the respective processing regions 202, 203 via apertures 222 at the terminating ends of torroidal conduits 221. Additionally, if desired, both the showerhead and torroidal conduits may be cooperatively utilized to generate a plasma in the respective processing regions.
  • In order to generate a plasma within the respective [0047] torroidal conduits 221, a process gas must first be present therein. Therefore, process gases from the respective processing regions 202, 203 may be communicated into the respective torroidal conduits 221, or alternatively, process gases may be delivered directly into the respective torroidal conduits via a gas supply 223. Once the process gas is present within the respective torroidal conduits 221, a field may be applied thereto in order to ionize the process gas within the torroidal conduits 221 into a plasma. The field required to ionize the process gases may be generated by coils 224, which are in electrical communication with power supply 226, which may be an RF power supply, for example. The plasma generated within the torroidal conduits 221 generally circulates through the torroidal path that extends through the respective processing regions 202, 203 via apertures 222, and therefore forms a continuous plasma path and extends over the surface of the substrate.
  • Once the plasma is generated in the respective processing regions, the density of a plasma may be manipulated and/or controlled by the selective activation of the [0048] individual electromagnets 218. More particularly, when each of the individual electromagnets 218 are activated, the magnetic field generated by the respective electromagnet 218 intersects the processing region proximate thereto, as each of electromagnets 218 are positioned proximate the perimeter of a processing region. Therefore, each of the electromagnets 218 may be used to vary the magnetic field intensity exerted on a particular portion of the processing region positioned proximate thereto, which operates to confine or control the plasma generated or communicated to that particular portion of the processing region. For example, if a particular electromagnet 218 is supplied with an increased electrical power, the magnetic field generated therefrom, which intersects the processing region proximate thereto, will proportionally increase, and therefore, the magnetic field density in the processing region proximate the respective electromagnet 218 will correspondingly increase. As such, through cooperative control of the individual electromagnets 218, the present invention provides for control over the magnetic field in intensity through the entire processing region, which inherently provides for control over the plasma density over the entire processing region.
  • FIG. 7A illustrates a schematic representation of exemplary [0049] tandem processing chambers 200, 201 of the invention during processing, and more particularly, during the time period when system controller 250 is operating to generate and control a quasi-static, multi-directional magnetic field in each of the respective processing regions 202, 203. Referring primarily to FIGS. 2-5 and 7, opposing coil pairs 218 (coils positioned on opposite sides of the respective processing regions 202, 203) cooperatively operate to form mutually perpendicular magnetic field vectors By and Bx, respectively, which are generally parallel to the substrate support member and the surface of the substrate positioned thereon. In order to generate and control the mutually perpendicular magnetic field vectors, the magnitude and direction of the current supplied to each of the individual electromagnets may be controlled by system controller 250. The perpendicular field vectors By and Bx generated by the coil pairs may be defined by the following equations:
  • B x =B·cos(θ)
  • B y =B·sin(θ)
  • Therefore, given the desired or required values of the magnetic field B, (which is the resultant vector illustrated in FIG. 7), along with its angular orientation, (which is angle θ in FIG. 7), [0050] system controller 250 may independently solve the above noted equations to obtain associated magnetic field vectors By and Bx, which provide the desired strength of field and orientation. Thereafter, system controller 250 may selectively regulate the application of electric currents to the individual electromagnets, and in particular the electromagnet pairs, to provide the desired magnetic field in the respective processing chambers 200, 201. Additionally, the angular orientation and magnitude of the generated magnetic fields may be independently altered as quickly or as slowly as desired by changing the current supplied to the electromagnets. The time that the field is on at each angular position and the direction of angular stepping may be varied, as well as the field intensity, since these parameters are solely a function of changing the currents to the electromagnets and are readily controlled by the system controller 250.
  • Therefore, as a result of the field control features provided by [0051] system controller 250, the magnetic field in each of the processing regions 202, 203 may be moved or stirred around the respective processing region using selected orientation and time increments, as illustrated by arrows A and B in FIG. 7. If desired, the magnitude of the resultant field By may be changed as the process or reactor configuration requires, or a constant field strength may be used. In short, the electrical current-controlled system provides the versatility of a fast or slow moving, constant or varying strength magnetic field of constant or varied angular velocity. In addition, the orientation of the field need not be stepped or changed sequentially, but can be instantaneously switched from any given orientation (or field strength) to another. This versatility in independently controlling the direction and magnitude of the magnetic field is distinct from existing commercially useful rotating magnetic fields, which typically rotate at a fixed relatively high frequency such as the standard rate of 60 Hertz. In addition, the ability to “rotate” slowly, at a rate, for example, as low as 2 to 5 sec./revolution (12 to 30 cycles/min.) or slower avoids problems, such as the eddy current losses associated with the use of higher frequencies in metal chambers. Furthermore, embodiments of the invention contemplate that either DC or pulsed-type, RF for example, power supplies may be used in conjunction with the controller and electromagnets of the invention. In embodiments where opposing coil pairs are used, for example, the magnetic field may be rotated in 90-degree increments by successively and periodically connecting a DC power supply to a first coil pair with positive polarity, then to a second coil pair with positive polarity, then to the first coil pair with negative polarity, and then to the second coil pair with negative polarity. Alternatively, for example, the magnetic field may be continuously rotated via the use of low frequency (in the range of 0.1 to 10 Hz, for example) power supply having quadrature outputs connected to provide current to the first coil pair offset in phase by 90 degrees from the current provided to the second coil pair 32.
  • FIG. 7B illustrates exemplary magnetic field lines for an embodiment of the invention wherein four [0052] electromagnets 218A and 218B are positioned orthogonally around each of the processing regions and a shield member 219 is positioned between the electromagnets that share the common central wall. Shield member 219 and the common central wall are shown as a unitary member in FIG. 7B, however, the invention is not limited to this configuration, as the shield and wall members may be separate or unitary. In order to improve the spatial uniformity over the surface of a substrate being processed, adjacent sets of electromagnets orthogonally positioned may be configured to augment the strength of the magnetic field near the perimeter of the substrate closest to the intersection of the adjacent electromagnets (designated point Q in FIG. 7B) to reduce the rate at which the magnetic field strength declines from a point on the opposing side of the substrate (designated point P) to point Q. In this configuration, the total magnetic flux produced by one electromagnet pair (in this embodiment an electromagnet pair is defined as two electromagnets positioned adjacent each other, i.e., two electromagnets that both terminate at one end at the same corner) may be set to be sufficiently less than the total magnetic flux produced by the adjacent electromagnet pair so that the combined magnetic field from the two electromagnet pairs declines in strength from point P to point Q across the surface of the substrate. In other words, the use of adjacently positioned opposing electromagnet pairs may operate to reduce the rate of decline, but does not eliminate or reverse the decline, from point P to point Q in the magnetic field strength. The ratio R (where R>1) of the total magnetic flux produced by one electromagnet pair to the total magnetic flux produced by the other electromagnet pair may be adjusted to maximize the spatial uniformity of the ion flux over the surface of the substrate being processed. Shield member 219 operates to magnetically isolate the respective tandem chambers from each other, and therefore, the magnetic field generated by electromagnets for one processing region does not cross over into the adjacent processing region and interfere with the controllability of the field strength in that particular processing region.
  • FIG. 7C illustrates a plan view of the magnetic field lines generated by another embodiment of the invention. In this embodiment, the respective [0053] tandem processing chambers 200 and 201 share a common central electromagnet 218B. As such, electromagnet 218B is configured to generate a magnetic field that may be simultaneously used for processing in both tandem chamber 200 and tandem chamber 201. Therefore, the system controller in electrical communication with the respective electromagnets will generally be configured to adjust the magnitude and direction of the field generated by the central electromagnet 218B cooperatively with the remaining electromagnets positioned around the remaining three sides of the respective processing regions. For example, as illustrated in FIG. 7C, the magnetic field generated by the central electromagnet 218B and the lowermost electromagnets 218A is in a clockwise direction, and therefore, assuming that a contributory magnetic field effect is desired, the magnetic field cooperatively generated by electromagnets 218C and 218D may be in a counter-clockwise direction. This configuration may generate a uniformly dense plasma area in a particular area of each of the processing regions, and in the exemplary embodiment, the dense plasma area would be in the area denoted by an “X” in FIG. 7C, as the area proximate the “X” is where the respective field lines converge. Similarly, the field direction of electromagnet 218B may be switched to a counterclockwise direction, and therefore, the associated magnetic fields generated by the remaining electromagnets may also be switched in direction to maintain the contributory field effect.
  • Furthermore, given that the system controller in each of the above noted embodiments may selectively control the electrical current supplied to each of the individual electromagnets, the region of dense plasma generated by the electromagnets may be selectively moved or stirred within the respective processing regions. In short, the magnetic field control features of the present invention provides the versatility of a fast or slow moving, constant or varying strength magnetic field of constant or varied angular velocity within each of the respective tandem processing regions. In addition, the orientation of the field need not be stepped or changed sequentially, as it may be instantaneously switched from any given orientation (or field strength) to another, i.e., the plasma confining magnetic field may be switched from one quadrant in the processing region to another quadrant in the processing region, where the respective quadrants are not adjacent each other. Further still, inasmuch as embodiments of the present invention are not limited to any particular number of electromagnets or processing region/chamber shapes, the number of sectors or regions may be varied in accordance with the number of magnets and processing region chamber shape. Further, generally, a sector defined within the processing region may correspond to an area where the magnetic field generated therein is primarily controlled by a single one of a plurality of [0054] electromagnets 218 or pairs of electromagnets operating cooperatively.
  • FIGS. [0055] 11A-11D illustrate an exemplary plasma stirring process that may be implemented by embodiments of the invention through selective control of electromagnets positioned around processing regions. In the exemplary embodiment, a tandem magnetically enhanced etch chamber using a unitary central electro magnet (designated electromagnet 1) and six surrounding electromagnets (designated electromagnets 2-7) is used to simultaneously stir a plasma in tandem processing regions. In FIG. 11A, which may be a first step of a plasma stirring process, the magnetic field is configured to generate a dense plasma region in the left side tandem processing region near the upper left hand corner of the region, i.e., proximate the corners of magnets 2 and 6, while simultaneously generating a dense plasma in the right side tandem region near the lower left corner of the processing region, i.e., proximate the corners of magnets 1 and 5. In this configuration the magnetic field between magnet 1 and magnet 2 is set up to be in a clockwise direction, while the magnetic field between magnets 4 and 6 is set up to be in a counterclockwise direction, as shown by the arrows in FIG. 11A. Similarly, the magnetic field between magnets 3 and 1 is set up to be in a clockwise direction, while the magnetic field between magnets 7 and 5 is set up to be in a counterclockwise direction.
  • FIG. 11B illustrates an exemplary second step of a magnetic field stirring process, wherein a dense plasma region is generated in an upper right hand corner of the left hand side tandem processing region, while a dense plasma region is generated in a lower right hand corner of the right side tandem processing region. In this configuration, for the left side tandem processing chamber, the magnetic field between [0056] magnet 6 and magnet 2 is generally in a counter clockwise rotation, while the magnetic field between magnet 1 and magnet 4 is in a clockwise rotation. Similarly, for the right hand side tandem chamber, the magnetic field between electromagnets 3 and 7 is in a counterclockwise direction, while the magnetic field between electromagnets 1 and 3 is in a clockwise direction.
  • FIG. 11C illustrates an exemplary third step of a magnetic field stirring process, wherein a dense plasma region is generated in the lower right hand corner of the left side tandem processing region, while a dense region is generated in an upper right hand corner of the right side tandem processing region. In this configuration, for the left side tandem processing region, the magnetic field between [0057] electromagnet 2 and electromagnet 1 is in a counterclockwise direction, while the magnetic field between electromagnet 6 and electromagnet 4 is in a clockwise direction. For the right side tandem processing region, the magnetic field between electromagnet 1 and electromagnet 3 is generally in a counterclockwise direction, while the magnetic field between electromagnet 5 and electromagnet 7 is generally in a clockwise direction.
  • FIG. 11D illustrates an exemplary fourth step of a magnetic field stirring process, wherein a dense plasma region is generated in a lower left hand corner of the left side tandem processing region, while a dense plasma region is generated in an upper left hand corner of a right side tandem processing region. In this configuration, for the left side tandem processing region, the magnetic field between [0058] electromagnet 2 and electromagnet 6 is in a clockwise direction, while the magnetic field between electromagnet 1 and electromagnet 4 is in a counterclockwise direction. For the right side tandem processing region, the magnetic field between electromagnet 7 and electromagnet 3 is in a clockwise direction, while the magnetic field between electromagnet 5 and electromagnet 1 is in a counterclockwise direction. Therefore, through the sequential application of the magnetic fields illustrated in FIGS. 11A-11D, a dense plasma region in each of the respective processing regions may be simultaneously circulated through each of the respective processing regions. Additionally, although a circular circulation has been illustrated in the exemplary embodiment, the invention is not limited to this configuration. Rather, embodiments of the invention contemplate that various plasma circulation patterns may be implemented, including, for example, criss-cross patterns, z-shaped patterns, and box patterns.
  • Once the individual processing recipe step is completed, plasma generation may be terminated and the individual substrates may be removed from the [0059] respective processing chambers 200, 201. The unloading process generally includes lowering of the substrate support member 208 from the processing position to the substrate loading/unloading position. Once the substrate support member is in the loading/unloading position, valves 210 may be opened in order to allow a robot blade to access the respective processing chamber and remove the processed substrates therefrom. Once the substrates are removed, they may be transferred to another set of processing chambers so that another processing recipe step may be conducted thereon. Similarly, two additional substrates may be brought into the processing chambers where the two substrates were just removed therefrom so that a processing step may be conducted thereon. As such, the exemplary configurations of the present invention, which are generally illustrated in FIGS. 1, 2, and 6 allows for the simultaneous processing of two substrates in the tandem processing chambers.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0060]

Claims (32)

1. A tandem magnetically enhanced etching chamber, comprising:
a first tandem processing chamber defining a first processing region, comprising:
a first substrate support member positioned in a first chamber;
a first plasma generation device in communication with the first chamber; and
a plurality of first selectively actuated electromagnets positioned around the first chamber;
a second tandem processing chamber positioned adjacent the first tandem processing chamber, the second tandem processing chamber defining a second processing region that is partially isolated therefrom by a shared central wall, the second tandem processing chamber comprising:
a second substrate support member positioned in a second chamber;
a second plasma generation device in communication with the second chamber; and
a plurality of second selectively actuated electromagnets positioned around the second chamber;
a pumping apparatus cooperatively in fluid communication with the first and second chambers; and
a magnetic shield member positioned between the first tandem processing chamber and the second tandem processing chamber.
2. The tandem chamber of claim 1, further comprising a system controller in electrical communication with the plurality of first selectively actuated electromagnets and the plurality of second selectively actuated electromagnets, the system controller being configured to control the magnitude and duration of the magnetic field generated by each of the plurality of first selectively actuated electromagnets and the plurality of second selectively actuated electromagnets.
3. The tandem chamber of claim 1, wherein the first and second plurality of selectively actuated electromagnets each comprise four electromagnets equally positioned around the respective tandem processing chamber.
4. The tandem chamber of claim 1, wherein the shared central wall separates an upper portion of the respective first and second tandem processing chambers, while allowing a lower portion of the respective first and second tandem processing chamber to be in fluid communication with each other.
5. The tandem chamber of claim 1, wherein the first and second plasma generation devices comprise a torroidal plasma conduit assembly.
6. The tandem chamber of claim 5, wherein the torroidal plasma conduit assembly comprises:
at least one torroidal plasma conduit in fluid communication with opposing sides of a processing region;
at least one coil positioned proximate the at least one torroidal plasma conduit; and
a power supply in electrical communication with the at least one coil.
7. The tandem chamber of claim 6, wherein the at least one torroidal plasma conduit comprises a first and second torroidal plasma conduits, each of the first and second torroidal plasma conduits having terminating ends that are in communication with opposing sides of the processing region.
8. The tandem chamber of claim 6, wherein the power supply comprises an RF power supply and the at least one coil comprises an individual coil wound around each of the at least one torroidal plasma conduits.
9. The tandem chamber of claim 1, further comprising at least one power supply in electrical communication with the first and second substrate support members.
10. The tandem chamber of claim 1, further comprising a magnetic shield member positioned between the first and second tandem processing regions, the magnetic shield member being configured to magnetically isolate a first processing region in the first tandem processing chamber from a second processing region in the second tandem processing chamber.
11. An etch processing system, comprising:
a loadlock chamber;
a substrate transfer chamber selectively in communication with the loadlock chamber; and
at least one tandem etch processing chamber selectively in communication with the substrate transfer chamber, the tandem etch chamber comprising:
a first and second adjacently positioned processing chambers;
a plurality of electromagnets positioned around the first and second processing regions; and
at least one torroidal conduit in communication with each of the first and second adjacently positioned processing chambers,
wherein the first and second adjacently positioned processing chambers share a common wall that magnetically separates the respective processing chambers while allowing fluid communication therebetween.
12. The etch processing system of claim 11, wherein the first and second adjacently positioned processing chambers each comprise a selectively actuated substrate support member configured to move between a processing position and a loading position, wherein the loading position corresponds to a position in a lower portion of the respective chamber adjacent an aperture configured to communicate substrates into and out of the chamber, and wherein the processing position corresponds to a position in an upper portion of the respective chamber adjacent the plurality of electromagnets.
13. The etch processing system of claim 11, wherein the plurality of electromagnets are positioned around an upper portion of the first and second adjacently positioned processing chambers.
14. The etch processing system of claim 13, wherein a lower portion of the first and second adjacently positioned processing chambers includes a selectively actuated valve configured to communicate substrates therethrough into the lower portion of the first and second adjacently positioned processing chambers.
15. The etch processing system of claim 11, wherein the plurality of electromagnets comprise a plurality of individually controlled electromagnets, each of the plurality of individually controlled electromagnets being in electrical communication with a system controller configured to control the magnitude and duration of the magnetic field generated by each of the plurality of individually controlled electromagnets.
16. The etch processing system of claim 11, wherein the substrate transfer chamber includes a substrate handler positioned therein, the substrate handler being configured to transfer substrates two at a time between the at least one tandem etch processing chamber and the loadlock chamber.
17. The etch processing system of claim 11, wherein the at least one torroidal conduit comprises:
a first torroidal conduit having a first and second terminating ends, the first terminating end being in communication with a first aperture in communication with a processing region at a first location, the second terminating end being in communication with a second aperture in communication with the processing region at a second location, the second location being positioned opposite the first location;
a second torroidal conduit having a third and fourth terminating ends, the third terminating end being in communication with a third aperture in communication with a processing region at a third location, the fourth terminating end being in communication with a fourth aperture in communication with the processing region at a fourth location, the third location being positioned opposite the fourth location and equidistant between the first and second locations; and
a coil assembly positioned proximate the first and second conduits, the coil assembly being configured to generate a field in the first and second conduits sufficient to ignite a plasma therein.
18. The etch processing system of claim 17, wherein the coil assembly comprises a first coil wrapped around the first torroidal conduit and a second coil wrapped around the second torroidal conduit, the first and second coils being in electrical communication with at least one power supply.
19. The etch processing system of claim 11, wherein the at least one torroidal conduit includes a gas inlet configured to supply a process gas to the at least one torroidal conduit.
20. The etch processing system of claim 11, wherein the at least one torroidal conduit comprises at least 3 torroidal conduits in communication with each of the first and second adjacently positioned processing chambers, each of the at least 3 torroidal conduits having terminating ends in fluid communication with the processing chambers on opposing sides, the terminating ends being equally spaced radially around a perimeter of the processing chambers.
21. A tandem processing chamber, comprising:
a first processing chamber, comprising:
a first substrate support member configured to receive a substrate in a lower portion of the first processing chamber and communicate the substrate to an upper portion of the first processing chamber for processing;
a first plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the first processing chamber;
at least one first torroidal plasma conduit in fluid communication with the upper portion of the first processing chamber; and
at least one first coil positioned proximate the at least one first torroidal plasma conduit and being configured to generate a field within the at least one first torroidal plasma conduit;
a second processing chamber positioned adjacent the first processing chamber and sharing a common wall therewith, the second processing chamber comprising:
a second substrate support member configured to receive a substrate in a lower portion of the second processing chamber and communicate the substrate to an upper portion of the second processing chamber for processing;
a second plurality of electronically controlled electromagnets positioned around a perimeter of the upper portion of the second processing chamber;
at least one second torroidal plasma conduit in fluid communication with the upper portion of the second processing chamber; and
at least one second coil positioned proximate the at least one second torroidal plasma conduit and being configured to generate a field within the at least one second torroidal plasma conduit;
at least one power supply in electrical communication with the at least one first coil and the at least second first coil; and
a system controller in electrical communication with the power supply, the system controller being configured to regulate the electrical power delivered to the at least one first coil and the at least one second coil.
22. The tandem processing chamber of claim 21, wherein the common wall is configured to magnetically isolate a first processing region in the first processing chamber from a second processing region in the second processing chamber, while allowing fluid communication between the respective processing regions.
23. The tandem processing chamber of claim 21, wherein the system controller comprises a microprocessor-type controller configured to generate control signals for the tandem processing chamber in accordance with a semiconductor processing recipe.
24. The tandem processing chamber of claim 21, wherein the at least one first and second torroidal plasma conduits each comprise a pair of torroidal conduits in fluid communication at terminating ends with the respective processing regions.
25. The tandem processing chamber of claim 24, wherein the terminating ends are equally spaced radially around the perimeter of the upper portion of the first and second processing chambers.
26. The tandem processing chamber of claim 21, wherein the first and second plurality of electronically controlled electromagnets are configured to generate a time varying magnetic field in the first and second processing chambers in cooperation with the system controller.
27. The tandem processing chamber of claim 21, wherein the at least one power supply comprises an RF power supply.
28. The tandem processing chamber of claim 21, wherein the first and second plurality of electronically controlled electromagnets each comprise 4 arc shaped electromagnets configured to be positioned around a perimeter of a processing chamber.
29. The tandem processing chamber of claim 21, wherein first terminating ends of the at least one first torroidal plasma conduit are in communication with a first processing region through a sidewall portion of the tandem processing chamber, and wherein second terminating ends of the at least one second torroidal plasma conduit are in communication with a second processing region through a sidewall portion of the tandem processing chamber.
30. The tandem processing chamber of claim 21, wherein first terminating ends of the at least one first torroidal plasma conduit are in communication with a first processing region through a top portion of the tandem processing chamber, and wherein second terminating ends of the at least one second torroidal plasma conduit are in communication with a second processing region through a top portion of the tandem processing chamber.
31. The tandem processing chamber of claim 21, wherein the at least one first and second torroidal plasma conduits each include a process gas inlet configured to supply a process gas to an interior portion of the at least one first and second torroidal plasma conduits.
32. The tandem processing chamber of claim 21, further comprising a centrally located pumping aperture in communication with a vacuum pump, the centrally located pumping aperture being configured to simultaneously pump both the first and second processing chambers to an equal pressure.
US10/172,534 2002-06-13 2002-06-13 Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system Abandoned US20030230385A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/172,534 US20030230385A1 (en) 2002-06-13 2002-06-13 Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/172,534 US20030230385A1 (en) 2002-06-13 2002-06-13 Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system

Publications (1)

Publication Number Publication Date
US20030230385A1 true US20030230385A1 (en) 2003-12-18

Family

ID=29733086

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/172,534 Abandoned US20030230385A1 (en) 2002-06-13 2002-06-13 Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system

Country Status (1)

Country Link
US (1) US20030230385A1 (en)

Cited By (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070028840A1 (en) * 2005-08-05 2007-02-08 Qing Qian Plasma processing apparatus
US20070062449A1 (en) * 2004-07-30 2007-03-22 Applied Materials, Inc., A Delaware Corporation Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070108042A1 (en) * 2003-02-14 2007-05-17 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20070113980A1 (en) * 2003-02-14 2007-05-24 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US20120070590A1 (en) * 2010-09-16 2012-03-22 Industrial Technology Research Institute Plasma enhanced atomic layer deposition apparatus and the controlling method thereof
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US20130061878A1 (en) * 2008-07-31 2013-03-14 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20140011348A1 (en) * 2012-07-09 2014-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Alignment System and Method
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150243490A1 (en) * 2014-02-27 2015-08-27 Wonik Ips Co., Ltd. Substrate processing apparatus and substrate processing method
WO2016014442A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
CN106987826A (en) * 2017-05-22 2017-07-28 沈阳拓荆科技有限公司 A kind of bicavate oif plasma depositing coating method
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
WO2018067463A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US20190096703A1 (en) * 2017-05-31 2019-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Tool, and Method of Manufacturing
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
WO2019161109A1 (en) * 2017-02-15 2019-08-22 Yield Engineering Systems Plasma spreading apparatus and system, and method for spreading plasma in process ovens
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN110600357A (en) * 2019-11-14 2019-12-20 北京北方华创微电子装备有限公司 Method for processing by using plasma processing system and plasma processing system
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
CN111211033A (en) * 2020-03-24 2020-05-29 北京北方华创微电子装备有限公司 Semiconductor device with a plurality of semiconductor chips
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11166348B2 (en) * 2012-03-19 2021-11-02 Iii Holdings 1, Llc Tool for annealing of magnetic stacks
US11236433B2 (en) 2019-04-11 2022-02-01 Spts Technologies Limited Apparatus and method for processing a substrate
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US20220199440A1 (en) * 2020-12-17 2022-06-23 Samsung Electronics Co., Ltd. Apparatus for processing a substrate
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3291715A (en) * 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4492716A (en) * 1979-08-16 1985-01-08 Shunpei Yamazaki Method of making non-crystalline semiconductor layer
US4963242A (en) * 1988-05-23 1990-10-16 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5444207A (en) * 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
US5449977A (en) * 1992-04-17 1995-09-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for generating plasma of uniform flux density
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
US5639309A (en) * 1995-03-17 1997-06-17 Nec Corporation Plasma processing apparatus adjusted for a batch-processing of a plurality of wafers with plasma gases
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6048601A (en) * 1997-01-20 2000-04-11 Daido Steel Co., Ltd. Soft magnetic alloy powder for electromagnetic and magnetic shield, and shielding members containing the same
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6212928B1 (en) * 1998-12-18 2001-04-10 Pohang Iron & Steel Co., Ltd. Method for manufacturing thin cold rolled inner shield steel sheet with superior magnetic field shielding property
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6265723B1 (en) * 1997-12-22 2001-07-24 Nec Corporation Magnetic shield apparatus
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3291715A (en) * 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4492716A (en) * 1979-08-16 1985-01-08 Shunpei Yamazaki Method of making non-crystalline semiconductor layer
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4963242A (en) * 1988-05-23 1990-10-16 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5444207A (en) * 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
US5449977A (en) * 1992-04-17 1995-09-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for generating plasma of uniform flux density
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
US5639309A (en) * 1995-03-17 1997-06-17 Nec Corporation Plasma processing apparatus adjusted for a batch-processing of a plurality of wafers with plasma gases
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6048601A (en) * 1997-01-20 2000-04-11 Daido Steel Co., Ltd. Soft magnetic alloy powder for electromagnetic and magnetic shield, and shielding members containing the same
US5877090A (en) * 1997-06-03 1999-03-02 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2
US6265723B1 (en) * 1997-12-22 2001-07-24 Nec Corporation Magnetic shield apparatus
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6212928B1 (en) * 1998-12-18 2001-04-10 Pohang Iron & Steel Co., Ltd. Method for manufacturing thin cold rolled inner shield steel sheet with superior magnetic field shielding property
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system

Cited By (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070108042A1 (en) * 2003-02-14 2007-05-17 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20070113980A1 (en) * 2003-02-14 2007-05-24 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US8048328B2 (en) 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20070062449A1 (en) * 2004-07-30 2007-03-22 Applied Materials, Inc., A Delaware Corporation Enhanced magnetic shielding for plasma-based semiconductor processing tool
US7935186B2 (en) * 2005-08-05 2011-05-03 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma processing apparatus
US20070028840A1 (en) * 2005-08-05 2007-02-08 Qing Qian Plasma processing apparatus
US20110180000A1 (en) * 2005-08-05 2011-07-28 Qing Qian Plasma processing apparatus
US8414702B2 (en) 2005-08-05 2013-04-09 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma processing apparatus
WO2008077018A1 (en) * 2006-12-18 2008-06-26 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US20130061878A1 (en) * 2008-07-31 2013-03-14 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US20110126985A1 (en) * 2009-12-02 2011-06-02 Tokyo Electron Limited Substrate processing apparatus
US8845857B2 (en) * 2009-12-02 2014-09-30 Tokyo Electron Limited Substrate processing apparatus
US20120070590A1 (en) * 2010-09-16 2012-03-22 Industrial Technology Research Institute Plasma enhanced atomic layer deposition apparatus and the controlling method thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20120266819A1 (en) * 2011-04-25 2012-10-25 Applied Materials, Inc. Semiconductor substrate processing system
US11166348B2 (en) * 2012-03-19 2021-11-02 Iii Holdings 1, Llc Tool for annealing of magnetic stacks
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US20140011348A1 (en) * 2012-07-09 2014-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Alignment System and Method
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150243490A1 (en) * 2014-02-27 2015-08-27 Wonik Ips Co., Ltd. Substrate processing apparatus and substrate processing method
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
TWI657477B (en) * 2014-07-25 2019-04-21 美商應用材料股份有限公司 Methods and apparatus for adjusting or controlling process rate uniformity across a substrate within a plasma chamber
US20160027667A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
WO2016014442A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10354841B2 (en) * 2015-04-07 2019-07-16 Tokyo Electron Limited Plasma generation and control using a DC ring
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US11335577B2 (en) 2016-10-03 2022-05-17 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
WO2018067463A1 (en) * 2016-10-03 2018-04-12 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
CN109844171A (en) * 2016-10-03 2019-06-04 应用材料公司 Method and apparatus for preventing the interference between processing chamber housing
US10438828B2 (en) * 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2019161109A1 (en) * 2017-02-15 2019-08-22 Yield Engineering Systems Plasma spreading apparatus and system, and method for spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN106987826A (en) * 2017-05-22 2017-07-28 沈阳拓荆科技有限公司 A kind of bicavate oif plasma depositing coating method
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US20190096703A1 (en) * 2017-05-31 2019-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Tool, and Method of Manufacturing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11236433B2 (en) 2019-04-11 2022-02-01 Spts Technologies Limited Apparatus and method for processing a substrate
TWI791172B (en) * 2019-11-14 2023-02-01 大陸商北京北方華創微電子裝備有限公司 Method applied to a plasma processing system and plasma processing system
CN110600357A (en) * 2019-11-14 2019-12-20 北京北方华创微电子装备有限公司 Method for processing by using plasma processing system and plasma processing system
CN111211033A (en) * 2020-03-24 2020-05-29 北京北方华创微电子装备有限公司 Semiconductor device with a plurality of semiconductor chips
US20220199440A1 (en) * 2020-12-17 2022-06-23 Samsung Electronics Co., Ltd. Apparatus for processing a substrate
US11935772B2 (en) * 2020-12-17 2024-03-19 Samsung Electronics Co., Ltd. Apparatus for processing a substrate

Similar Documents

Publication Publication Date Title
US20030230385A1 (en) Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US5674321A (en) Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
JP4387299B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6471822B1 (en) Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
JP4236294B2 (en) Electromagnetically coupled RF plasma reactor with solenoid antenna on top
JP4769586B2 (en) Plasma reactor and method for improving the uniformity of plasma ion concentration distribution
JP4698222B2 (en) Capacitively coupled plasma reactor that distributes plasma uniformly in radial direction
KR100927275B1 (en) Electromagnetic coil arrays and assemblies thereof, plasma processing reactors comprising them, methods of operating and treating the reactors
EP1230666B1 (en) Plasma processing systems and method therefor
US7879186B2 (en) Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20040219737A1 (en) Method and apparatus for processing a workpiece with a plasma
KR100619112B1 (en) Plasma processing device
KR102015697B1 (en) Substrate processing apparatus
US20040168771A1 (en) Plasma reactor coil magnet
US7935393B2 (en) Method and system for improving sidewall coverage in a deposition system
WO2008077018A1 (en) Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7458335B1 (en) Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US11955315B2 (en) Workpiece processing apparatus with plasma and thermal processing systems
US20220189737A1 (en) Workpiece Processing Apparatus with Plasma and Thermal Processing Systems
KR20230032621A (en) Substrate processing apparatus and substrate processing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BACH, JOSEPH;PAN, SHAOHER X.;REEL/FRAME:013041/0180;SIGNING DATES FROM 20020604 TO 20020613

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION