US20040004239A1 - Three dimensional integrated circuits - Google Patents

Three dimensional integrated circuits Download PDF

Info

Publication number
US20040004239A1
US20040004239A1 US10/267,483 US26748302A US2004004239A1 US 20040004239 A1 US20040004239 A1 US 20040004239A1 US 26748302 A US26748302 A US 26748302A US 2004004239 A1 US2004004239 A1 US 2004004239A1
Authority
US
United States
Prior art keywords
module
logic
blocks
module layer
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/267,483
Inventor
Raminda Madurawe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Liberty Patents LLC
Callahan Cellular LLC
Original Assignee
Madurawe Raminda U.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Madurawe Raminda U. filed Critical Madurawe Raminda U.
Priority to US10/267,483 priority Critical patent/US20040004239A1/en
Publication of US20040004239A1 publication Critical patent/US20040004239A1/en
Priority to US10/846,699 priority patent/US7112994B2/en
Priority to US10/872,594 priority patent/US7064579B2/en
Priority to US10/937,828 priority patent/US7777319B2/en
Priority to US10/988,396 priority patent/US7268580B2/en
Priority to US11/357,145 priority patent/US7285981B2/en
Priority to US11/363,304 priority patent/US8429585B2/en
Priority to US11/365,031 priority patent/US7285982B2/en
Priority to US11/400,122 priority patent/US7345505B2/en
Priority to US11/801,739 priority patent/US7362133B2/en
Assigned to VICICIV TECHNOLOGY, INC. reassignment VICICIV TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MADURAWE, RAMINDA U
Priority to US11/985,822 priority patent/US7446563B2/en
Priority to US12/045,635 priority patent/US7463059B2/en
Priority to US12/105,259 priority patent/US7538575B2/en
Priority to US12/254,629 priority patent/US7656192B2/en
Priority to US12/834,077 priority patent/US8829664B2/en
Assigned to YAKIMISHU CO. LTD., LLC reassignment YAKIMISHU CO. LTD., LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TIER LOGIC, INC.
Assigned to TIER LOGIC, INC. reassignment TIER LOGIC, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: VICICIV TECHNOLOGY, INC.
Priority to US13/609,108 priority patent/US8856699B2/en
Priority to US14/147,881 priority patent/US9070668B2/en
Priority to US14/458,939 priority patent/US9240790B2/en
Priority to US14/715,375 priority patent/US9679914B2/en
Priority to US14/949,679 priority patent/US9912336B2/en
Priority to US15/407,242 priority patent/US10339245B2/en
Priority to US15/893,537 priority patent/US10447272B2/en
Assigned to LIBERTY PATENTS LLC reassignment LIBERTY PATENTS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES ASSETS 154 LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • the present invention relates to multi-dimensional integrated circuits.
  • IC integrated circuit
  • ASIC application specific integrated circuit
  • Gate Array Another type of semi custom device called a Gate Array customizes modular blocks at a reduced NRE cost by synthesizing the design using a software model similar to the ASIC. The missing silicon level design verification results in multiple spins and lengthy design iterations.
  • FPGAs offer the advantages of low non-recurring engineering costs, fast turnaround (designs can be placed and routed on an FPGA in typically a few minutes), and low risk since designs can be easily amended late en in the product design cycle. It is only for high volume production runs that there is a cost benefit in using the more traditional approaches. However, the conversion from an FPGA implementation to an ASIC implementation typically requires a complete redesign. Such redesign is undesirable in that the FPGA design effort is wasted.
  • an ASIC Compared to PLD and FPGA, an ASIC has hard-wired logic connections, identified during the chip design phase, and need no configuration memory cells. This is a large chip area and cost saving for the ASIC. Smaller ASIC die sizes lead to better performance. A full custom ASIC also has customized logic functions which take less gate counts compared to PLD and FPGA configurations of the same functions. Thus, an ASIC is significantly smaller, faster, cheaper and more reliable than an equivalent gate-count PLD or FPGA. The trade-off is between time-to-market (PLD and FPGA advantage) versus low cost and better reliability (ASIC advantage).
  • a three-dimensional semiconductor device includes a first module layer having a plurality of circuit blocks; and a second module layer positioned substantially above the first module layer, including a plurality of configuration circuits to control a portion of the circuit blocks.
  • the configuration circuits can be memory elements.
  • Each memory element can be a transistor or a diode or a group of electronic devices.
  • the memory elements can be thin film devices such as thin film transistors (TFT) or diodes.
  • TFT thin film transistors
  • the memory element can be selected from the group consisting of volatile or non volatile memory elements.
  • the memory element can also be selected from the group of fuses, antifuses, SRAM cells, DRAM cells, metal optional links, EPROMs, EEPROMs, flash, and ferro-electric elements.
  • One or more redundant memory cells can be provided for controlling the same circuit block.
  • a third module layer can be formed substantially above the first and second module layer, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers.
  • the third module layer can be formed substantially below the first and second module layer.
  • third and fourth module layers, wherein interconnect and routing is signals are formed can be positioned above and below the second module layer respectively.
  • the circuit block can contain a programmable logic block which responds to input data signals and develops corresponding complete or partial output logic signals, and registers to store the logic signals and either outputting them to output terminals or returning them as inputs to additional programmable logic blocks.
  • the programmable logic blocks can contain pass gate logic, multiplexer logic, truth table logic, or AND/OR logic blocks.
  • Implementations of the above aspect may further include one or more of the following.
  • the memory can be implemented using a TFT process technology that contains one or more of replaceable Fuses, Anti-fuses and SRAM elements.
  • the process implementation is possible with any process technology where EPROM, EEPROM, Flash, Ferro-Electric or any other programmable element is vertically integrated.
  • a multi-dimensional semiconductor device in a second aspect, includes a first module layer having a plurality of circuit blocks formed on a first plane; and a second module layer formed on a second plane, including a plurality of configuration circuits formed to control a portion of the circuit blocks.
  • a system in a third aspect, includes a processor; data storage devices coupled to the processor; and a three-dimensional semiconductor device coupled to the processor, the 3D semiconductor device having a first module layer having a plurality of circuit blocks formed on a first plane and a second module layer formed on a second plane, including a plurality of configuration circuits formed to control a portion of the circuit blocks.
  • a multi-dimensional semiconductor device includes a plurality of circuit blocks formed on a substrate; and a plurality of configuration circuits formed substantially above the substrate to control at least one circuit block.
  • the configuration circuit includes a predetermined conductive pattern to control the circuit blocks.
  • the configuration circuits can be memory elements with one device selected from the following: diode, transistor, thin film device, thin film resistor, thin film capacitor, thin film transistor (TFT).
  • the memory element can be selected from the group consisting of volatile or non volatile memory elements.
  • the memory element can also be selected from a group of fuse links, antifuse capacitors, SRAM cells, DRAM cells, metal optional links, EPROM cells, EEPROM cells, flash cells, and ferro-electric elements.
  • Implementations of the above aspects may include one or more of the following.
  • the IC product is re-programmable in its initial stage with turnkey conversion to an ASIC.
  • the IC has the end ASIC cost structure and FPGA re-programmability.
  • the IC product offering occurs in two phases: the first stage is a generic FPGA that has re-programmability containing a programmable module, and the second stage is an ASIC with the entire programmable module replaced by 1 to 2 customized hard-wire masks.
  • a series product families can be provided with a modularized programmable element in an FPGA version followed by a turnkey custom ASIC with the same base die with 1-2 custom masks.
  • the vertically integrated programmable module does not consume valuable silicon real estate of a base die.
  • the design and layout of these product families adhere to removable module concept: ensuring the functionality and timing of the product in its FPGA and ASIC canonicals.
  • These IC products can replace existing PLD and FPGA products and compete with existing Gate Arrays and ASIC's in cost and performance.
  • Advantages of the IC may include one or more of the following.
  • An easy turnkey customization of an ASIC from an original smaller PLD or FPGA would greatly enhance time to market, performance, low cost and better reliability.
  • FIG. 1 shows a cross sectional view of a first embodiment of an integrated circuit.
  • FIG. 2 shows a cross sectional view of a second embodiment of an integrated circuit.
  • FIG. 3 shows a cross sectional view of a third embodiment of an integrated circuit.
  • FIG. 4 shows a cross sectional view of a fourth embodiment of an integrated circuit.
  • FIG. 5 shows an exemplary AND-OR PLD Architecture.
  • FIG. 6 shows an exemplary AND-OR array gate realization of PLD.
  • FIG. 7 shows one EEPROM implementation of a P-Term logic array.
  • FIG. 8 shows P-term configuration for SRAM/hard-wired PLD architecture.
  • FIG. 9 shows an exemplary pass-gate logic.
  • FIG. 10 shows an exemplary 4-Input logic MUX.
  • FIG. 11 shows an exemplary 2-Input Truth Table.
  • FIG. 12 shows a logic tree implementation of a 4-Input Truth Table.
  • FIG. 13 shows an exemplary 6T SRAM.
  • FIG. 14 shows pass gate transistor logic controlled by SRAM.
  • FIG. 15 shows one embodiment of a 5 ⁇ 6 switch matrix.
  • FIG. 16 shows pass gate controlled by Vcc (power) or Vss (ground)
  • FIG. 17 shows the 5 ⁇ 6 switch matrix
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, SOI material as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense.
  • module layer includes a structure that is fabricated using a series of predetermined process steps.
  • the boundary of the structure is defined by a first step, one or more intermediate steps, and a final step.
  • the resulting structure is formed on a substrate.
  • the term configuration circuit includes one or more configurable elements and connections that can be programmed for controlling one or more circuit blocks in accordance with a predetermined user-desired functionality.
  • the configuration circuits include a plurality of memory circuits to store instructions to configure an FPGA.
  • the configuration circuits include a first selectable configuration where a plurality of memory circuits is formed to store instructions to control one or more circuit blocks.
  • the configuration circuits include a second selectable configuration with a predetermined conductive pattern formed in lieu of the memory circuit to control substantially the same circuit blocks.
  • the memory circuit includes elements such as diode, transistor, resistor, capacitor, metal link, among others.
  • the memory circuit also includes thin film elements.
  • the configuration circuits include a predetermined conductive pattern, via, resistor, capacitor or other suitable circuits formed in lieu of the memory circuit to control substantially the same circuit blocks.
  • horizontal as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate.
  • vertical refers to a direction perpendicular to the horizontal direction as defined above. Prepositions, such as “on”, “side”, “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate.
  • FIG. 1 shows a cross sectional view of a first embodiment of an integrated circuit that can be selectably fabricated as either an FPGA or an ASIC.
  • a three-dimensional semiconductor device 100 is shown.
  • the device 100 includes a first module layer 102 having a plurality of circuit blocks 104 embedded therein.
  • the device 100 also includes a second module layer 106 formed substantially above the first module layer 102 .
  • One or more configuration circuits 108 are formed to store instructions to control a portion of the circuit blocks 104 .
  • wiring/routing circuits 112 are formed on a third layer 110 above the second layer 106 . Circuits 112 connect to both circuits 104 and 108 to complete the functionality of the PLD.
  • FIG. 2 shows a cross sectional view of a second embodiment of an integrated circuit that can be selectably fabricated as either an FPGA or an ASIC.
  • a three-dimensional semiconductor device 120 is shown.
  • the device 120 includes a first module layer 122 having a plurality of circuit blocks 124 embedded therein.
  • the device 120 also includes a second module layer 126 formed substantially above the first module layer 122 that includes wiring and/or routing circuitry 128 , and a third module layer 130 formed substantially above the second module layer 126 that includes configuration circuits 132 .
  • the wiring/routing circuitry 128 is electrically connected to the circuit blocks 124 and to configuration circuits 132 in the third module layer 130 .
  • the configuration circuits 132 store instructions to control a portion of the circuit blocks 124 .
  • FIG. 3 shows a third embodiment which is substantially similar to the embodiment of FIG. 2.
  • a fourth layer 140 having wiring/routing circuitry 142 is position above the third layer 130 .
  • the wiring/routing circuitry 142 is electrically connected to one of the following: one or more circuit blocks 124 , one or more wiring/routing circuitry 128 , and one or more configuration circuits 132 .
  • FIG. 4 shows one implementation where the configuration memory element is SRAM.
  • silicon transistors 150 are deposited on a substrate.
  • a module layer of removable SRAM memory cells 152 are positioned above the silicon transistors 150 , and a module layer of interconnect wiring or routing circuit 154 is formed above the removable memory cells 152 .
  • the design adheres to a hierarchical layout structure.
  • the SRAM cell module is sandwiched between the single crystal device layers below and the metal layers above electrically connecting to both. It also provides through connections “A” for the lower device layers to upper metal layers.
  • the SRAM module contains no switching electrical signal routing inside the module. All such routing is in the layers above and below. Most of the programmable element configuration signals run inside the module.
  • SRAM module “C” Upper layer connections to SRAM module “C” are minimized to Power, Ground and high drive data wires.
  • Connections “B” between SRAM module and single crystal module only contain logic level signals and replaced later by Vcc and Vss wires.
  • Most of the replaceable programmable elements and its configuration wiring is in the “replaceable module” while all the devices and wiring for the end ASIC is outside the “replaceable module”.
  • the replaceable module could exist between two metal layers or as the top most layer satisfying the same device and routing constraints.
  • Fabrication of the IC also follows a modularized device formation. Formation of transistors 150 and routing 154 is by utilizing a standard logic process flow used in the ASIC fabrication. Extra processing steps used for memory element 152 formation are inserted into the logic flow after circuit layer 150 is constructed. A full disclosure of the vertical integration of the TFT module using extra masks and extra processing is in the co-pending incorporated by reference applications discussed above.
  • the third module layer is formed substantially above the first and second module layers, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers.
  • the third module layer can be formed substantially below the first and second module layer with interconnect and routing signals formed to connect the circuit blocks within the first and second module layers.
  • the third and fourth module layers positioned above and below the second module layer respectively, wherein the third and fourth module layers provide interconnect and routing signals to connect the circuit blocks within the first and second module layers.
  • a first module layer is fabricated having a plurality of circuit blocks formed on a first plane.
  • the programmable multi-dimensional semiconductor device also includes a second module layer formed on a second plane.
  • a plurality of configuration circuits is then formed to store instructions to control a portion of the circuit blocks.
  • circuit blocks 104 the configuration circuit 108 , and the wiring and/or routing circuit 112 in FIG. 1 are detailed.
  • circuit blocks 104 A variety of digital or analog circuits can be used in circuit blocks 104 . These circuit blocks include programmable logic blocks to allow user customization of logic. In one embodiment, programmable logic blocks are provided to respond to input data signals. The programmable logic blocks develop corresponding complete or partial output logic signals. Registers are used to store the output logic signals and either outputting them to output terminals or returning them as inputs to additional programmable logic blocks. The registers themselves can be programmable, allowing those to be configured such as T flip-flops, JK flip-flops, or any other register. The logic blocks may contain no registers, or the registers may be programmed to be by-passed to facilitate combinational logic implementation.
  • the programmable logic block can be selected from one of a pass gate logic, a multiplexer logic, a truth table logic, or an AND/OR logic.
  • FIG. 5 shows an exemplary AND-OR PLD Architecture.
  • AND and OR arrays 202 and 204 contain user configurable programmable elements.
  • FIG. 6 shows an exemplary AND-OR array gate realization of a three input, four P-term, four output PLD.
  • the AND and OR array 210 - 212 are shown programmed to a specific pattern.
  • the circuit block 104 contains a RAM/ROM logic block consisting of “logic element tree” or “P-Term logic array” blocks that perform logic functions.
  • FIG. 7 shows one such NAND EEPROM implementation of a P-Term in NAND-NOR logic array
  • FIG. 8 shows the same P-term configuration for either SRAM, or hard-wired PLD architectures.
  • FIG. 7 shows two mirrored outputs P1 and P2.
  • an AND gate 232 receives signals from pass transistors 222 , 224 , 228 and 230 .
  • the pass transistor 222 is controlled by block 220 shown in the dashed circle, while the pass transistor 228 is controlled by block 226 shown inside the dashed circle.
  • the upper half of FIG. 8 includes an AND gate 252 that receives inputs from pass transistors 242 , 244 , 248 and 250 , respectively.
  • FIG. 9 shows an exemplary pass-gate logic 260 connecting one input to one output.
  • the NMOS pass gate voltage level SO determines an ON and OFF connection.
  • O I0*S0+I1*S1+I2*S2+I3*S3.
  • S0 270, S1 272, S2 274, S3 276 has a logic one.
  • the MUX is constructed by combining four NMOS pass gate logic elements 280 - 286 shown in FIG. 9.
  • FIG. 11 shows an exemplary 2-input truth table logic realization of an output function F
  • F /A*/B*S0+/A*B*S1+A*/B*S2+A*B*S3 (/A means not A).
  • the truth table logic states are represented by S0, S1, S2 and S3. The realization is done through six inverters collectively designated 250 and eight pass transistors collectively designated 260 . Logic states are stored in 4 programmable registers.
  • FIG. 12 shows a logic tree constructed with five 2-input truth table logic blocks 320 - 328 to perform a full four input truth table.
  • a four input truth table has 16 possible logic states S0, S1, . . . , S15. As the number of inputs grows to N, this logic tree construction requires 2 N logic states, and 2 (N ⁇ 1) branches in the logic tree. For large N values, a full truth table realization is less efficient compared to a partial product term AND-OR array realization.
  • the programmable logic block can be a programmable microprocessor block.
  • the microprocessor can be selected from third party IP cores such as: 8051, Z80, 68000, MIPS, ARM, and PowerPC. These microprocessor architectures include superscalar, Fine Grain Multi-Threading (FGMT) and Simultaneous Multi-Threading (SMT) that support Application Specific Packet Processing (ASPP) routines.
  • FGMT Fine Grain Multi-Threading
  • SMT Simultaneous Multi-Threading
  • APN Application Specific Packet Processing
  • PNI Programmable Network Interface
  • the processor can contain hardware and software configurability. Hardware upgradeability can be greatly enhanced in microprocessors embedded in PLD's by making use of the available logic content of the PLD device.
  • Programmable features can include varying processor speed, cache memory system and processor configuration, enhancing the degree of Instruction Level Parallelism (ILP), enhancing Thread level parallelism (TLP). Such enhancements allow the user to optimize the core processor to their specific application. Cache parameters such as access latency, memory bandwidth, interleaving and partitioning are also programmable to further optimize processor performance and minimize cache hit miss rates.
  • the processor block can be a Very Long Instruction Word (VLIW) processor to handle multimedia applications.
  • VLIW Very Long Instruction Word
  • the processor block can include a cache controller to implement a large capacity cache as compared with an internal cache.
  • the programmable logic block can also contain a digital signal processor (DSP), which is a special purpose processor designed to optimize performance for very high speed digital signal processing encountered in wireless and fiber-optic networks.
  • DSP digital signal processor
  • the DSP applications can include programmable content for cache partitioning, digital filters, image processing and speech recognition blocks. These real-time DSP applications contain high interrupt rates and intensive numeric computations best handled by hardware blocks. In addition, the applications tend to be intensive in memory access operations, which may require the input and output of large quantities of data.
  • the DSP cache memory may be configured to have a “Harvard” architecture with separate, independent program and data memories so that the two memories may be accessed simultaneously.
  • This architecture permits an instruction and an operand to be fetched from memory in a single clock cycle.
  • a modified Harvard architecture utilizes the program memory for storing both instructions and operands to achieve full memory utilization.
  • the program and data memories are often interconnected with the core processor by separate program and data buses.
  • conflicts may arise in fetching data with the next instruction. Such conflicts have been resolved in prior art for DSP's by providing an instruction cache to store conflicting instructions for subsequent program execution.
  • programmable logic block can contain software programmability. These software functions are executed in DSP, ARM, or MIPS type inserted IP cores, or an external host CPU. Accelerators connected by a configurable SRAM switching matrix enhance the computation power of the processors.
  • the microprocessor has local permanent SRAM memory to swap, read, and write data.
  • the switch matrix is pre-designed to offer both hard-wire and programmable options in the final ASIC.
  • the circuit block 104 can be a functional block that performs well-defined, commonly-needed function, such as special D/A or A/D converter, standard bus interface, or such block that implements special algorithms such as MPEG decode.
  • the special algorithms implemented can be hardware versions of software. For example, algorithms relating to digital radio or cellular telephone such as WCDMA signal processing can be implemented by the functional block.
  • Other functional blocks include PCI, mini-PCI, USB, UART blocks that can be configured by specifying the SRAM logic blocks.
  • the circuit block 104 can be memory such as a register file, cache memory, static memory, or dynamic memory.
  • a register file is an array of latches that operate at high speed. This register length counter may be programmable by the user.
  • a cache memory has a high access throughput, short access latency and a smaller capacity as compared with main memory.
  • the cache memory may be programmable to partition between the different requirements of the system design. One such need is the division between L1 and L2 cache requirements for networking applications.
  • the memory can also be static random access memory or (SRAM) device with an array of single port, or multi-port addressable memory cells. Each cell includes a four transistor flip-flop and access transistors that are coupled to input/output nodes of the flip-flop.
  • SRAM static random access memory
  • Data is written to the memory cell by applying a high or low logic level to one of the input/output nodes of the flip-flop through one of the access transistors. When the logic level is removed from the access transistor, the flip-flop retains this logic level at the input/output node. Data is read out from the flip-flop by turning on the access transistor.
  • the memory can also be dynamic random access memory (DRAM).
  • DRAM dynamic random access memory
  • a DRAM cell consists of one transistor and a capacitor. A word line turns on/off the transistor at the time of reading/writing data stored in the capacitor, and the bit line is a data input/output path. DRAM data is destroyed during read, and refresh circuitry is used to continually refresh the data. Due to the low component count per bit, a high density memory device is achieved.
  • the circuit block 104 can be an intellectual property (“IP”) core which is reusable for licensing from other companies or which is taken from the same/previous design.
  • IP intellectual property
  • core-based design individual cores may be developed and verified independently as stand-alone modules, particularly when IP core is licensed from external design source.
  • IP blocks connect via a programmable switching matrix to each other and other programmable logic.
  • the hardware logic block insertion to any position in a logic sequence is done through the configurable logic matrix.
  • These hardware logic blocks offer a significant gate count reduction on high gate count frequently used logic functions, and the user does not require generic “logic element” customization. In both cases, the user saves simulation time, minimize logic gate count, improve performance, reduce power consumption and reduce product cost with pre-defined IP blocks.
  • the switch matrix is replaced by hardwires in the final ASIC.
  • the circuit blocks 104 can also be an array of programmable analog blocks.
  • the analog blocks include programmable PLL, DLL, ADC and DAC.
  • each block contains an operational amplifier, multiple programmable capacitors, and switching arrangements for connecting the capacitors in such as a way as to perform the desired function.
  • Switched capacitor filters can also be used to achieve an accurate filter specification through a ratio of capacitors and an accurate control of the frequency of a sampling clock.
  • Multiple PLL's can be programmed to run at different frequencies on the same chip to facilitate SoC applications requiring more than one clock frequency.
  • the circuit blocks 104 also contain data fetch and data write circuitry required to configure the configuration circuits 108 . This operation may be executed by a host CPU residing in the system, or the PLD device itself. During power up, these circuits initialize and read the configuration data from an outside source, either in serial mode or in parallel mode. The data is stored in a predefined word length locally and written to the configurability allocation. The programmed configuration data is verified against the locally stored data and a programming error flag is generated if there is a mismatch. These circuits are redundant in the conversion of the PLD to an ASIC. However, these circuits are used in both FPGA and ASIC for test purposes, and has no cost penalty. A pin-out option has a “disable” feature to disconnect them for the customer use in the FPGA and ASIC.
  • Configuration circuits 108 provide active circuit control over digital circuits 104 .
  • One embodiment of the configuration circuit includes an array of memory elements. The user configuration of this memory amounts to a specific bitmap of the programmable memory in a software representation.
  • Suitable memory elements include volatile or non volatile memory elements.
  • NVM non-volatile memory
  • configurable data is held in one of metal link fuse, anti-fuse, EPROM, Flash, EEPROM memory element, or ferro-electric elements. The first two are one time programmable (OTP), while the last four can be programmed multiple times.
  • OTP one time programmable
  • Flash & EEPROM's lend to in-system programmability (ISP).
  • the configurable data storage can be SRAM cells or DRAM cells. With DRAM cells, the data requires constant refresh to prevent losses from leakages. Additionally, one or more redundant memory cells controlling the same circuit block can be used to enhance device yield.
  • the components of the memory element array can be a resistor, capacitor, transistor or a diode.
  • a memory element can be formed using thin film deposition.
  • the memory element can be a thin film resistor, thin film capacitor, thin film transistor (TFT) or a thin film diode or a group of thin film devices connected to form an SRAM cell.
  • An exemplary 6T SRAM cell shown in FIG. 13, needs no high voltage capability, nor added process complexity.
  • the cell of FIG. 13 has two back-to-back inverters 350 - 352 whose access is controlled by pass transistors 354 - 356 .
  • R-load & Thin Film Transistor (TFT) load PMOS based SRAM cells can be used for PLDs and FPGAs.
  • TFT Thin Film Transistor
  • these SRAM cells are embedded in truth table logic (also called Look-Up-Table) based architectures.
  • Pass gate transistor 360 logic controlled by SRAM is shown in FIG. 14.
  • the memory cell (such as the cell of FIG. 13) drives the pass transistor 360 to e-affect an outcome.
  • a 5 ⁇ 6-switch point matrix 370 controlled by 30-SRAM cells coupled to 30-NMOS pass gates is shown in FIG. 15.
  • FIG. 16 shows the NMOS pass gate 360 logic controlled by the SRAM in FIG. 14 converted to hard-wire logic.
  • a contact 362 connected to Vcc (logic 1) or Vss (logic 0) depending on the SRAM logic content, replace the SRAM cell.
  • the SRAM logic mapping to hard wire connections are automatic and done by a software program that is verifiable against the bit-map.
  • FIG. 17 shows the 5 ⁇ 6-switch point matrix 370 hard-wired by replacing the SRAM bits that control NMOS gates with hard-wires to Vcc or Vss.
  • the bubble may represent either SRAM or hard-wire Vcc or Vss control on NMOS pass gates.
  • contact or no contact between the two metal lines in FIG. 15 directly replaces the programmable element and there is no NMOS pass-gate needed.
  • the P-Term logic builds the core of PLD's and complex PLD's (CPLD's) that use AND-OR blocks 202 - 204 (or equivalent NAND-NOR type logic functions) as shown in the block diagram of FIG. 5 and one expansion is shown in FIG. 6 with and gates 210 and or gates 212 .
  • Gate implementation of two inputs ( 11 , 12 ) and two P-terms (P1, P2) NAND function can be single poly EEPROM bits as shown in FIG. 10.
  • the dotted circle contains the charge trapping floating gate, the programming select transistor, tunneling diode, a control gate capacitor and programming access nodes.
  • the SRAM cell replaces that entire circle in this invention as detailed next.
  • the SRAM NAND-NOR array (also AND-OR array) replacement has not been realized in prior art as SRAM cells require Nwell & Pwell regions that consume large silicon area to prevent latch-up.
  • the SRAM in TFT do not have well related constraints as NMOS and PMOS bodies are isolated from each other. Keeping the two pass gates in silicon layers and moving SRAM to TFT layers allow P-Term logic implementation with SRAM cells and subsequent replacement with hard-wires. In TFT SRAM conversion to final ASIC, the bubble on NMOS gate becomes a hard-wire connection to Vcc or Vss.
  • the length of input and output wires, and the drive on NMOS pass gates and logic gate delays determine the overall PLD delay timing, independent of the SRAM cell parameters.
  • the chip X,Y dimensions are reduced over 20% to 50% compared to traditional SRAM FPGA's, providing a faster logic evaluation time.
  • removal of SRAM cell later does not alter lateral wire length, wire loading and NMOS pass gate characteristic.
  • the vertical dimension change in eliminating the memory module is negligible compared to the lateral dimension of the ASIC, and has no impact on timing. This allows maintaining identical timing between the FPGA and ASIC implementations with and without the SRAM cells.
  • the final ASIC with smaller die size and no SRAM elements have superior reliability, similar to an ASIC, leading to lower board level burn-in and field failures compared to PLD's and FPGA's in use today.
  • the wiring and/or routing circuit 112 connects each logic block to each other logic block.
  • the wiring/routing circuit allows a high degree of routing flexibility per silicon area consumed and uniformly fast propagation of signals, including high-fanout signals, throughout the device.
  • the wiring module may contain one or many levels of metal interconnects.
  • FIG. 15 One embodiment of a switch matrix is a 6 ⁇ 5 programmable switch-matrix with 30 SRAM bits (or 30 Anti-fuses, or 30 fuses), shown in FIG. 15.
  • the box in FIG. 14 contains the SRAM cell shown inside dotted box of FIG. 14, where the pass gate makes the connection between the two wires, and the SRAM bit holds the configuration data.
  • the wire connection in circuit 112 occurs via a pass transistor located in circuit 104 controlled by an SRAM cell in circuit 108 .
  • a permanent non-volatile memory block located in the system loads the correct configuration data into SRAM cells.
  • the box simply represents the programmable element in circuit 108 between the two wires in circuit 112 . During the ASIC conversion this link is replaced with an open or short between the wires.
  • Another embodiment provides short interconnect segments that could be joined to each other and to input and output terminals of the logic blocks at programmable interconnection points.
  • direct connections to adjacent logic blocks can be used to increase speed. For global signals that traverse long distances, longer lines are used. Segmented interconnect structures with routing lines of varied lengths can be used.
  • a hierarchical interconnect structure provides lines of short lengths connectable at boundaries to lines of longer lengths extending between the boundaries, and larger boundaries with lines of even longer length extending between those boundaries.
  • the routing circuit can connect adjacent logic blocks in two different hierarchical blocks differently than adjacent logic blocks in the same hierarchical block.
  • a tile-based interconnect structure can be used where lines of varying lengths in which each tile in a rectangular array may be identical to each other tile.
  • the interconnect lines can be separated from the logic block inputs by way of a routing matrix, which gives each interconnect line more flexible access to the logic block inputs.
  • interconnect routing is driven by programmable buffers. Long wire lengths can be sub-divided into smaller length segments with smaller buffers to achieve a net reduction in the overall wire delay, and to obtain predictable timing in the logic routing of the PLD.
  • one or more digital circuits can be formed on a substrate.
  • the process selectively fabricates either a memory circuit or a conductive pattern substantially above the digital circuits to control portion of digital circuits.
  • the process fabricates an interconnect and routing layer substantially above the digital circuits and memory circuits to connect digital circuits and one of the memory circuit or the conductive pattern.
  • the process can be modified to fabricate a generic field programmable gate array (FPGA) with the constructed memory circuit or an application specific integrated circuit (ASIC) with the constructed conductive pattern.
  • FPGA field programmable gate array
  • ASIC application specific integrated circuit
  • Multiple ASICs can be fabricated with different variations of conductive patterns.
  • the memory circuit and the conductive pattern have one or more substantially matching circuit characteristics. In this case, timing characteristics substantially unchanged by the circuit control option.
  • the process thus fabricates a programmable logic device by constructing digital circuits on a substrate; and constructing a non-planar circuit on the substrate after constructing the digital circuits, the non-planar circuit being either a memory deposited to store data to configure the digital circuits to form a field programmable gate array (FPGA) or a conductive pattern deposited to hard-wire the digital circuits to form an application specific integrated circuit (ASIC), wherein the deposited memory and the conductive pattern have substantially matching timing characteristics.
  • the hard-wire ASIC option may be incorporated into the digital circuit layer 100 .
  • the hard-wire ASIC option is incorporated into the routing layer 110 .

Abstract

A three-dimensional semiconductor device includes a first module layer having a plurality of circuit blocks; and a second module layer positioned substantially above the first module layer, including a plurality of configuration circuits to control a portion of the circuit blocks.

Description

  • This application claims priority from Provisional Application Serial No. 60/393,763 entitled “Wire Replaceable TFT SRAM Cell and Cell Array Technology”, filed on Jul. 8, 2002, Provisional Application Serial No. 60/397,070 entitled “Wire Replaceable Thin Film Fuse and Anti-fuse Technology”, filed on Jul. 22, 2002, and Provisional Application Serial No. 60/400,007 entitled “Re-programmable ASIC”, filed on Aug. 1, 2002, all of which list as inventor Mr. R. U. Madurawe and the contents of which are incorporated-by-reference. [0001]
  • This application is also related to application Ser. No. 10/______ entitled “Methods for Manufacturing Three-Dimensional Integrated Circuits” and application Ser. No. 10/______ entitled “Field Programmable Gate Array With Convertibility to Application Specific Integrated Circuit”, all of which were filed on Oct. ______, 2002 and list as inventor Mr. R. U. Madurawe, the contents of which are incorporated-by-reference.[0002]
  • BACKGROUND
  • The present invention relates to multi-dimensional integrated circuits. [0003]
  • Traditionally, integrated circuit (IC) devices such as custom, semi-custom, or application specific integrated circuit (ASIC) devices have been used in electronic products to reduce cost, enhance performance or meet space constraints. However, the design and fabrication of custom or semi-custom ICs can be time consuming and expensive. The customization involves a lengthy design cycle during the product definition phase and high Non Recurring Engineering (NRE) costs during manufacturing phase. Further, should bugs exist in the custom or semi-custom ICs, the design/fabrication cycle has to be repeated, further aggravating the time to market and engineering cost. As a result, ASICs serve only specific applications and are custom built for high volume and low cost applications. [0004]
  • Another type of semi custom device called a Gate Array customizes modular blocks at a reduced NRE cost by synthesizing the design using a software model similar to the ASIC. The missing silicon level design verification results in multiple spins and lengthy design iterations. [0005]
  • In recent years there has been a move away from custom or semi-custom ICs towards field programmable components whose function is determined not when the integrated circuit is fabricated, but by an end user “in the field” prior to use. Off the shelf, generic Programmable Logic Device (PLD) or Field Programmable Gate Array (FPGA) products greatly simplify the design cycle. These products offer user-friendly software to fit custom logic into the device through programmability, and the capability to tweak and optimize designs to optimize silicon performance. The flexibility of this programmability is expensive in terms of silicon real estate, but reduces design cycle and upfront NRE cost to the designer. [0006]
  • FPGAs offer the advantages of low non-recurring engineering costs, fast turnaround (designs can be placed and routed on an FPGA in typically a few minutes), and low risk since designs can be easily amended late en in the product design cycle. It is only for high volume production runs that there is a cost benefit in using the more traditional approaches. However, the conversion from an FPGA implementation to an ASIC implementation typically requires a complete redesign. Such redesign is undesirable in that the FPGA design effort is wasted. [0007]
  • Compared to PLD and FPGA, an ASIC has hard-wired logic connections, identified during the chip design phase, and need no configuration memory cells. This is a large chip area and cost saving for the ASIC. Smaller ASIC die sizes lead to better performance. A full custom ASIC also has customized logic functions which take less gate counts compared to PLD and FPGA configurations of the same functions. Thus, an ASIC is significantly smaller, faster, cheaper and more reliable than an equivalent gate-count PLD or FPGA. The trade-off is between time-to-market (PLD and FPGA advantage) versus low cost and better reliability (ASIC advantage). [0008]
  • There is no convenient migration path from a PLD or FPGA used as a design verification and prototyping vehicle to the lower die size ASIC. All of the SRAM or Anti-fuse configuration bits and programming circuitry has no value to the ASIC. Programmable module removal from the PLD or FPGA and the ensuing layout and design customization is time consuming with severe timing variations from the original design. [0009]
  • SUMMARY
  • In one aspect, a three-dimensional semiconductor device includes a first module layer having a plurality of circuit blocks; and a second module layer positioned substantially above the first module layer, including a plurality of configuration circuits to control a portion of the circuit blocks. [0010]
  • Implementations of the above aspect may include one or more of the following. The configuration circuits can be memory elements. Each memory element can be a transistor or a diode or a group of electronic devices. The memory elements can be thin film devices such as thin film transistors (TFT) or diodes. The memory element can be selected from the group consisting of volatile or non volatile memory elements. The memory element can also be selected from the group of fuses, antifuses, SRAM cells, DRAM cells, metal optional links, EPROMs, EEPROMs, flash, and ferro-electric elements. One or more redundant memory cells can be provided for controlling the same circuit block. A third module layer can be formed substantially above the first and second module layer, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers. The third module layer can be formed substantially below the first and second module layer. Alternatively, third and fourth module layers, wherein interconnect and routing is signals are formed can be positioned above and below the second module layer respectively. The circuit block can contain a programmable logic block which responds to input data signals and develops corresponding complete or partial output logic signals, and registers to store the logic signals and either outputting them to output terminals or returning them as inputs to additional programmable logic blocks. The programmable logic blocks can contain pass gate logic, multiplexer logic, truth table logic, or AND/OR logic blocks. [0011]
  • Implementations of the above aspect may further include one or more of the following. The memory can be implemented using a TFT process technology that contains one or more of replaceable Fuses, Anti-fuses and SRAM elements. The process implementation is possible with any process technology where EPROM, EEPROM, Flash, Ferro-Electric or any other programmable element is vertically integrated. [0012]
  • In a second aspect, a multi-dimensional semiconductor device includes a first module layer having a plurality of circuit blocks formed on a first plane; and a second module layer formed on a second plane, including a plurality of configuration circuits formed to control a portion of the circuit blocks. [0013]
  • In a third aspect, a system includes a processor; data storage devices coupled to the processor; and a three-dimensional semiconductor device coupled to the processor, the 3D semiconductor device having a first module layer having a plurality of circuit blocks formed on a first plane and a second module layer formed on a second plane, including a plurality of configuration circuits formed to control a portion of the circuit blocks. [0014]
  • In a fourth aspect, a multi-dimensional semiconductor device includes a plurality of circuit blocks formed on a substrate; and a plurality of configuration circuits formed substantially above the substrate to control at least one circuit block. [0015]
  • Implementation of the fourth aspect may include one or more of the following. The configuration circuit includes a predetermined conductive pattern to control the circuit blocks. The configuration circuits can be memory elements with one device selected from the following: diode, transistor, thin film device, thin film resistor, thin film capacitor, thin film transistor (TFT). The memory element can be selected from the group consisting of volatile or non volatile memory elements. The memory element can also be selected from a group of fuse links, antifuse capacitors, SRAM cells, DRAM cells, metal optional links, EPROM cells, EEPROM cells, flash cells, and ferro-electric elements. [0016]
  • Implementations of the above aspects may include one or more of the following. The IC product is re-programmable in its initial stage with turnkey conversion to an ASIC. The IC has the end ASIC cost structure and FPGA re-programmability. The IC product offering occurs in two phases: the first stage is a generic FPGA that has re-programmability containing a programmable module, and the second stage is an ASIC with the entire programmable module replaced by 1 to 2 customized hard-wire masks. [0017]
  • A series product families can be provided with a modularized programmable element in an FPGA version followed by a turnkey custom ASIC with the same base die with 1-2 custom masks. The vertically integrated programmable module does not consume valuable silicon real estate of a base die. Furthermore, the design and layout of these product families adhere to removable module concept: ensuring the functionality and timing of the product in its FPGA and ASIC canonicals. These IC products can replace existing PLD and FPGA products and compete with existing Gate Arrays and ASIC's in cost and performance. [0018]
  • Advantages of the IC may include one or more of the following. An easy turnkey customization of an ASIC from an original smaller PLD or FPGA would greatly enhance time to market, performance, low cost and better reliability. [0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a cross sectional view of a first embodiment of an integrated circuit. [0020]
  • FIG. 2 shows a cross sectional view of a second embodiment of an integrated circuit. [0021]
  • FIG. 3 shows a cross sectional view of a third embodiment of an integrated circuit. [0022]
  • FIG. 4 shows a cross sectional view of a fourth embodiment of an integrated circuit. [0023]
  • FIG. 5 shows an exemplary AND-OR PLD Architecture. [0024]
  • FIG. 6 shows an exemplary AND-OR array gate realization of PLD. [0025]
  • FIG. 7 shows one EEPROM implementation of a P-Term logic array. [0026]
  • FIG. 8 shows P-term configuration for SRAM/hard-wired PLD architecture. [0027]
  • FIG. 9 shows an exemplary pass-gate logic. [0028]
  • FIG. 10 shows an exemplary 4-Input logic MUX. [0029]
  • FIG. 11 shows an exemplary 2-Input Truth Table. [0030]
  • FIG. 12 shows a logic tree implementation of a 4-Input Truth Table. [0031]
  • FIG. 13 shows an exemplary 6T SRAM. [0032]
  • FIG. 14 shows pass gate transistor logic controlled by SRAM. [0033]
  • FIG. 15 shows one embodiment of a 5×6 switch matrix. [0034]
  • FIG. 16 shows pass gate controlled by Vcc (power) or Vss (ground) [0035]
  • FIG. 17 shows the 5×6 switch matrix [0036]
  • DESCRIPTION
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. [0037]
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, SOI material as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense. [0038]
  • The term module layer includes a structure that is fabricated using a series of predetermined process steps. The boundary of the structure is defined by a first step, one or more intermediate steps, and a final step. The resulting structure is formed on a substrate. [0039]
  • The term configuration circuit includes one or more configurable elements and connections that can be programmed for controlling one or more circuit blocks in accordance with a predetermined user-desired functionality. In one embodiment, the configuration circuits include a plurality of memory circuits to store instructions to configure an FPGA. In another embodiment, the configuration circuits include a first selectable configuration where a plurality of memory circuits is formed to store instructions to control one or more circuit blocks. The configuration circuits include a second selectable configuration with a predetermined conductive pattern formed in lieu of the memory circuit to control substantially the same circuit blocks. The memory circuit includes elements such as diode, transistor, resistor, capacitor, metal link, among others. The memory circuit also includes thin film elements. In yet another embodiment, the configuration circuits include a predetermined conductive pattern, via, resistor, capacitor or other suitable circuits formed in lieu of the memory circuit to control substantially the same circuit blocks. [0040]
  • The term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. The term “vertical” refers to a direction perpendicular to the horizontal direction as defined above. Prepositions, such as “on”, “side”, “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate. [0041]
  • FIG. 1 shows a cross sectional view of a first embodiment of an integrated circuit that can be selectably fabricated as either an FPGA or an ASIC. In this embodiment, a three-dimensional semiconductor device [0042] 100 is shown. The device 100 includes a first module layer 102 having a plurality of circuit blocks 104 embedded therein. The device 100 also includes a second module layer 106 formed substantially above the first module layer 102. One or more configuration circuits 108 are formed to store instructions to control a portion of the circuit blocks 104. In the embodiment of FIG. 1, wiring/routing circuits 112 are formed on a third layer 110 above the second layer 106. Circuits 112 connect to both circuits 104 and 108 to complete the functionality of the PLD.
  • FIG. 2 shows a cross sectional view of a second embodiment of an integrated circuit that can be selectably fabricated as either an FPGA or an ASIC. In this embodiment, a three-dimensional semiconductor device [0043] 120 is shown. The device 120 includes a first module layer 122 having a plurality of circuit blocks 124 embedded therein. The device 120 also includes a second module layer 126 formed substantially above the first module layer 122 that includes wiring and/or routing circuitry 128, and a third module layer 130 formed substantially above the second module layer 126 that includes configuration circuits 132. The wiring/routing circuitry 128 is electrically connected to the circuit blocks 124 and to configuration circuits 132 in the third module layer 130. The configuration circuits 132 store instructions to control a portion of the circuit blocks 124.
  • FIG. 3 shows a third embodiment which is substantially similar to the embodiment of FIG. 2. In the embodiment of FIG. 3, a [0044] fourth layer 140 having wiring/routing circuitry 142 is position above the third layer 130. The wiring/routing circuitry 142 is electrically connected to one of the following: one or more circuit blocks 124, one or more wiring/routing circuitry 128, and one or more configuration circuits 132.
  • FIG. 4 shows one implementation where the configuration memory element is SRAM. First, [0045] silicon transistors 150 are deposited on a substrate. A module layer of removable SRAM memory cells 152 are positioned above the silicon transistors 150, and a module layer of interconnect wiring or routing circuit 154 is formed above the removable memory cells 152. To allow this replacement, the design adheres to a hierarchical layout structure. As shown in FIG. 4, the SRAM cell module is sandwiched between the single crystal device layers below and the metal layers above electrically connecting to both. It also provides through connections “A” for the lower device layers to upper metal layers. The SRAM module contains no switching electrical signal routing inside the module. All such routing is in the layers above and below. Most of the programmable element configuration signals run inside the module. Upper layer connections to SRAM module “C” are minimized to Power, Ground and high drive data wires. Connections “B” between SRAM module and single crystal module only contain logic level signals and replaced later by Vcc and Vss wires. Most of the replaceable programmable elements and its configuration wiring is in the “replaceable module” while all the devices and wiring for the end ASIC is outside the “replaceable module”. In other embodiments, the replaceable module could exist between two metal layers or as the top most layer satisfying the same device and routing constraints.
  • Fabrication of the IC also follows a modularized device formation. Formation of [0046] transistors 150 and routing 154 is by utilizing a standard logic process flow used in the ASIC fabrication. Extra processing steps used for memory element 152 formation are inserted into the logic flow after circuit layer 150 is constructed. A full disclosure of the vertical integration of the TFT module using extra masks and extra processing is in the co-pending incorporated by reference applications discussed above.
  • During the customization, the base die and the data in those remaining mask layers do not change making the logistics associated with chip manufacture simple. Removal of the SRAM module provides a low cost standard logic process for the final ASIC construction with the added benefit of a smaller die size. The design timing is unaffected by this migration as lateral metal routing and silicon transistors are untouched. Software verification and the original FPGA design methodology provide a guaranteed final ASIC solution to the user. A full disclosure of the ASIC migration from the original FPGA is in the co-pending incorporated by reference applications discussed above. [0047]
  • In FIG. 4, the third module layer is formed substantially above the first and second module layers, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers. Alternatively, the third module layer can be formed substantially below the first and second module layer with interconnect and routing signals formed to connect the circuit blocks within the first and second module layers. Alternatively, the third and fourth module layers positioned above and below the second module layer respectively, wherein the third and fourth module layers provide interconnect and routing signals to connect the circuit blocks within the first and second module layers. [0048]
  • In yet another embodiment of a programmable multi-dimensional semiconductor device, a first module layer is fabricated having a plurality of circuit blocks formed on a first plane. The programmable multi-dimensional semiconductor device also includes a second module layer formed on a second plane. A plurality of configuration circuits is then formed to store instructions to control a portion of the circuit blocks. [0049]
  • Next, details of the circuit blocks [0050] 104, the configuration circuit 108, and the wiring and/or routing circuit 112 in FIG. 1 are detailed.
  • A variety of digital or analog circuits can be used in circuit blocks [0051] 104. These circuit blocks include programmable logic blocks to allow user customization of logic. In one embodiment, programmable logic blocks are provided to respond to input data signals. The programmable logic blocks develop corresponding complete or partial output logic signals. Registers are used to store the output logic signals and either outputting them to output terminals or returning them as inputs to additional programmable logic blocks. The registers themselves can be programmable, allowing those to be configured such as T flip-flops, JK flip-flops, or any other register. The logic blocks may contain no registers, or the registers may be programmed to be by-passed to facilitate combinational logic implementation. The programmable logic block can be selected from one of a pass gate logic, a multiplexer logic, a truth table logic, or an AND/OR logic. FIG. 5 shows an exemplary AND-OR PLD Architecture. AND and OR arrays 202 and 204 contain user configurable programmable elements. FIG. 6 shows an exemplary AND-OR array gate realization of a three input, four P-term, four output PLD. The AND and OR array 210-212 are shown programmed to a specific pattern.
  • In yet other embodiments, the [0052] circuit block 104 contains a RAM/ROM logic block consisting of “logic element tree” or “P-Term logic array” blocks that perform logic functions. FIG. 7 shows one such NAND EEPROM implementation of a P-Term in NAND-NOR logic array, while FIG. 8 shows the same P-term configuration for either SRAM, or hard-wired PLD architectures. FIG. 7 shows two mirrored outputs P1 and P2. For output P1, an AND gate 232 receives signals from pass transistors 222, 224, 228 and 230. The pass transistor 222 is controlled by block 220 shown in the dashed circle, while the pass transistor 228 is controlled by block 226 shown inside the dashed circle. Similarly, the upper half of FIG. 8 includes an AND gate 252 that receives inputs from pass transistors 242, 244, 248 and 250, respectively.
  • FIG. 9 shows an exemplary [0053] pass-gate logic 260 connecting one input to one output. The NMOS pass gate voltage level SO determines an ON and OFF connection. FIG. 10 shows an exemplary 4-Input logic MUX implementing an output function O where O=I0*S0+I1*S1+I2*S2+I3*S3. In the MUX, only one of S0 270, S1 272, S2 274, S3 276 has a logic one. The MUX is constructed by combining four NMOS pass gate logic elements 280-286 shown in FIG. 9.
  • FIG. 11 shows an exemplary 2-input truth table logic realization of an output function F where, [0054]
  • F=/A*/B*S0+/A*B*S1+A*/B*S2+A*B*S3 (/A means not A). [0055]
  • The truth table logic states are represented by S0, S1, S2 and S3. The realization is done through six inverters collectively designated [0056] 250 and eight pass transistors collectively designated 260. Logic states are stored in 4 programmable registers.
  • FIG. 12 shows a logic tree constructed with five 2-input truth table logic blocks [0057] 320-328 to perform a full four input truth table. A four input truth table has 16 possible logic states S0, S1, . . . , S15. As the number of inputs grows to N, this logic tree construction requires 2N logic states, and 2(N−1) branches in the logic tree. For large N values, a full truth table realization is less efficient compared to a partial product term AND-OR array realization.
  • In another embodiment, the programmable logic block can be a programmable microprocessor block. The microprocessor can be selected from third party IP cores such as: 8051, Z80, 68000, MIPS, ARM, and PowerPC. These microprocessor architectures include superscalar, Fine Grain Multi-Threading (FGMT) and Simultaneous Multi-Threading (SMT) that support Application Specific Packet Processing (ASPP) routines. To handle Programmable Network Interface (PNI) the processor can contain hardware and software configurability. Hardware upgradeability can be greatly enhanced in microprocessors embedded in PLD's by making use of the available logic content of the PLD device. Programmable features can include varying processor speed, cache memory system and processor configuration, enhancing the degree of Instruction Level Parallelism (ILP), enhancing Thread level parallelism (TLP). Such enhancements allow the user to optimize the core processor to their specific application. Cache parameters such as access latency, memory bandwidth, interleaving and partitioning are also programmable to further optimize processor performance and minimize cache hit miss rates. Additionally, the processor block can be a Very Long Instruction Word (VLIW) processor to handle multimedia applications. The processor block can include a cache controller to implement a large capacity cache as compared with an internal cache. [0058]
  • While a PLD can be configured to do DSP functions, the programmable logic block can also contain a digital signal processor (DSP), which is a special purpose processor designed to optimize performance for very high speed digital signal processing encountered in wireless and fiber-optic networks. The DSP applications can include programmable content for cache partitioning, digital filters, image processing and speech recognition blocks. These real-time DSP applications contain high interrupt rates and intensive numeric computations best handled by hardware blocks. In addition, the applications tend to be intensive in memory access operations, which may require the input and output of large quantities of data. The DSP cache memory may be configured to have a “Harvard” architecture with separate, independent program and data memories so that the two memories may be accessed simultaneously. This architecture permits an instruction and an operand to be fetched from memory in a single clock cycle. A modified Harvard architecture utilizes the program memory for storing both instructions and operands to achieve full memory utilization. The program and data memories are often interconnected with the core processor by separate program and data buses. When both instructions and operands (data) are stored in a single program memory, conflicts may arise in fetching data with the next instruction. Such conflicts have been resolved in prior art for DSP's by providing an instruction cache to store conflicting instructions for subsequent program execution. [0059]
  • In yet another embodiment, programmable logic block can contain software programmability. These software functions are executed in DSP, ARM, or MIPS type inserted IP cores, or an external host CPU. Accelerators connected by a configurable SRAM switching matrix enhance the computation power of the processors. The microprocessor has local permanent SRAM memory to swap, read, and write data. The switch matrix is pre-designed to offer both hard-wire and programmable options in the final ASIC. In this situation, the [0060] circuit block 104 can be a functional block that performs well-defined, commonly-needed function, such as special D/A or A/D converter, standard bus interface, or such block that implements special algorithms such as MPEG decode. The special algorithms implemented can be hardware versions of software. For example, algorithms relating to digital radio or cellular telephone such as WCDMA signal processing can be implemented by the functional block. Other functional blocks include PCI, mini-PCI, USB, UART blocks that can be configured by specifying the SRAM logic blocks.
  • In yet another embodiment, the [0061] circuit block 104 can be memory such as a register file, cache memory, static memory, or dynamic memory. A register file is an array of latches that operate at high speed. This register length counter may be programmable by the user. A cache memory has a high access throughput, short access latency and a smaller capacity as compared with main memory. The cache memory may be programmable to partition between the different requirements of the system design. One such need is the division between L1 and L2 cache requirements for networking applications. The memory can also be static random access memory or (SRAM) device with an array of single port, or multi-port addressable memory cells. Each cell includes a four transistor flip-flop and access transistors that are coupled to input/output nodes of the flip-flop. Data is written to the memory cell by applying a high or low logic level to one of the input/output nodes of the flip-flop through one of the access transistors. When the logic level is removed from the access transistor, the flip-flop retains this logic level at the input/output node. Data is read out from the flip-flop by turning on the access transistor. The memory can also be dynamic random access memory (DRAM). Generally, a DRAM cell consists of one transistor and a capacitor. A word line turns on/off the transistor at the time of reading/writing data stored in the capacitor, and the bit line is a data input/output path. DRAM data is destroyed during read, and refresh circuitry is used to continually refresh the data. Due to the low component count per bit, a high density memory device is achieved.
  • In another embodiment, the [0062] circuit block 104 can be an intellectual property (“IP”) core which is reusable for licensing from other companies or which is taken from the same/previous design. In core-based design, individual cores may be developed and verified independently as stand-alone modules, particularly when IP core is licensed from external design source. These functions are provided to the user as IP blocks as special hardware blocks or pre-configured programmable logic blocks. The IP blocks connect via a programmable switching matrix to each other and other programmable logic. The hardware logic block insertion to any position in a logic sequence is done through the configurable logic matrix. These hardware logic blocks offer a significant gate count reduction on high gate count frequently used logic functions, and the user does not require generic “logic element” customization. In both cases, the user saves simulation time, minimize logic gate count, improve performance, reduce power consumption and reduce product cost with pre-defined IP blocks. The switch matrix is replaced by hardwires in the final ASIC.
  • The circuit blocks [0063] 104 can also be an array of programmable analog blocks. In one embodiment, the analog blocks include programmable PLL, DLL, ADC and DAC. In another embodiment, each block contains an operational amplifier, multiple programmable capacitors, and switching arrangements for connecting the capacitors in such as a way as to perform the desired function. Switched capacitor filters can also be used to achieve an accurate filter specification through a ratio of capacitors and an accurate control of the frequency of a sampling clock. Multiple PLL's can be programmed to run at different frequencies on the same chip to facilitate SoC applications requiring more than one clock frequency.
  • The circuit blocks [0064] 104 also contain data fetch and data write circuitry required to configure the configuration circuits 108. This operation may be executed by a host CPU residing in the system, or the PLD device itself. During power up, these circuits initialize and read the configuration data from an outside source, either in serial mode or in parallel mode. The data is stored in a predefined word length locally and written to the configurability allocation. The programmed configuration data is verified against the locally stored data and a programming error flag is generated if there is a mismatch. These circuits are redundant in the conversion of the PLD to an ASIC. However, these circuits are used in both FPGA and ASIC for test purposes, and has no cost penalty. A pin-out option has a “disable” feature to disconnect them for the customer use in the FPGA and ASIC.
  • [0065] Configuration circuits 108 provide active circuit control over digital circuits 104. One embodiment of the configuration circuit includes an array of memory elements. The user configuration of this memory amounts to a specific bitmap of the programmable memory in a software representation.
  • Suitable memory elements include volatile or non volatile memory elements. In non-volatile memory (NVM) based products, configurable data is held in one of metal link fuse, anti-fuse, EPROM, Flash, EEPROM memory element, or ferro-electric elements. The first two are one time programmable (OTP), while the last four can be programmed multiple times. As EPROM's require UV light to erase data, only Flash & EEPROM's lend to in-system programmability (ISP). In volatile products, the configurable data storage can be SRAM cells or DRAM cells. With DRAM cells, the data requires constant refresh to prevent losses from leakages. Additionally, one or more redundant memory cells controlling the same circuit block can be used to enhance device yield. [0066]
  • The components of the memory element array can be a resistor, capacitor, transistor or a diode. In another embodiment of the configuration circuit, a memory element can be formed using thin film deposition. The memory element can be a thin film resistor, thin film capacitor, thin film transistor (TFT) or a thin film diode or a group of thin film devices connected to form an SRAM cell. [0067]
  • This discussion is mostly on SRAM elements and can easily extend to include all other programmable elements. In all cases, the design needs to adhere to rules that allow programmable module elimination, with no changes to the base die, a concept not used in PLD, FPGA, Gate Array and ASIC products today. [0068]
  • An exemplary 6T SRAM cell, shown in FIG. 13, needs no high voltage capability, nor added process complexity. The cell of FIG. 13 has two back-to-back inverters [0069] 350-352 whose access is controlled by pass transistors 354-356. In addition, R-load & Thin Film Transistor (TFT) load PMOS based SRAM cells can be used for PLDs and FPGAs. To achieve zero stand-by power by eliminating sensing circuitry, and reduce memory element count for low input functions, these SRAM cells are embedded in truth table logic (also called Look-Up-Table) based architectures.
  • [0070] Pass gate transistor 360 logic controlled by SRAM is shown in FIG. 14. In this embodiment, the memory cell (such as the cell of FIG. 13) drives the pass transistor 360 to e-affect an outcome. A 5×6-switch point matrix 370 controlled by 30-SRAM cells coupled to 30-NMOS pass gates is shown in FIG. 15. FIG. 16 shows the NMOS pass gate 360 logic controlled by the SRAM in FIG. 14 converted to hard-wire logic. A contact 362, connected to Vcc (logic 1) or Vss (logic 0) depending on the SRAM logic content, replace the SRAM cell. The SRAM logic mapping to hard wire connections are automatic and done by a software program that is verifiable against the bit-map.
  • Similarly, FIG. 17 shows the 5×6-[0071] switch point matrix 370 hard-wired by replacing the SRAM bits that control NMOS gates with hard-wires to Vcc or Vss. In FIG. 17, the bubble may represent either SRAM or hard-wire Vcc or Vss control on NMOS pass gates. In the case of Fuse or Antifuse arrays, contact or no contact between the two metal lines in FIG. 15 directly replaces the programmable element and there is no NMOS pass-gate needed.
  • The P-Term logic builds the core of PLD's and complex PLD's (CPLD's) that use AND-OR blocks [0072] 202-204 (or equivalent NAND-NOR type logic functions) as shown in the block diagram of FIG. 5 and one expansion is shown in FIG. 6 with and gates 210 and or gates 212. Gate implementation of two inputs (11, 12) and two P-terms (P1, P2) NAND function can be single poly EEPROM bits as shown in FIG. 10. The dotted circle contains the charge trapping floating gate, the programming select transistor, tunneling diode, a control gate capacitor and programming access nodes. The SRAM cell replaces that entire circle in this invention as detailed next. The SRAM NAND-NOR array (also AND-OR array) replacement has not been realized in prior art as SRAM cells require Nwell & Pwell regions that consume large silicon area to prevent latch-up. The SRAM in TFT do not have well related constraints as NMOS and PMOS bodies are isolated from each other. Keeping the two pass gates in silicon layers and moving SRAM to TFT layers allow P-Term logic implementation with SRAM cells and subsequent replacement with hard-wires. In TFT SRAM conversion to final ASIC, the bubble on NMOS gate becomes a hard-wire connection to Vcc or Vss.
  • The length of input and output wires, and the drive on NMOS pass gates and logic gate delays determine the overall PLD delay timing, independent of the SRAM cell parameters. By moving SRAM cell to TFT upper layers, the chip X,Y dimensions are reduced over 20% to 50% compared to traditional SRAM FPGA's, providing a faster logic evaluation time. In addition, removal of SRAM cell later does not alter lateral wire length, wire loading and NMOS pass gate characteristic. The vertical dimension change in eliminating the memory module is negligible compared to the lateral dimension of the ASIC, and has no impact on timing. This allows maintaining identical timing between the FPGA and ASIC implementations with and without the SRAM cells. The final ASIC with smaller die size and no SRAM elements have superior reliability, similar to an ASIC, leading to lower board level burn-in and field failures compared to PLD's and FPGA's in use today. [0073]
  • Next, the wiring and/or [0074] routing circuit 112 is discussed. The wiring and/or routing circuit connects each logic block to each other logic block. The wiring/routing circuit allows a high degree of routing flexibility per silicon area consumed and uniformly fast propagation of signals, including high-fanout signals, throughout the device. The wiring module may contain one or many levels of metal interconnects.
  • One embodiment of a switch matrix is a 6×5 programmable switch-matrix with 30 SRAM bits (or 30 Anti-fuses, or 30 fuses), shown in FIG. 15. The box in FIG. 14 contains the SRAM cell shown inside dotted box of FIG. 14, where the pass gate makes the connection between the two wires, and the SRAM bit holds the configuration data. In this configuration, the wire connection in [0075] circuit 112 occurs via a pass transistor located in circuit 104 controlled by an SRAM cell in circuit 108. During power-up, a permanent non-volatile memory block located in the system, loads the correct configuration data into SRAM cells. In Fuse or Anti-fuse applications, the box simply represents the programmable element in circuit 108 between the two wires in circuit 112. During the ASIC conversion this link is replaced with an open or short between the wires.
  • Another embodiment provides short interconnect segments that could be joined to each other and to input and output terminals of the logic blocks at programmable interconnection points. In another embodiment, direct connections to adjacent logic blocks can be used to increase speed. For global signals that traverse long distances, longer lines are used. Segmented interconnect structures with routing lines of varied lengths can be used. In yet other embodiments, a hierarchical interconnect structure provides lines of short lengths connectable at boundaries to lines of longer lengths extending between the boundaries, and larger boundaries with lines of even longer length extending between those boundaries. The routing circuit can connect adjacent logic blocks in two different hierarchical blocks differently than adjacent logic blocks in the same hierarchical block. Alternatively, a tile-based interconnect structure can be used where lines of varying lengths in which each tile in a rectangular array may be identical to each other tile. In yet another implementation, the interconnect lines can be separated from the logic block inputs by way of a routing matrix, which gives each interconnect line more flexible access to the logic block inputs. In another embodiment, interconnect routing is driven by programmable buffers. Long wire lengths can be sub-divided into smaller length segments with smaller buffers to achieve a net reduction in the overall wire delay, and to obtain predictable timing in the logic routing of the PLD. [0076]
  • Next, a brief description of the manufacturing process is discussed. During manufacturing, one or more digital circuits can be formed on a substrate. Next, the process selectively fabricates either a memory circuit or a conductive pattern substantially above the digital circuits to control portion of digital circuits. Finally, the process fabricates an interconnect and routing layer substantially above the digital circuits and memory circuits to connect digital circuits and one of the memory circuit or the conductive pattern. [0077]
  • The process can be modified to fabricate a generic field programmable gate array (FPGA) with the constructed memory circuit or an application specific integrated circuit (ASIC) with the constructed conductive pattern. Multiple ASICs can be fabricated with different variations of conductive patterns. The memory circuit and the conductive pattern have one or more substantially matching circuit characteristics. In this case, timing characteristics substantially unchanged by the circuit control option. The process thus fabricates a programmable logic device by constructing digital circuits on a substrate; and constructing a non-planar circuit on the substrate after constructing the digital circuits, the non-planar circuit being either a memory deposited to store data to configure the digital circuits to form a field programmable gate array (FPGA) or a conductive pattern deposited to hard-wire the digital circuits to form an application specific integrated circuit (ASIC), wherein the deposited memory and the conductive pattern have substantially matching timing characteristics. In another embodiment, the hard-wire ASIC option may be incorporated into the digital circuit layer [0078] 100. In another embodiment, the hard-wire ASIC option is incorporated into the routing layer 110.
  • Although an illustrative embodiment of the present invention, and various modifications thereof, have been described in detail herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to this precise embodiment and the described modifications, and that various changes and further modifications may be effected therein by one skilled in the art without departing from the scope or spirit of the invention as defined in the appended claims. [0079]

Claims (26)

What is claimed is:
1. A three-dimensional semiconductor device, comprising:
a first module layer having a plurality of circuit blocks; and
a second module layer positioned substantially above the first module layer, including a plurality of configuration circuits to control a portion of the circuit blocks.
2. The device of claim 1, wherein the configuration circuits comprise memory elements.
3. The device of claim 2, wherein the memory element comprises one device selected from the following: diode, transistor, thin film device, thin film resistor, thin film capacitor, thin film transistor (TFT).
4. The device of claim 2, wherein the memory element is selected from the group consisting of volatile or non volatile memory elements.
5. The device of claim 4, wherein the memory element is selected from a group of fuse links, antifuse capacitors, SRAM cells, DRAM cells, metal optional links, EPROM cells, EEPROM cells, flash cells, and ferro-electric elements.
6. The device of claim 1, wherein the memory elements further comprises one or more redundant memory cells controlling the same circuit block.
7. The device of claim 1, wherein the configuration circuit comprises a predetermined conductive pattern to control the circuit blocks.
8. The device of claim 1, further comprising a third module layer formed substantially above the first and second module layer, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers.
9. The device of claim 8, wherein the second module layer containing isolated through connections to connect the first module layer directly from the third module layer.
10. The device of claim 1, further comprising a third module layer formed substantially below the first and second module layer, wherein interconnect and routing signals are formed to connect the circuit blocks within the first and second module layers.
11. The device of claim 1, further comprising third and fourth module layers positioned above and below the second module layer respectively, wherein the third and fourth module layers provide interconnect and routing signals to connect the circuit blocks within the first and second module layers.
12. The device of claim 1, wherein the circuit block further comprises of programmable logic blocks which responds to input data signals and develop corresponding complete or partial output logic signals, and registers to store the logic signals and either outputting them to output terminals or returning them as inputs to additional programmable logic blocks.
13. The device of claim 12, comprising of programmable register by-pass circuitry to facilitate combinational logic.
14. The device of claim 12, comprising of clock signals to allow synchronous data flow.
15. The device of claim 12, wherein the programmable logic block is selected from one of a pass gate logic, a multiplexer, a truth table logic, or an AND/OR logic.
16. A multi-dimensional semiconductor device, comprising:
a first module layer having a plurality of circuit blocks formed on a first plane; and
a second module layer positioned on a second plane, including a plurality of configuration circuits to control a portion of the circuit blocks.
17. A system, comprising:
a processor;
data storage devices coupled to the processor; and
a three-dimensional semiconductor device coupled to the processor, the 3D semiconductor device having a first module layer having a plurality of circuit blocks positioned on a first plane and a second module layer formed on a second plane, including a plurality of configuration circuits to control a portion of the circuit blocks.
18. The device in claim 17, wherein a portion of the processor is coupled to configuration memory built substantially above the portion of the processor.
19. The device in claim 17, wherein a portion of the data storage device is coupled to configuration memory built substantially above the portion of the data storage device.
20. A system, comprising:
one or more third party intellectual property (IP) blocks in a first module; data storage devices coupled to the IP blocks in the first module;
a plurality of configuration circuits in a second module coupled to the IP blocks; and
a three-dimensional (3D) semiconductor device coupled to the IP blocks, the 3D semiconductor device having a first module layer having a plurality of circuit blocks formed on a first plane and a second module layer positioned on a second plane, including a plurality of configuration circuits to control a portion of the circuit blocks.
21. A multi-dimensional semiconductor device, comprising:
a plurality of circuit blocks formed on a substrate; and
a plurality of configuration circuits formed substantially above the substrate to control at least one circuit block.
22. The device of claim 21, wherein the configuration circuit comprises a predetermined conductive pattern to control the circuit blocks.
23. The device of claim 21, wherein the configuration circuits comprise memory elements.
24. The device of claim 22, wherein the memory element comprises one device selected from the following: diode, transistor, thin film device, thin film resistor, thin film capacitor, thin film transistor (TFT).
25. The device of claim 22, wherein the memory element is selected from the group consisting of volatile or non volatile memory elements.
26. The device of claim 25, wherein the memory element is selected from a group of fuse links, antifuse capacitors, SRAM cells, DRAM cells, metal optional links, EPROM cells, EEPROM cells, flash cells, and ferro-electric elements.
US10/267,483 2002-07-08 2002-10-08 Three dimensional integrated circuits Abandoned US20040004239A1 (en)

Priority Applications (22)

Application Number Priority Date Filing Date Title
US10/267,483 US20040004239A1 (en) 2002-07-08 2002-10-08 Three dimensional integrated circuits
US10/846,699 US7112994B2 (en) 2002-07-08 2004-05-17 Three dimensional integrated circuits
US10/872,594 US7064579B2 (en) 2002-07-08 2004-06-22 Alterable application specific integrated circuit (ASIC)
US10/937,828 US7777319B2 (en) 2002-07-08 2004-09-10 Three dimensional integrated circuits
US10/988,396 US7268580B2 (en) 2002-07-08 2004-11-15 Configuration circuits for three dimensional programmable logic devices
US11/357,145 US7285981B2 (en) 2002-07-08 2006-02-21 Configuration circuit for programmable logic devices
US11/363,304 US8429585B2 (en) 2002-07-08 2006-02-27 Three dimensional integrated circuits
US11/365,031 US7285982B2 (en) 2002-07-08 2006-03-02 Configuration circuits for programmable logic devices
US11/400,122 US7345505B2 (en) 2002-07-08 2006-04-10 Alterable application specific integrated circuit (ASIC)
US11/801,739 US7362133B2 (en) 2002-07-08 2007-05-11 Three dimensional integrated circuits
US11/985,822 US7446563B2 (en) 2002-07-08 2007-11-19 Three dimensional integrated circuits
US12/045,635 US7463059B2 (en) 2002-07-08 2008-03-10 Alterable application specific integrated circuit (ASIC)
US12/105,259 US7538575B2 (en) 2002-07-08 2008-04-17 Three dimensional integrated circuits
US12/254,629 US7656192B2 (en) 2002-07-08 2008-10-20 Three dimensional integrated circuits
US12/834,077 US8829664B2 (en) 2002-07-08 2010-07-12 Three dimensional integrated circuits
US13/609,108 US8856699B2 (en) 2002-07-08 2012-09-10 Three dimensional integrated circuits
US14/147,881 US9070668B2 (en) 2002-10-08 2014-01-06 Pads and pin-outs in three dimensional integrated circuits
US14/458,939 US9240790B2 (en) 2002-07-08 2014-08-13 Three dimensional integrated circuits
US14/715,375 US9679914B2 (en) 2002-10-08 2015-05-18 Pads and pin-outs in three dimensional integrated circuits
US14/949,679 US9912336B2 (en) 2002-07-08 2015-11-23 Three dimensional integrated circuits
US15/407,242 US10339245B2 (en) 2002-07-08 2017-01-16 Timing exact design conversions from FPGA to ASIC
US15/893,537 US10447272B2 (en) 2002-07-08 2018-02-09 Three dimensional integrated-circuits

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39376302P 2002-07-08 2002-07-08
US39707002P 2002-07-22 2002-07-22
US40000702P 2002-08-01 2002-08-01
US10/267,483 US20040004239A1 (en) 2002-07-08 2002-10-08 Three dimensional integrated circuits

Related Child Applications (7)

Application Number Title Priority Date Filing Date
US10/846,699 Continuation US7112994B2 (en) 2002-07-08 2004-05-17 Three dimensional integrated circuits
US10/872,594 Continuation-In-Part US7064579B2 (en) 2002-07-08 2004-06-22 Alterable application specific integrated circuit (ASIC)
US10/937,828 Continuation US7777319B2 (en) 2002-07-08 2004-09-10 Three dimensional integrated circuits
US10/988,396 Division US7268580B2 (en) 2002-07-08 2004-11-15 Configuration circuits for three dimensional programmable logic devices
US11/363,304 Continuation US8429585B2 (en) 2002-07-08 2006-02-27 Three dimensional integrated circuits
US11/400,122 Continuation-In-Part US7345505B2 (en) 2002-07-08 2006-04-10 Alterable application specific integrated circuit (ASIC)
US11/801,739 Continuation US7362133B2 (en) 2002-07-08 2007-05-11 Three dimensional integrated circuits

Publications (1)

Publication Number Publication Date
US20040004239A1 true US20040004239A1 (en) 2004-01-08

Family

ID=30003961

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/267,511 Expired - Lifetime US6747478B2 (en) 2002-07-08 2002-10-08 Field programmable gate array with convertibility to application specific integrated circuit
US10/267,483 Abandoned US20040004239A1 (en) 2002-07-08 2002-10-08 Three dimensional integrated circuits

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/267,511 Expired - Lifetime US6747478B2 (en) 2002-07-08 2002-10-08 Field programmable gate array with convertibility to application specific integrated circuit

Country Status (1)

Country Link
US (2) US6747478B2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034094A1 (en) * 2002-07-08 2005-02-10 Raminda Udaya Madurawe Three dimensional integrated circuits
US7366807B1 (en) * 2004-08-27 2008-04-29 Xilinx, Inc. Network media access controller embedded in a programmable logic device—statistics interface
US7468616B1 (en) * 2006-08-30 2008-12-23 Xilinx, Inc. Circuit for and method of generating a delay in an input/output port of an integrated circuit device
US20100031222A1 (en) * 2005-03-14 2010-02-04 Lsi Corporation Base platforms with combined asic and fpga features and process of using the same
US7761643B1 (en) 2004-08-27 2010-07-20 Xilinx, Inc. Network media access controller embedded in an integrated circuit host interface
US20100221744A1 (en) * 2007-10-18 2010-09-02 Yousuke Fukui Method for prediction of postoperative prognosis and diagnosis kit
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US8732646B2 (en) * 2001-05-06 2014-05-20 Altera Corporation PLD architecture for flexible placement of IP function blocks
US9087169B2 (en) 2008-09-14 2015-07-21 Raminda U. Madurawe Automated metal pattern generation for integrated circuits
US9397665B2 (en) 2003-12-04 2016-07-19 Callahan Cellular L.L.C. Programmable structured arrays
US9547736B2 (en) 2002-07-08 2017-01-17 Callahan Cellular L.L.C. Timing exact design conversions from FPGA to ASIC
JP2017195368A (en) * 2012-02-09 2017-10-26 株式会社半導体エネルギー研究所 Semiconductor device
US9853053B2 (en) 2012-09-10 2017-12-26 3B Technologies, Inc. Three dimension integrated circuits employing thin film transistors
CN109086003A (en) * 2018-07-18 2018-12-25 成都忆芯科技有限公司 Reduce the method and its Media Interface Connector controller of IC power consumption
US10475815B2 (en) 2013-09-09 2019-11-12 Tacho Holdings, Llc Three dimension integrated circuits employing thin film transistors
CN114172125A (en) * 2021-11-24 2022-03-11 北京卫星制造厂有限公司 Power supply and distribution protection device for solid electronic switch

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6747478B2 (en) * 2002-07-08 2004-06-08 Viciciv Field programmable gate array with convertibility to application specific integrated circuit
US7064579B2 (en) * 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US20040024992A1 (en) * 2002-08-02 2004-02-05 Shan-Chyun Ku Decoding method for a multi-length-mode instruction set
US7000091B2 (en) * 2002-08-08 2006-02-14 Hewlett-Packard Development Company, L.P. System and method for independent branching in systems with plural processing elements
DE10347975B4 (en) * 2002-10-24 2008-10-09 Siemens Ag Setup of programmable logic
US7159204B2 (en) * 2003-01-28 2007-01-02 Altera Corporation System and method for design entry and synthesis in programmable logic devices
US7124391B1 (en) * 2003-04-30 2006-10-17 Xilinx, Inc. Method and apparatus for dynamically connecting modules in a programmable logic device
US6944843B2 (en) * 2003-08-05 2005-09-13 Bae Systems, Information And Electronic Systems Integration, Inc. Method for providing a cell-based ASIC device with multiple power supply voltages
US7549139B1 (en) 2003-09-19 2009-06-16 Xilinx, Inc. Tuning programmable logic devices for low-power design implementation
US7098689B1 (en) 2003-09-19 2006-08-29 Xilinx, Inc. Disabling unused/inactive resources in programmable logic devices for static power reduction
US7581124B1 (en) 2003-09-19 2009-08-25 Xilinx, Inc. Method and mechanism for controlling power consumption of an integrated circuit
US7504854B1 (en) 2003-09-19 2009-03-17 Xilinx, Inc. Regulating unused/inactive resources in programmable logic devices for static power reduction
US7498836B1 (en) 2003-09-19 2009-03-03 Xilinx, Inc. Programmable low power modes for embedded memory blocks
US20050119549A1 (en) * 2003-12-01 2005-06-02 Anastassios Markas Embedded metal-programmable image processing array for digital still camera and camrecorder products
DE102004006769B3 (en) * 2004-02-11 2005-08-11 Infineon Technologies Ag readout device
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
KR100593439B1 (en) * 2004-02-24 2006-06-28 삼성전자주식회사 Memory module and signal line placement method
DE102004014472B4 (en) * 2004-03-24 2012-05-03 Infineon Technologies Ag Application specific semiconductor integrated circuit
TWI293757B (en) * 2004-05-27 2008-02-21 Novatek Microelectronics Corp Apparatus and method for reprogramming by using one-time programming element
US7373631B1 (en) * 2004-08-11 2008-05-13 Altera Corporation Methods of producing application-specific integrated circuit equivalents of programmable logic
US7406673B1 (en) 2004-08-12 2008-07-29 Xilinx, Inc. Method and system for identifying essential configuration bits
US7519823B1 (en) 2004-08-12 2009-04-14 Xilinx, Inc. Concealed, non-intrusive watermarks for configuration bitstreams
US7343578B1 (en) * 2004-08-12 2008-03-11 Xilinx, Inc. Method and system for generating a bitstream view of a design
US7498839B1 (en) * 2004-10-22 2009-03-03 Xilinx, Inc. Low power zones for programmable logic devices
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7802223B1 (en) * 2004-12-20 2010-09-21 Robert Paul Masleid Method and system for configurable contacts for implementing different bias designs of an integrated circuit device
US20060225020A1 (en) * 2005-04-01 2006-10-05 Anantha Chandrakasan Methods and apparatus for 3-D FPGA design
US7292063B2 (en) * 2005-05-02 2007-11-06 Lsi Corporation Method of interconnect for multi-slot metal-mask programmable relocatable function placed in an I/O region
US7498835B1 (en) 2005-11-04 2009-03-03 Xilinx, Inc. Implementation of low power standby modes for integrated circuits
US7345944B1 (en) 2006-01-11 2008-03-18 Xilinx, Inc. Programmable detection of power failure in an integrated circuit
US20080024165A1 (en) * 2006-07-28 2008-01-31 Raminda Udaya Madurawe Configurable embedded multi-port memory
US8705300B1 (en) * 2007-02-27 2014-04-22 Altera Corporation Memory array circuitry with stability enhancement features
US7610566B1 (en) 2007-03-22 2009-10-27 Tabula, Inc. Method and apparatus for function decomposition
WO2009035586A1 (en) 2007-09-06 2009-03-19 Tabula, Inc. Configuration context switcher
WO2011123151A1 (en) 2010-04-02 2011-10-06 Tabula Inc. System and method for reducing reconfiguration power usage
US8823405B1 (en) 2010-09-10 2014-09-02 Xilinx, Inc. Integrated circuit with power gating
US8753917B2 (en) * 2010-12-14 2014-06-17 International Business Machines Corporation Method of fabricating photoconductor-on-active pixel device
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9419624B2 (en) 2014-11-12 2016-08-16 Xilinx, Inc. Power management system for integrated circuits
US10778228B1 (en) * 2018-10-30 2020-09-15 Flex Logix Technologies, Inc. Reconfigurable data processing pipeline, and method of operating same

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644808A (en) * 1899-09-25 1900-03-06 John M Sharp Egg-case.
US5563526A (en) * 1994-01-03 1996-10-08 Texas Instruments Incorporated Programmable mixed-mode integrated circuit architecture
US5581501A (en) * 1995-08-17 1996-12-03 Altera Corporation Nonvolatile SRAM cells and cell arrays
US5625221A (en) * 1994-03-03 1997-04-29 Samsung Electronics Co., Ltd. Semiconductor assembly for a three-dimensional integrated circuit package
US5701233A (en) * 1995-01-23 1997-12-23 Irvine Sensors Corporation Stackable modules and multimodular assemblies
US5835405A (en) * 1993-12-13 1998-11-10 Lattice Semiconductor Corporation Application specific modules in a programmable logic device
US5844422A (en) * 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5943574A (en) * 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US5949710A (en) * 1996-04-10 1999-09-07 Altera Corporation Programmable interconnect junction
US6018476A (en) * 1996-09-16 2000-01-25 Altera Corporation Nonvolatile configuration cells and cell arrays
US6097211A (en) * 1996-07-18 2000-08-01 Altera Corporation Configuration memory integrated circuit
US6134173A (en) * 1991-09-03 2000-10-17 Altera Corporation Programmable logic array integrated circuits
US6271542B1 (en) * 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US20010047509A1 (en) * 2000-05-25 2001-11-29 Mason Jeffrey M. Modular design method and system for programmable logic devices
US6331784B1 (en) * 2000-07-28 2001-12-18 Atmel Corporation Secure programmable logic device
US6337579B1 (en) * 1999-03-05 2002-01-08 Rohm Co., Ltd. Multichip semiconductor device
US6424011B1 (en) * 1997-04-14 2002-07-23 International Business Machines Corporation Mixed memory integration with NVRAM, dram and sram cell structures on same substrate
US6448808B2 (en) * 1997-02-26 2002-09-10 Xilinx, Inc. Interconnect structure for a programmable logic device
US6480027B1 (en) * 1999-03-04 2002-11-12 Altera Corporation Driver circuitry for programmable logic devices
US6496887B1 (en) * 1998-03-16 2002-12-17 Actel Corporation SRAM bus architecture and interconnect to an FPGA
US6504742B1 (en) * 2001-10-31 2003-01-07 Hewlett-Packard Company 3-D memory device for large storage capacity
US20030023762A1 (en) * 2001-07-25 2003-01-30 Xilinx, Inc. Configurable communication integrated circuit
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6627985B2 (en) * 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US20040004496A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Field programmable gate array with convertibility to application specific integrated circuit
US20040196065A1 (en) * 2002-07-08 2004-10-07 Madurawe Raminda Udaya Programmable devices with convertibility to customizable devices
US20040212395A1 (en) * 2002-07-08 2004-10-28 Madurawe Raminda Udaya Three dimensional integrated circuits
US20040222817A1 (en) * 2002-07-08 2004-11-11 Madurawe Raminda Udaya Alterable application specific integrated circuit (ASIC)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679967A (en) * 1985-01-20 1997-10-21 Chip Express (Israel) Ltd. Customizable three metal layer gate array devices
US5583528A (en) * 1990-07-13 1996-12-10 Citizen Watch Co., Ltd. Electrooptical display device
US5191241A (en) * 1990-08-01 1993-03-02 Actel Corporation Programmable interconnect architecture
DE4340326A1 (en) * 1993-11-26 1995-06-01 Philips Patentverwaltung Communication system
JPH09205356A (en) * 1996-01-29 1997-08-05 Fujitsu Ltd Output circuit
JPH1031886A (en) * 1996-07-17 1998-02-03 Nec Corp Random access memory
US6782456B2 (en) * 2001-07-26 2004-08-24 International Business Machines Corporation Microprocessor system bus protocol providing a fully pipelined input/output DMA write mechanism

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US644808A (en) * 1899-09-25 1900-03-06 John M Sharp Egg-case.
US6134173A (en) * 1991-09-03 2000-10-17 Altera Corporation Programmable logic array integrated circuits
US5835405A (en) * 1993-12-13 1998-11-10 Lattice Semiconductor Corporation Application specific modules in a programmable logic device
US5563526A (en) * 1994-01-03 1996-10-08 Texas Instruments Incorporated Programmable mixed-mode integrated circuit architecture
US5625221A (en) * 1994-03-03 1997-04-29 Samsung Electronics Co., Ltd. Semiconductor assembly for a three-dimensional integrated circuit package
US5701233A (en) * 1995-01-23 1997-12-23 Irvine Sensors Corporation Stackable modules and multimodular assemblies
US5581501A (en) * 1995-08-17 1996-12-03 Altera Corporation Nonvolatile SRAM cells and cell arrays
US5949710A (en) * 1996-04-10 1999-09-07 Altera Corporation Programmable interconnect junction
US6097211A (en) * 1996-07-18 2000-08-01 Altera Corporation Configuration memory integrated circuit
US6018476A (en) * 1996-09-16 2000-01-25 Altera Corporation Nonvolatile configuration cells and cell arrays
US5844422A (en) * 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US6448808B2 (en) * 1997-02-26 2002-09-10 Xilinx, Inc. Interconnect structure for a programmable logic device
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6424011B1 (en) * 1997-04-14 2002-07-23 International Business Machines Corporation Mixed memory integration with NVRAM, dram and sram cell structures on same substrate
US6271542B1 (en) * 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6620659B2 (en) * 1997-12-08 2003-09-16 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US5943574A (en) * 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6496887B1 (en) * 1998-03-16 2002-12-17 Actel Corporation SRAM bus architecture and interconnect to an FPGA
US6480027B1 (en) * 1999-03-04 2002-11-12 Altera Corporation Driver circuitry for programmable logic devices
US6337579B1 (en) * 1999-03-05 2002-01-08 Rohm Co., Ltd. Multichip semiconductor device
US20010047509A1 (en) * 2000-05-25 2001-11-29 Mason Jeffrey M. Modular design method and system for programmable logic devices
US6331784B1 (en) * 2000-07-28 2001-12-18 Atmel Corporation Secure programmable logic device
US20030023762A1 (en) * 2001-07-25 2003-01-30 Xilinx, Inc. Configurable communication integrated circuit
US6504742B1 (en) * 2001-10-31 2003-01-07 Hewlett-Packard Company 3-D memory device for large storage capacity
US6627985B2 (en) * 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US20040004496A1 (en) * 2002-07-08 2004-01-08 Madurawe Raminda U. Field programmable gate array with convertibility to application specific integrated circuit
US20040196065A1 (en) * 2002-07-08 2004-10-07 Madurawe Raminda Udaya Programmable devices with convertibility to customizable devices
US20040212395A1 (en) * 2002-07-08 2004-10-28 Madurawe Raminda Udaya Three dimensional integrated circuits
US20040222817A1 (en) * 2002-07-08 2004-11-11 Madurawe Raminda Udaya Alterable application specific integrated circuit (ASIC)
US20050034094A1 (en) * 2002-07-08 2005-02-10 Raminda Udaya Madurawe Three dimensional integrated circuits

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9094014B2 (en) 2001-05-06 2015-07-28 Altera Corporation PLD architecture for flexible placement of IP function blocks
US8732646B2 (en) * 2001-05-06 2014-05-20 Altera Corporation PLD architecture for flexible placement of IP function blocks
US9547736B2 (en) 2002-07-08 2017-01-17 Callahan Cellular L.L.C. Timing exact design conversions from FPGA to ASIC
US9912336B2 (en) 2002-07-08 2018-03-06 Callahan Cellular L.L.C. Three dimensional integrated circuits
US20050034094A1 (en) * 2002-07-08 2005-02-10 Raminda Udaya Madurawe Three dimensional integrated circuits
US8429585B2 (en) 2002-07-08 2013-04-23 Raminda Udaya Madurawe Three dimensional integrated circuits
US9240790B2 (en) 2002-07-08 2016-01-19 Callahan Cellular L.L.C. Three dimensional integrated circuits
US10339245B2 (en) 2002-07-08 2019-07-02 Callahan Cellular L.L.C. Timing exact design conversions from FPGA to ASIC
US7777319B2 (en) * 2002-07-08 2010-08-17 Tier Logic, Inc. Three dimensional integrated circuits
US8856699B2 (en) 2002-07-08 2014-10-07 Raminda Udaya Madurawe Three dimensional integrated circuits
US20110102014A1 (en) * 2002-07-08 2011-05-05 Raminda Udaya Madurawe Three dimensional integrated circuits
US8829664B2 (en) 2002-07-08 2014-09-09 Raminda Udaya Madurawe Three dimensional integrated circuits
US10447272B2 (en) 2002-07-08 2019-10-15 Callahan Cellular L.L.C. Three dimensional integrated-circuits
US9679914B2 (en) 2002-10-08 2017-06-13 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US9070668B2 (en) 2002-10-08 2015-06-30 Yakimishu Co. Ltd. L.L.C. Pads and pin-outs in three dimensional integrated circuits
US9882567B2 (en) 2003-12-04 2018-01-30 Callahan Cellular L.L.C. Programmable structured arrays
US9397665B2 (en) 2003-12-04 2016-07-19 Callahan Cellular L.L.C. Programmable structured arrays
US10594320B2 (en) 2003-12-04 2020-03-17 Callahan Cellular L.L.C. Programmable structured arrays
US7934038B1 (en) 2004-08-27 2011-04-26 Xilinx, Inc. Embedded network media access controller
US7366807B1 (en) * 2004-08-27 2008-04-29 Xilinx, Inc. Network media access controller embedded in a programmable logic device—statistics interface
US7376774B1 (en) 2004-08-27 2008-05-20 Xilinx, Inc. Network media access controller embedded in a programmable logic device—host interface control generator
US7421528B1 (en) 2004-08-27 2008-09-02 Xilinx, Inc. Network media access controller embedded in a programmable logic device—address filter
US7761643B1 (en) 2004-08-27 2010-07-20 Xilinx, Inc. Network media access controller embedded in an integrated circuit host interface
US7991937B1 (en) 2004-08-27 2011-08-02 Xilinx, Inc. Network media access controller embedded in a programmable device—receive-side client interface
US7484022B1 (en) 2004-08-27 2009-01-27 Xilinx, Inc. Network media access controller embedded in a programmable logic device—host interface
US7461193B1 (en) 2004-08-27 2008-12-02 Xilinx, Inc. Network media access controller embedded in a programmable logic device—receive-side client interface
US8484608B2 (en) * 2005-03-14 2013-07-09 Lsi Corporation Base platforms with combined ASIC and FPGA features and process of using the same
US20100031222A1 (en) * 2005-03-14 2010-02-04 Lsi Corporation Base platforms with combined asic and fpga features and process of using the same
US7468616B1 (en) * 2006-08-30 2008-12-23 Xilinx, Inc. Circuit for and method of generating a delay in an input/output port of an integrated circuit device
US8148090B2 (en) * 2007-10-18 2012-04-03 Medical Proteoscope Co., Ltd. Method for prediction of postoperative prognosis and diagnosis kit
US20100221744A1 (en) * 2007-10-18 2010-09-02 Yousuke Fukui Method for prediction of postoperative prognosis and diagnosis kit
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
US9978773B2 (en) 2007-11-19 2018-05-22 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US10304854B2 (en) 2007-11-19 2019-05-28 Callahan Cellular L.L.C. Pads and pin-outs in three dimensional integrated circuits
US9087169B2 (en) 2008-09-14 2015-07-21 Raminda U. Madurawe Automated metal pattern generation for integrated circuits
JP2017195368A (en) * 2012-02-09 2017-10-26 株式会社半導体エネルギー研究所 Semiconductor device
US10600792B2 (en) 2012-02-09 2020-03-24 Semiconductor Energy Laboratory Co., Ltd. Programmable logic device and method for manufacturing semiconductor device
US9853053B2 (en) 2012-09-10 2017-12-26 3B Technologies, Inc. Three dimension integrated circuits employing thin film transistors
US10475815B2 (en) 2013-09-09 2019-11-12 Tacho Holdings, Llc Three dimension integrated circuits employing thin film transistors
CN109086003A (en) * 2018-07-18 2018-12-25 成都忆芯科技有限公司 Reduce the method and its Media Interface Connector controller of IC power consumption
CN114172125A (en) * 2021-11-24 2022-03-11 北京卫星制造厂有限公司 Power supply and distribution protection device for solid electronic switch

Also Published As

Publication number Publication date
US20040004496A1 (en) 2004-01-08
US6747478B2 (en) 2004-06-08

Similar Documents

Publication Publication Date Title
US10447272B2 (en) Three dimensional integrated-circuits
US10339245B2 (en) Timing exact design conversions from FPGA to ASIC
US6747478B2 (en) Field programmable gate array with convertibility to application specific integrated circuit
US7345505B2 (en) Alterable application specific integrated circuit (ASIC)

Legal Events

Date Code Title Description
AS Assignment

Owner name: VICICIV TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MADURAWE, RAMINDA U;REEL/FRAME:019520/0314

Effective date: 20070705

Owner name: VICICIV TECHNOLOGY, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MADURAWE, RAMINDA U;REEL/FRAME:019520/0314

Effective date: 20070705

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: YAKIMISHU CO. LTD., LLC, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TIER LOGIC, INC.;REEL/FRAME:026839/0396

Effective date: 20110724

AS Assignment

Owner name: TIER LOGIC, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:VICICIV TECHNOLOGY, INC.;REEL/FRAME:026971/0133

Effective date: 20080618

AS Assignment

Owner name: LIBERTY PATENTS LLC, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES ASSETS 154 LLC;REEL/FRAME:051632/0864

Effective date: 20191230