US20040005507A1 - Methods and systems for lithography process control - Google Patents

Methods and systems for lithography process control Download PDF

Info

Publication number
US20040005507A1
US20040005507A1 US10/401,509 US40150903A US2004005507A1 US 20040005507 A1 US20040005507 A1 US 20040005507A1 US 40150903 A US40150903 A US 40150903A US 2004005507 A1 US2004005507 A1 US 2004005507A1
Authority
US
United States
Prior art keywords
wafer
resist
property
module
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/401,509
Inventor
Suresh Lakkapragada
Kyle Brown
Matt Hankinson
Ady Levy
Ibrahim Abdul-Halim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Tencor Technologies Corp
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to US10/401,509 priority Critical patent/US20040005507A1/en
Assigned to KLA-TENCOR TECHNOLOGIES CORP. reassignment KLA-TENCOR TECHNOLOGIES CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ABDUL-HALIM, IBRAHAM, BROWN, KYLE A., HANKINSON, MATT, LAKKAPRAGADA, SURESH, LEVY, ADY
Publication of US20040005507A1 publication Critical patent/US20040005507A1/en
Priority to US11/345,145 priority patent/US7462814B2/en
Priority to US12/328,123 priority patent/US7767956B2/en
Priority to US12/778,994 priority patent/US20100279213A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Definitions

  • This invention generally relates to systems and methods for evaluating and controlling semiconductor fabrication processes. Certain embodiments relate to systems and methods for evaluating and/or controlling a lithography process by measuring a property of a resist and controlling a process step involved in the lithography process.
  • Lithography involves transferring a pattern to a resist formed on a semiconductor substrate, which may be commonly referred to as a wafer.
  • a reticle, or a mask may be disposed above the resist and may have substantially transparent regions and substantially opaque regions configured in a pattern that may transferred to the resist.
  • substantially opaque regions of the reticle may protect underlying regions of the resist from exposure to an energy source.
  • the resist may, therefore, be patterned by selectively exposing regions of the resist to an energy source such as ultraviolet light, a beam of electrons, or an x-ray source.
  • the patterned resist may then be used to mask underlying layers in subsequent semiconductor fabrication processes such as ion implantation and etch. Therefore, a resist may substantially inhibit an underlying layer such as a dielectric material or the semiconductor substrate from implantation of ions or removal by etch.
  • the minimum feature size which may be successfully fabricated may often be limited by performance characteristics of a lithography process.
  • performance characteristics of a lithography process include, but are not limited to, resolution capability, across chip linewidth variations, and across wafer linewidth variations.
  • performance characteristics such as resolution capability of the lithography process may often be limited by the quality of the resist application, the performance of the resist, the exposure tool, and the wavelength of light which is used to expose the resist.
  • the ability to resolve a minimum feature size may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process or an exposure dose of an exposure process. As such, controlling the critical parameters of lithography processes is becoming increasingly important to the successful fabrication of semiconductor devices.
  • One strategy to improve the performance characteristics of a lithography process may involve controlling and reducing variations in critical parameters of the lithography process.
  • one critical parameter in a lithography process may be the post exposure bake temperature.
  • a chemical reaction in an exposed portion of a chemically amplified resist may be driven and controlled by heating the resist subsequent to the exposure process.
  • Such a resist may include, but may not be limited to, a resin and a photo-acid generating compound.
  • the temperature of a post exposure bake process may drive generation and diffusion of a photo-generated acid in the resist that causes deblocking of the resin. Deblocking of the resin may substantially alter the solubility of the resist such that it may be removed by exposure to an aqueous developer solution in a subsequent developing process.
  • temperature-controlled diffusion in the exposed resist may affect physical dimensions of remaining resist, or resolved features.
  • variations in temperature across a bake plate of a post exposure bake process module may cause variations in the dimensions of the features at various positions on a wafer. Therefore, the resolution capability of a lithography process may be improved by reducing temperature variations across the bake plate of a post exposure bake process module.
  • An embodiment of the invention relates to a method for reducing within wafer (“WIW”) variation of a critical metric of a lithography process.
  • a critical metric of a lithography process may include, but is not limited to, a critical dimension of features formed during the lithography process and overlay.
  • Critical dimensions of features formed during a lithography process may include, for example, a width, a height, and a sidewall profile of the features.
  • Overlay generally refers to a lateral position of a feature on one level of a wafer with respect to a lateral position of a feature on another level of the wafer.
  • the lithography process may include optical lithography, e-beam lithography, or x-ray lithography.
  • the method may include measuring at least one property of a resist disposed upon a wafer during the lithography process.
  • the method may include measuring at least the one property of the resist at various locations across the wafer.
  • the method may include measuring at least the one property of the resist between steps of the lithography process or during a step of the lithography process.
  • the method may include measuring at least one property of a resist disposed upon at least two wafers during the lithography process.
  • At least the one property may include, but may not be limited to, a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, overlay, or any combination thereof.
  • a latent image generally refers to an image that may be formed in an exposed resist subsequent to a post exposure bake process.
  • the method may further include altering at least one parameter of a process module, configured to perform a step of the lithography process, in response to at least the one measured property of the resist.
  • the process module may include, but may not be limited to, a surface preparation module, a coat module, a bake module, an expose module, or a develop module.
  • the method may include altering at least one parameter of a process module in response to at least the one measured property of the resist disposed upon at least the two wafers.
  • At least the one parameter may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
  • Altering at least the one parameter may include processing a first portion of a wafer with a first set of process conditions during the step and processing a second portion of the wafer with a second set of process conditions during the step.
  • a portion of the wafer coated with a thicker resist may be exposed with a higher exposure dose than a portion of the wafer coated with a thinner resist in response to the measured thickness variation.
  • a portion of a wafer coated with a thicker resist may be heated to a higher temperature during a post exposure back process than a portion of the wafer coated with a thinner resist in response to a measured thickness variation.
  • process conditions of a lithography process step may vary across a wafer such that a critical metric of the lithography process may be substantially uniform across the wafer despite variations in resist properties.
  • An additional embodiment relates to a system configured to reduce within wafer variation of a critical metric of a lithography process.
  • the critical metric may include a critical dimension of a feature formed by the lithography process or any of the critical metrics as described above.
  • the system may include at least one measurement device. At least the one measurement device may be configured to measure at least one property of a resist disposed upon a wafer during the lithography process. For example, at least the one measurement device may be configured to measure at least the one property of the resist at various locations across the wafer. In addition, at least the one measurement device may be configured to measure at least the one property of the resist between steps of the lithography process.
  • At least the one measurement device may be configured to measure at least the one property of the resist during a step of the lithography process.
  • a measurement device may be integrated into a lithography cluster tool as described herein. Because a property of the resist may be measured during a lithography process, a method as described herein may have a quicker turn around time than conventional lithography process control methods. Therefore, a method as described herein may yield a larger number of semiconductor devices having relatively high performance bin characteristics.
  • At least the one property may include any of the properties as described herein.
  • the system may also include a process module configured to perform a step of the lithography process.
  • the process module may include, for example, a surface preparation module, a coat module, a bake module, an expose module, or a develop module.
  • At least one parameter of the process module may be altered in response to at least the one measured property such that the within wafer variation of the critical metric may be reduced.
  • at least the one parameter of the process module may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
  • At least the one parameter of the process module may also be altered such that a first portion of the wafer can be processed with a first set of process conditions during the step and such that a second portion of the wafer can be processed with a second set of process conditions during the step.
  • the system may also include a controller computer coupled to at least the one measurement device and the process module.
  • the controller computer may be configured to receive at least one measured property of the resist from the measurement device.
  • the controller computer may also be configured to alter at least one parameter of the process module in response to at least the one measured property.
  • a further embodiment relates to a method for fabricating a semiconductor device.
  • the method may include measuring at least one property of a resist disposed upon a wafer during a lithography process.
  • the method may also include altering at least one parameter of at least one process module in response to at least the one measured property of the resist to reduce within wafer variation of a critical metric of the lithography process.
  • the method may include processing the wafer to from at least a portion of at least one semiconductor device upon the wafer.
  • processing the wafer may include etching, ion implantation, deposition, chemical mechanical polishing, or plating. In this manner, semiconductor devices formed by the method may have higher performance bin distributions thereby improving not only yield but also high margin product yield.
  • FIG. 1 depicts a flow chart illustrating a method for evaluating and controlling a lithography process
  • FIG. 2 depicts a plan view of a bake plate of a post exposure bake process module having a number of discrete secondary heating elements in addition to an overall primary heating element.
  • FIG. 1 illustrates an embodiment of a method to evaluate and control performance characteristics of a lithography process.
  • the method may be used to reduce, and even to minimize, within wafer (“WIW”) variability of critical metrics of the lithography process.
  • Critical metrics of a lithography process may include, but are not limited to, critical dimensions of features formed by the lithography process and overlay.
  • Critical dimensions of features formed during the lithography process may include, for example, a width, a height, and a sidewall profile of the features.
  • a sidewall profile of a feature may be described, for example, by a sidewall angle of the feature with respect to an upper surface of a wafer, a roughness of the sidewall of the feature, and other physical characteristics of the feature.
  • Overlay generally refers to a lateral position of a feature on one level of a wafer with respect to a lateral position of a feature on another level of the wafer.
  • the lithography process may include optical lithography, e-beam lithography, or x-ray lithography.
  • a lithography cluster tool may include a set of process modules.
  • An example of a lithography cluster tool is illustrated in U.S. Pat. No. 5,968,691 to Yoshioka et al., and is incorporated by reference as if fully set forth herein.
  • the lithography cluster tool may be coupled to an exposure tool.
  • a first portion of the process modules may be configured to perform at least one step of the lithography process prior to exposure of the resist.
  • a second portion of the process modules may be configured to perform process steps of the lithography process subsequent to exposure of the resist.
  • the lithography cluster tool may also include at least one robotic wafer handler.
  • the robotic wafer handler may move wafers from module to module.
  • the robotic wafer handler may also be used to move wafers from the lithography cluster tool to the exposure tool.
  • the robotic wafer handler may pick up a wafer from a cassette, which may be loaded into the lithography cluster tool by an operator.
  • the cassette may contain a number of wafers which may be processed during the lithography process.
  • the wafers may be bare silicon wafers.
  • the wafers may have been processed prior to the lithography process.
  • topographical features may have been formed on the wafers.
  • the topographical features may include trenches, vias, lines, etc.
  • one or more layers of a material such as a dielectric material may have been formed on the wafers prior to the lithography process.
  • the wafer may be placed in a process module such as a surface preparation chamber, as shown in step 12 .
  • the surface preparation chamber may be configured to form a layer of an adhesion promoting chemical such as hexamethyldisilazane (“HMDS”) onto the surface of the wafer.
  • HMDS may be deposited at a temperature of approximately 80° C. to approximately 180° C. Therefore, after the surface preparation process, the robotic wafer handler may remove the wafer from the surface preparation chamber and may place the wafer into a chill module, as shown in step 14 . As such, a wafer may be lowered to a temperature suitable for subsequent processing (e.g., approximately 20° C. to approximately 25° C.).
  • an anti-reflective coating may also be formed on the surface of the wafer.
  • the anti-reflective coating may be formed on the wafer, for example, by spin coating followed by a post apply bake process. Since a post apply bake process for an anti-reflective coating generally involves heating a coated wafer to a temperature of approximately 175° C. to approximately 230° C., a chill process may also be performed subsequent to the post apply bake process.
  • a resist may be formed upon the wafer, as shown in step 16 .
  • the wafer may be placed into a resist apply process module.
  • a resist may be automatically dispensed onto an upper surface of the wafer.
  • the resist may be uniformly distributed across the wafer by spinning the wafer at a high rate of speed such as about 2000 rpm to about 4000 rpm. The spinning process may adequately dry the resist such that the wafer may be removed from the resist apply module without affecting the coated resist.
  • the resist-coated wafer may be heated in a post apply bake process.
  • the post apply bake process may include heating the resist-coated wafer at a temperature of approximately 90° C. to approximately 140° C.
  • the post apply bake process may be used to drive excess solvent out of the resist and to alter a property of an upper surface of the resist such as surface tension. Subsequent to the post apply bake process, the wafer may to be chilled at a temperature of approximately 20° C. to approximately 25° C., as shown in step 20 .
  • the method may also include measuring a property of the resist formed upon the wafer subsequent to chilling.
  • the wafer may be moved to a measurement device, or a within wafer film measurement device, subsequent to chilling after the post apply bake step.
  • the wafer may remain in the chill module during measurement if, for example, the measurement device is coupled to the chill module.
  • the measurement device may be any device configured to use an optical technique to measure at least one property of the resist.
  • the measurement device may also be configured to measure at least one property of the resist at more than one position on the wafer.
  • the optical technique may include, but is not limited to, scatterometry, interferometry, reflectometry, spectroscopic ellipsometry or spectroscopic reflectometry.
  • the measurement device may measure at least one property of the resist.
  • the measurement device may measure several properties of the resist substantially simultaneously.
  • a property of the resist measured subsequent to a post apply bake process may include, but is not limited to, a thickness, an index of refraction, or an extinction coefficient of the resist.
  • the measured property may be sent to a controller computer, or a within wafer film controller, as shown in step 24 .
  • the controller computer may be coupled to the measurement device.
  • the controller computer may determine a parameter of a process step of the lithography process in response to the measured property of the resist. For example, the controller computer may determine a parameter of a process step as a function of the resist using an experimentally determined or numerically simulated relationship.
  • the controller computer may also be coupled to at least one process module of the lithography cluster tool.
  • the controller computer may be configured to alter a parameter of a process module of a lithography cluster tool. Therefore, the controller computer may control the operation of any of the process modules included in the lithography cluster tool.
  • a parameter of a process module may be altered manually by an operator in response to output from the measurement device or the controller computer.
  • a feedforward control technique may be used to alter a parameter of a process module.
  • an operator or a controller computer may determine at least one parameter of a process module that may be used to perform an additional lithography process step on the measured resist. Additional lithography process steps may include exposure and post exposure bake.
  • the property of the resist may be used to alter a parameter of a process module configured to perform an exposure step or a post exposure bake step.
  • a thickness, an index of refraction, and/or an extinction coefficient of the resist measured subsequent to the chilling process may be used to determine an exposure dose of an exposure process or a temperature of the post exposure bake process.
  • An operator or the controller computer may alter at least one parameter of the exposure process module or the post exposure bake process module in response to the determined exposure dose or temperature, respectively.
  • At least one property of the resist may be measured at various positions across the wafer
  • at least one parameter may be determined for each of the various positions.
  • a parameter of a process module may also be altered, as described above, independently from field to field on the wafer.
  • process conditions such as exposure dose and/or post exposure bake temperature may vary across the wafer in subsequent processes in response to variations in at least one measured property from field to field across the wafer. In this manner, critical metrics of the lithography process may be substantially uniform across the wafer.
  • a feedback control technique may be used to alter a parameter of a process module.
  • a parameter of at least one process module that may have been used to form the resist may be altered prior to or during processes to form resist on additional wafers.
  • Additional wafers may include a wafer included in the same lot as the measured wafer or a wafer included in a different lot than the measured wafer.
  • Such a parameter may be determined in response to at least the one measured property of the resist as described above.
  • the property of the resist may be used to alter a parameter of the resist apply process module or the post apply bake process module prior to and/or during processing of additional wafers.
  • the wafer may be transferred to an exposure process module.
  • the exposure process module may perform a number of operations that may include, but are not limited to, aligning a wafer and exposing the resist in a predetermined pattern.
  • the exposure process module may include any stepper or scanner known in the art.
  • Exposing the resist may also include exposing the resist to a specific intensity of light, or an exposure dose, and a specific focus condition.
  • Many exposure process to modules may be configured such that the exposure dose and focus conditions of the expose process may be varied across the wafer, for example, from field to field.
  • the exposure dose and focus conditions may be determined and/or altered as described herein using a feedback or feedforward control technique.
  • an optional process step in the lithography process may include an edge exposure step.
  • the edge exposure step may include exposing resist disposed proximate an outer edge of the wafer to a light source to remove the resist at the outer edge of the wafer. Such removal of the resist at the outer edge of a wafer may reduce contamination of process chambers and devices used in subsequent processes.
  • the wafer may be subjected to a post exposure bake process step.
  • the post exposure bake process may be used to drive a chemical reaction in exposed portions of the resist such that portions of the resist may be removed in subsequent processing.
  • the performance of the post exposure bake process may be critical to the performance of the lithography process.
  • the post exposure bake process may include heating the wafer to a temperature of approximately 90° C. to approximately 150° C.
  • a measurement device, or a within wafer critical dimension measurement device may be coupled to the post exposure bake process module. In this manner, a property of the resist may be measured during the post exposure bake process.
  • the measurement device may use an optical technique to measure a property of the resist such as thickness, linewidth of a latent image, height of a latent image, index of refraction, or extinction coefficient.
  • the measurement device may be configured to use a technique such as scatterometry, interferometry, reflectometry, spectroscopic ellipsometry, and spectroscopic reflectometry. Additional examples of measurement devices may include any of the measurement devices as described herein. Therefore, the measured property of the resist may be used to evaluate and control the post exposure bake process using an in situ control technique.
  • the measurement device may measure a property of the resist during the post exposure bake process, and a parameter of the post exposure bake process module may be altered in response to the measured property during the process.
  • the measurement device may be used to measure a property of the resist at various times during a post exposure bake process.
  • the measurement device may monitor variations in at least one property of the resist over time. In this manner, a signature characteristic of an endpoint of the post exposure bake process may be determined, and at which time, the process may be ended.
  • Monitoring variations in at least one property of the resist during the post exposure bake process may also be enhanced by measuring at least one property of the resist at multiple positions on the wafer.
  • the measurement device may be configured to measure a property of the resist at multiple positions within a field and at multiple positions within at least two fields on the wafer during the post exposure bake process.
  • at least one parameter of the process module may be determined at various positions across the wafer.
  • a parameter of the post exposure bake module may be altered independently as described above from field to field on the wafer.
  • a temperature of a bake plate of the post exposure bake process module may vary across the bake plate during the post exposure bake process in response to variations in at least one measurement property of the resist from field to field across the wafer. Therefore, within wafer variations of critical parameters may be reduced, or even minimized.
  • a temperature of the post exposure bake plate may be altered across the bake plate by using a number of discrete secondary heating elements 48 disposed within primary heating element 50 .
  • Secondary heating elements 48 and primary heating element 50 may include resistive heating elements or any other heat source known in the art.
  • Secondary heating elements 48 may be independently controlled, for example, by altering an electrical current supplied to each of the secondary heating elements to alter a temperature profile of primary heating element 50 .
  • a temperature profile across a wafer during a post exposure bake process may be altered such that individual fields on a wafer may be heated at substantially the same temperature or at individually determined temperatures. In this manner, a uniformity of critical metrics of a lithography process across a wafer may be increased.
  • the wafer may be chilled. Subsequent to chilling, the wafer may be moved to a measurement device. Alternatively, the wafer may remain in the chill module during measurement if, for example, the measurement device is coupled to the chill module.
  • the measurement device may be configured as any measurement device as described herein.
  • the measurement device may measure at least one property of the resist. In addition, the measurement device may measure several properties of the resist substantially simultaneously.
  • a property of the resist measured subsequent of during the chill process may include, but is not limited to, a thickness, a linewidth of a latent image, a height of a latent image, an index of refraction, or an extinction coefficient.
  • the measured property of the resist may be used to alter a parameter of a process module of the lithography cluster tool using a feedback control technique or a feedforward control technique.
  • the measured property of the resist may be used to alter an exposure dose or a post exposure bake temperature using a feedback control technique or to alter a develop time using a feedforward control technique.
  • the measurement device may be configured to measure a property of the resist at multiple positions within a field and at multiple positions within at least two fields on the wafer subsequent to or during the chill process.
  • at least one parameter of a process module of a lithography cluster tool may be determined at various positions across the wafer.
  • a parameter of an exposure process module, a post exposure bake process module, or a develop process module may be altered independently as described above from field to field on the wafer.
  • a temperature of a bake plate of the post exposure bake process module may vary across the bake plate in response to variations in at least one measurement property of the resist from field to field across the wafer. As described above, therefore, within wafer variations of critical parameters may be reduced, or even minimized.
  • the wafer may be subjected to a develop process step.
  • the develop process step may be configured to remove a portion of the resist.
  • a develop process may include dispensing an aqueous developer solution on a wafer subsequent to a post exposure bake process and rinsing the wafer with de-ionized water. Resist remaining after the develop process step may define a pattern formed in the original resist layer. The formed pattern may include an arrangement of lines, spaces, trenches, and/or vias.
  • a measurement device or a within wafer critical dimension measurement device, may be used to measure a property of the resist such as, but not limited to, a thickness, an index of refraction, or an extinction coefficient of the remaining resist, a width, a height, or a sidewall profile of a feature, or overlay.
  • the measured property may be sent to a controller computer, or within wafer critical metric controller, as shown in step 46 .
  • a parameter of a process module involved in the lithography process may be altered in response to the measured property using a feedback control technique.
  • the altered parameter of the process module may be a function of the measured property of the resist.
  • the feedback control technique may include, for example, measuring a linewidth of features formed in the resist subsequent to the develop process step and altering a parameter of an expose process module or a post exposure bake process module, which may be used to fabricate additional wafers.
  • a linewidth of features formed in the resist may be measured at various positions across the wafer subsequent to the develop process step.
  • parameters of an expose process module may be altered at the field level in response to the measured properties of the resist by altering parameters of the expose process step such as the exposure dose and the exposure focus conditions at each field.
  • the controller computer may provide a two-dimensional array of exposure doses and/or exposure focus conditions to the exposure process module in response to the measured property of the resist. Therefore, within wafer critical metrics of the lithography process may be reduced, or even minimized.
  • a hard bake, or post develop bake, process step may be performed.
  • the hard bake process may be used to drive contaminants and any excess water from the resist. Therefore, the hard bake process may include heating the wafer at a temperature of approximately 90° C. to approximately 130° C.
  • the temperature of the wafer may then be reduced by using a wafer chill process.
  • an additional measurement of at least one property of the resist may be performed as described herein, as shown in step 44 .
  • the measurement device may be configured as described in any of the above embodiments.
  • This measurement may also be used to alter a parameter of a process module using a feedback control technique as described herein.
  • at least one measured property of a resist may be sent to a controller computer, or a within wafer critical dimension controller, as shown in step 48 .
  • the method may also include measurements at additional points in a lithography process such as measuring at least one property of an anti-reflective coating subsequent to forming the anti-reflective coating on a wafer.
  • the property of the anti-reflective coating may be used to alter a parameter of a process module using a feedback control technique, a feedforward control technique, or an in situ control technique as described herein.
  • a system configured to evaluate and control a lithography process may include at least one measurement device and at least one process module.
  • the system may be configured to reduce, and even to minimize, within wafer variability of at least one critical metric of the lithography process.
  • Critical metrics of a lithography process include, but are not limited to, critical dimensions of features formed by the lithography process and overlay as described above.
  • a measurement device may be configured to measure at least one property of a resist disposed upon a wafer during the lithography process.
  • a measurement device may include within wafer film measurement device 22 , within wafer critical dimension measurement device 32 , within wafer critical dimension measurement device 38 , and/or within wafer critical dimension measurement device 44 .
  • Such measurement devices may be configured as described herein.
  • the system may include additional measurement devices as described herein.
  • the measurement device may be configured to measure the property of the resist during any of the process steps as described above or subsequent to any of the process steps as described above.
  • the measurement device may be coupled to at least one of the process modules such that the measurement device may perform an in situ measurement of a resist.
  • the measurement device may be disposed within a lithography cluster tool such that the measurement device may perform a measurement of a resist between two process steps.
  • a method as described herein may have a quicker turn around time than conventional lithography process control methods.
  • at least the one measured property may include a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, a sidewall profile of a feature, overlay, or any combination thereof.
  • At least the one measurement device may also be configured to measure at least the one property of the resist at various locations across the wafer. For example, a thickness of the resist may be measured at various positions or fields across the wafer. In addition, a property of the resist may be measured at various positions within a field of the wafer or at various positions within several fields of the wafer.
  • a process module may be configured to perform a step of the lithography process.
  • process modules may include, but are not limited to, surface preparation chamber 12 , resist apply process module 16 , post apply bake process module 18 , exposure process module 26 , post exposure bake process module 30 , develop process module 36 , and hard bake process module 40 .
  • At least one parameter of the process module may be altered in response to at least the one measured property such that within wafer variation of the critical metric can be reduced, or even minimized.
  • at least one parameter of a process module may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
  • At least the one parameter of the process module may be altered such that a first portion of the wafer may be processed with a first set of process conditions during a step of the lithography process and such that a second portion of the wafer may be processed with a second set of process conditions during the step.
  • each portion of the wafer may be a field of the wafer.
  • each field of the wafer may be subjected to a different process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures.
  • process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures.
  • the system may also include a controller computer coupled to at least one measurement device and to at least one process module.
  • a controller computer may include within wafer film controller 24 and within wafer critical dimension controller 48 .
  • the controller computer may include any appropriate controller device known in the art.
  • the controller computer may be configured to receive at least one measured property of the resist from the measurement device.
  • the controller computer may be configured to determine at least one parameter of a process module in response to the measured property of the resist.
  • the controller computer may be configured to use an experimentally determined or a numerically simulated relationship between the property and the parameter to determine a parameter in response to the property.
  • the controller computer may be further configured to control the process module such that the parameter may be altered in response to the determined parameter. Therefore, the altered parameter of the process step may be a function of at least one measured property of the resist.
  • the controller computer may also be configured to control the measurement device to measure the physical property of the resist.
  • the system may be configured to monitor variations in at least one property of the resist.
  • a measurement device may be configured to measure a property of the resist substantially continuously or at predetermined time intervals during a step of the lithography process.
  • a controller computer coupled to the system may, therefore, receive the measured property from the measurement device and may monitor variations in the property over the duration of a process step of the lithography process.
  • the controller computer may also generate a signature representative of a process step such as a post exposure bake process.
  • the signature may include at least one singularity which may be characteristic of an endpoint of the post exposure bake process.
  • An appropriate endpoint for the process step may be a linewidth or a thickness of a latent image in the resist formed during the post exposure bake process.
  • the linewidth or the thickness of the latent image may be larger or smaller depending upon the semiconductor device feature being fabricated by the lithography process.
  • a method for fabricating a semiconductor device may include a lithography process in which a pattern may be transferred from a reticle to a resist. For example, portions of the resist may be removed using a lithography process such that regions of the wafer or an underlying layer may be exposed to a subsequent process such as an ion implantation process. The predetermined regions may be regions of the wafer or the underlying layer in which features of a semiconductor device are to be formed such as, for example, source/drain junctions. Fabricating a semiconductor device may also include evaluating and controlling a lithography process by measuring at least one property of a resist disposed upon a wafer during the lithography process. In addition, measuring at least one property of the resist may include measuring within wafer variations in at least one property of the resist during the lithography process. The physical property of the resist may be altered by a process step of the lithography process.
  • the method for fabricating a semiconductor device may also include determining and/or altering at least one parameter of a process module which may be configured to perform a step of the lithography process.
  • the altered parameter may be determined in response to at least one measured property of the resist to reduce within wafer variations of a critical metric of the lithography process.
  • the altered parameter may be determined using a function which describes a relationship between the physical property of the resist and a parameter of the process step of the lithography process.
  • the altered parameter may also be determined independently at various positions within a field or within several fields of the wafer. In this manner, semiconductor devices fabricated by the method may have higher performance bin distributions thereby improving not only yield but also high margin product yield.
  • the method for fabricating a semiconductor device may include processing a wafer to form at least a portion of at least one semiconductor device upon the wafer.
  • processing the wafer may include at least one semiconductor fabrication process such as etching, ion implantation, deposition, chemical mechanical polishing, plating, and/or any other semiconductor fabrication process known in the art.
  • a set of data may be collected and analyzed that may used to determine a parameter of a process module in response to a measured property of a resist formed upon a wafer.
  • Process control methods as described herein may also be used to further optimize a lithography process by using optical measurements as described herein in conjunction with electrical measurements of a semiconductor device that may be formed with the lithography process.
  • the combination of optical and electrical measurements may provide a larger amount of characterization data for a lithography process. In this manner, the characterization data may be used to understand the mechanisms of lithography, to pin-point the cause of defects, and to make accurate adjustments to parameters of various process modules, or the process conditions.
  • process control strategy may be used to qualify, or characterize the performance of, a new lithography tool.
  • the process control method may also be used to compare the performance of several similar lithography tools. Such a comparison may be used, for example, in a manufacturing environment in which several tools may be used in parallel to manufacture one device or product.
  • this process control strategy may be used to determine an appropriate resist and thickness in the development stages of defining a lithography process.
  • a quantitative relationship may be developed between a parameter of a process module that may be varied and a property of a resist. For example, a number of wafers may be processed using variations of a parameter of the process module. All other parameters of the process module and additional process modules may remain constant, and a correlation between the varied parameter and a property of the resist may be developed. In this manner, an algorithm that describes the quantitative relationship between each of the process parameters for a process module and the measured property of the resist may be determined.
  • the developed algorithms may be used during processing of product wafers to determine if the process is operating within design tolerance for a process and a process module. Additionally, algorithms may be developed and used to further optimize a current process, to characterize a new process module, or to develop processes to fabricate next generation devices.
  • this algorithm may be integrated into a controller for a measurement device or a process module
  • the controller may by a computer system configured to operate software to control the operation of a measurement device such as a scatterometer, an interferometer, a reflectometer, a spectroscopic ellipsometer, or a spectroscopic reflectometer.
  • the computer system may include a memory medium on which computer programs for operating the device and performing, calculations related to the collected data.
  • memory medium is intended to include an installation medium, e.g., a CD-ROM, or floppy disks, a computer system memory such as DRAM, SRAM, EDO RAM, Rambus RAM, etc., or a non-volatile memory such as a magnetic media, e.g., a hard drive, or optical storage.
  • the memory medium may include other types of memory as well, or combinations thereof.
  • the memory medium may be located in a first computer in which the programs are executed, or may be located in a second different computer that connects to the first computer over a network. In the latter instance, the second computer provides the program instructions to the first computer for execution.
  • the computer system may take various forms, including a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (PDA), television system or other device.
  • PDA personal digital assistant
  • the term “computer system” may be broadly defined to encompass any device having a processor which executes instructions from a memory medium.
  • the memory medium preferably stores a software program for the operation of a measurement device and/or a process module.
  • the software program may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others.
  • the software program may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (MFC), or other technologies or methodologies, as desired.
  • a CPU such as the host CPU, executing code and data from the memory medium includes a means for creating and executing the software program according to the methods described above.
  • Various embodiments further include receiving or storing instructions and/or data implemented in accordance with the foregoing description upon a carrier medium.
  • Suitable carrier media include memory media or storage media such as magnetic or optical media, e.g., disk or CD-ROM, as well as signals such as electrical, electromagnetic, or digital signals, conveyed via a communication medium such as networks and/or a wireless link.
  • the software for a measurement device may then be used to monitor and predict the processing conditions of subsequent lithography processes.
  • the predefined algorithm for a process step of the lithography process may be incorporated into the software package that interfaces with the measurement device.
  • the software may be configured to receive data that may be measured by the measurement device.
  • the software may also be configured to perform appropriate calculations to convert the data into properties of the resist.
  • the software may also be configured to compare a property of a resist formed on a product wafer to a property of a resist formed on a reference wafer for a lithography process.
  • the software may be configured to convert variations in the properties to variations that may occur in the process conditions.
  • the software may also be configured to convert the properties of a resist into meaningful data about the process conditions of the lithography process including a characteristic of an exposure step or a characteristic of a post exposure bake step.
  • a method to evaluate and control a lithography process using field level analysis as described above may provide dramatic improvements over current process control methods. Measuring within wafer variability of critical metrics, or critical dimensions, may provide tighter control of the critical dimension distribution.
  • the method described above may also enable a manufacturing process to locate the distribution performance of manufactured devices closer to a higher performance level. As such, the high margin product yield may also be improved by using such a method to evaluate and control a lithography process.
  • additional variations in the lithography process may also be minimized.
  • a process may use two different post exposure bake units to process one lot of wafers. Two bake units may be used to perform the same process such that two wafers may be processed simultaneously in order to reduce the overall processing time. Therefore, the above method may be used to evaluate and control each bake unit separately. As such, the overall process spread may also be reduced.
  • the data gathered in accordance with the present invention may be analyzed, organized and displayed by any suitable means.
  • the data could be grouped across the wafer as a continuous function of radius, binned by radial range, binned by stepper field, by x-y position (or range of x-y positions, such as on a grid), by nearest die, and/or other suitable methods.
  • the variation in data may be reported by standard deviation from a mean value, the range of values, and/or any other suitable statistical method.
  • the extent of the within wafer variation may be analyzed as a function of wafer, lot and/or process conditions.
  • the within wafer standard deviation of the measured CD may be analyzed for variation from lot to lot, wafer to wafer, and the like. It may also be grouped, reported and/or analyzed as a function of variation in one or more process conditions, such as develop time, photolithographic exposure conditions, resist thickness, post exposure bake time and/or temperature, pre-exposure bake time and/or temperature, and the like. It may also or instead be grouped, reported and/or analyzed as a function of within wafer variation in one or more of such processing conditions.
  • the data gathered in accordance the present invention may be used not just to better control process conditions, but also where desirable to better control in situ endpointing and/or process control techniques.
  • data gathered in accordance the present invention may be used in conjunction with an apparatus such as that set forth in U.S. Pat. No. 5,689,614 and/or Published European patent Application No. EP 1066925 A2, which are hereby incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms..
  • an apparatus such as that set forth in U.S. Pat. No. 5,689,614 and/or Published European patent Application No. EP 1066925 A2, which are hereby incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms.
  • Within wafer variation data could be fed forward or back to such a tool to optimize the algorithms used in control of local wafer heating or polishing, or even to optimize the tool design.
  • wafer variation data could be used to control or optimize a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein.
  • wafer variation data taken, for example from stand alone and/or integrated measurement tools could be used to better control and/or optimize the algorithms, process parameters and integrated process control apparatuses and methods in such tools or processes.
  • Data regarding metal thickness and its within wafer variation could be derived from an x-ray reflectance tool such as that disclosed in US Pat. No. 5,619,548 and/or Published PCT Application No. WO 01/09566, which are hereby incorporated by reference as if fully set forth herein, by eddy current measurements, by e-beam induced x-ray analysis, or by any other suitable method.

Abstract

Methods and systems for evaluating and controlling a lithography process are provided. For example, a method for reducing within wafer variation of a critical metric of a lithography process may include measuring at least one property of a resist disposed upon a wafer during the lithography process. A critical metric of a lithography process may include, but may not be limited to, a critical dimension of a feature formed during the lithography process. The method may also include altering at least one parameter of a process module configured to perform a step of the lithography process to reduce within wafer variation of the critical metric. The parameter of the process module may be altered in response to at least the one measured property of the resist.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention generally relates to systems and methods for evaluating and controlling semiconductor fabrication processes. Certain embodiments relate to systems and methods for evaluating and/or controlling a lithography process by measuring a property of a resist and controlling a process step involved in the lithography process. [0002]
  • 2. Description of the Related Art [0003]
  • Semiconductor fabrication processes typically involve a number of lithography steps to form various features and multiple levels of a semiconductor device. Lithography involves transferring a pattern to a resist formed on a semiconductor substrate, which may be commonly referred to as a wafer. A reticle, or a mask, may be disposed above the resist and may have substantially transparent regions and substantially opaque regions configured in a pattern that may transferred to the resist. As such, substantially opaque regions of the reticle may protect underlying regions of the resist from exposure to an energy source. The resist may, therefore, be patterned by selectively exposing regions of the resist to an energy source such as ultraviolet light, a beam of electrons, or an x-ray source. The patterned resist may then be used to mask underlying layers in subsequent semiconductor fabrication processes such as ion implantation and etch. Therefore, a resist may substantially inhibit an underlying layer such as a dielectric material or the semiconductor substrate from implantation of ions or removal by etch. [0004]
  • As the features sizes of semiconductor devices continue to shrink, the minimum feature size which may be successfully fabricated may often be limited by performance characteristics of a lithography process. Examples of performance characteristics of a lithography process include, but are not limited to, resolution capability, across chip linewidth variations, and across wafer linewidth variations. In optical lithography, performance characteristics such as resolution capability of the lithography process may often be limited by the quality of the resist application, the performance of the resist, the exposure tool, and the wavelength of light which is used to expose the resist. The ability to resolve a minimum feature size, however, may also be strongly dependent on other critical parameters of the lithography process such as a temperature of a post exposure bake process or an exposure dose of an exposure process. As such, controlling the critical parameters of lithography processes is becoming increasingly important to the successful fabrication of semiconductor devices. [0005]
  • One strategy to improve the performance characteristics of a lithography process may involve controlling and reducing variations in critical parameters of the lithography process. For example, one critical parameter in a lithography process may be the post exposure bake temperature. In particular, a chemical reaction in an exposed portion of a chemically amplified resist may be driven and controlled by heating the resist subsequent to the exposure process. Such a resist may include, but may not be limited to, a resin and a photo-acid generating compound. The temperature of a post exposure bake process may drive generation and diffusion of a photo-generated acid in the resist that causes deblocking of the resin. Deblocking of the resin may substantially alter the solubility of the resist such that it may be removed by exposure to an aqueous developer solution in a subsequent developing process. As such, temperature-controlled diffusion in the exposed resist may affect physical dimensions of remaining resist, or resolved features. Furthermore, variations in temperature across a bake plate of a post exposure bake process module may cause variations in the dimensions of the features at various positions on a wafer. Therefore, the resolution capability of a lithography process may be improved by reducing temperature variations across the bake plate of a post exposure bake process module. [0006]
  • There are several disadvantages, however, in using currently available methods to improve the resolution capability of lithography processes. For example, currently available methods may not account for degradation in the uniformity of a critical parameter over time. For a post exposure bake module, thermal relaxation of heating elements, contamination, or other performance variations may adversely affect the resolution capability of a lithography process to various degrees over time. As such, monitoring and controlling time-dependent variations in the critical parameters may maintain and improve the performance characteristics of a lithography process. In addition, integrated control mechanisms that may currently be used to monitor variations in the temperature of the post exposure bake module may control and alter the process at the wafer level. Therefore, all positions, or fields, on the wafer are affected equally and improvements are made for an average performance across the wafer. In this manner, systematic variations in the resolution capability from field to field across a wafer may not be monitored or altered, which may have an adverse affect on the overall performance characteristics of a lithography process. [0007]
  • Accordingly, it may be advantageous to develop a method and a system to evaluate and control a lithography process such that within wafer variability of critical dimensions of features formed by a lithography process may be reduced. [0008]
  • SUMMARY OF THE INVENTION
  • An embodiment of the invention relates to a method for reducing within wafer (“WIW”) variation of a critical metric of a lithography process. A critical metric of a lithography process may include, but is not limited to, a critical dimension of features formed during the lithography process and overlay. Critical dimensions of features formed during a lithography process may include, for example, a width, a height, and a sidewall profile of the features. Overlay generally refers to a lateral position of a feature on one level of a wafer with respect to a lateral position of a feature on another level of the wafer. The lithography process may include optical lithography, e-beam lithography, or x-ray lithography. [0009]
  • The method may include measuring at least one property of a resist disposed upon a wafer during the lithography process. For example, the method may include measuring at least the one property of the resist at various locations across the wafer. In addition, the method may include measuring at least the one property of the resist between steps of the lithography process or during a step of the lithography process. Furthermore, the method may include measuring at least one property of a resist disposed upon at least two wafers during the lithography process. At least the one property may include, but may not be limited to, a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, overlay, or any combination thereof. A latent image generally refers to an image that may be formed in an exposed resist subsequent to a post exposure bake process. [0010]
  • The method may further include altering at least one parameter of a process module, configured to perform a step of the lithography process, in response to at least the one measured property of the resist. In this manner, within wafer variation of a critical metric may be reduced. The process module may include, but may not be limited to, a surface preparation module, a coat module, a bake module, an expose module, or a develop module. In addition, if at least one property of a resist disposed upon at least two wafers is measured, then the method may include altering at least one parameter of a process module in response to at least the one measured property of the resist disposed upon at least the two wafers. At least the one parameter may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof. [0011]
  • Altering at least the one parameter may include processing a first portion of a wafer with a first set of process conditions during the step and processing a second portion of the wafer with a second set of process conditions during the step. For example, if at least the one measured property includes thickness variation across the wafer, then a portion of the wafer coated with a thicker resist may be exposed with a higher exposure dose than a portion of the wafer coated with a thinner resist in response to the measured thickness variation. In an additional example, a portion of a wafer coated with a thicker resist may be heated to a higher temperature during a post exposure back process than a portion of the wafer coated with a thinner resist in response to a measured thickness variation. In this manner, process conditions of a lithography process step may vary across a wafer such that a critical metric of the lithography process may be substantially uniform across the wafer despite variations in resist properties. [0012]
  • An additional embodiment relates to a system configured to reduce within wafer variation of a critical metric of a lithography process. The critical metric may include a critical dimension of a feature formed by the lithography process or any of the critical metrics as described above. The system may include at least one measurement device. At least the one measurement device may be configured to measure at least one property of a resist disposed upon a wafer during the lithography process. For example, at least the one measurement device may be configured to measure at least the one property of the resist at various locations across the wafer. In addition, at least the one measurement device may be configured to measure at least the one property of the resist between steps of the lithography process. Alternatively, at least the one measurement device may be configured to measure at least the one property of the resist during a step of the lithography process. For example, a measurement device may be integrated into a lithography cluster tool as described herein. Because a property of the resist may be measured during a lithography process, a method as described herein may have a quicker turn around time than conventional lithography process control methods. Therefore, a method as described herein may yield a larger number of semiconductor devices having relatively high performance bin characteristics. At least the one property may include any of the properties as described herein. [0013]
  • The system may also include a process module configured to perform a step of the lithography process. The process module may include, for example, a surface preparation module, a coat module, a bake module, an expose module, or a develop module. At least one parameter of the process module may be altered in response to at least the one measured property such that the within wafer variation of the critical metric may be reduced. In addition, at least the one parameter of the process module may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof. At least the one parameter of the process module may also be altered such that a first portion of the wafer can be processed with a first set of process conditions during the step and such that a second portion of the wafer can be processed with a second set of process conditions during the step. [0014]
  • The system may also include a controller computer coupled to at least the one measurement device and the process module. The controller computer may be configured to receive at least one measured property of the resist from the measurement device. The controller computer may also be configured to alter at least one parameter of the process module in response to at least the one measured property. [0015]
  • A further embodiment relates to a method for fabricating a semiconductor device. For example, the method may include measuring at least one property of a resist disposed upon a wafer during a lithography process. The method may also include altering at least one parameter of at least one process module in response to at least the one measured property of the resist to reduce within wafer variation of a critical metric of the lithography process. In addition, the method may include processing the wafer to from at least a portion of at least one semiconductor device upon the wafer. For example, processing the wafer may include etching, ion implantation, deposition, chemical mechanical polishing, or plating. In this manner, semiconductor devices formed by the method may have higher performance bin distributions thereby improving not only yield but also high margin product yield.[0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Further advantages of the present invention may become apparent to those skilled in the art with the benefit of the following detailed description of the preferred embodiments and upon reference to the accompanying drawings in which: [0017]
  • FIG. 1 depicts a flow chart illustrating a method for evaluating and controlling a lithography process; and [0018]
  • FIG. 2 depicts a plan view of a bake plate of a post exposure bake process module having a number of discrete secondary heating elements in addition to an overall primary heating element.[0019]
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and may herein be described in detail. The drawings may not be to scale. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. [0020]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Turning now to the drawings, FIG. 1 illustrates an embodiment of a method to evaluate and control performance characteristics of a lithography process. For example, the method may be used to reduce, and even to minimize, within wafer (“WIW”) variability of critical metrics of the lithography process. Critical metrics of a lithography process may include, but are not limited to, critical dimensions of features formed by the lithography process and overlay. Critical dimensions of features formed during the lithography process may include, for example, a width, a height, and a sidewall profile of the features. A sidewall profile of a feature may be described, for example, by a sidewall angle of the feature with respect to an upper surface of a wafer, a roughness of the sidewall of the feature, and other physical characteristics of the feature. Overlay generally refers to a lateral position of a feature on one level of a wafer with respect to a lateral position of a feature on another level of the wafer. The lithography process may include optical lithography, e-beam lithography, or x-ray lithography. [0021]
  • A lithography cluster tool, or a lithography track, may include a set of process modules. An example of a lithography cluster tool is illustrated in U.S. Pat. No. 5,968,691 to Yoshioka et al., and is incorporated by reference as if fully set forth herein. The lithography cluster tool may be coupled to an exposure tool. A first portion of the process modules may be configured to perform at least one step of the lithography process prior to exposure of the resist. A second portion of the process modules may be configured to perform process steps of the lithography process subsequent to exposure of the resist. The lithography cluster tool may also include at least one robotic wafer handler. The robotic wafer handler may move wafers from module to module. The robotic wafer handler may also be used to move wafers from the lithography cluster tool to the exposure tool. [0022]
  • As shown in [0023] step 10, the robotic wafer handler may pick up a wafer from a cassette, which may be loaded into the lithography cluster tool by an operator. The cassette may contain a number of wafers which may be processed during the lithography process. The wafers may be bare silicon wafers. Alternatively, the wafers may have been processed prior to the lithography process. For example, topographical features may have been formed on the wafers. The topographical features may include trenches, vias, lines, etc. In addition, one or more layers of a material such as a dielectric material may have been formed on the wafers prior to the lithography process.
  • The wafer may be placed in a process module such as a surface preparation chamber, as shown in [0024] step 12. The surface preparation chamber may be configured to form a layer of an adhesion promoting chemical such as hexamethyldisilazane (“HMDS”) onto the surface of the wafer. HMDS may be deposited at a temperature of approximately 80° C. to approximately 180° C. Therefore, after the surface preparation process, the robotic wafer handler may remove the wafer from the surface preparation chamber and may place the wafer into a chill module, as shown in step 14. As such, a wafer may be lowered to a temperature suitable for subsequent processing (e.g., approximately 20° C. to approximately 25° C.).
  • In an additional embodiment, an anti-reflective coating may also be formed on the surface of the wafer. The anti-reflective coating may be formed on the wafer, for example, by spin coating followed by a post apply bake process. Since a post apply bake process for an anti-reflective coating generally involves heating a coated wafer to a temperature of approximately 175° C. to approximately 230° C., a chill process may also be performed subsequent to the post apply bake process. [0025]
  • A resist may be formed upon the wafer, as shown in [0026] step 16. For example, the wafer may be placed into a resist apply process module. A resist may be automatically dispensed onto an upper surface of the wafer. The resist may be uniformly distributed across the wafer by spinning the wafer at a high rate of speed such as about 2000 rpm to about 4000 rpm. The spinning process may adequately dry the resist such that the wafer may be removed from the resist apply module without affecting the coated resist. As shown in step 18, the resist-coated wafer may be heated in a post apply bake process. The post apply bake process may include heating the resist-coated wafer at a temperature of approximately 90° C. to approximately 140° C. The post apply bake process may be used to drive excess solvent out of the resist and to alter a property of an upper surface of the resist such as surface tension. Subsequent to the post apply bake process, the wafer may to be chilled at a temperature of approximately 20° C. to approximately 25° C., as shown in step 20.
  • The method may also include measuring a property of the resist formed upon the wafer subsequent to chilling. As shown in [0027] step 22, for example, the wafer may be moved to a measurement device, or a within wafer film measurement device, subsequent to chilling after the post apply bake step. Alternatively, the wafer may remain in the chill module during measurement if, for example, the measurement device is coupled to the chill module. The measurement device may be any device configured to use an optical technique to measure at least one property of the resist. The measurement device may also be configured to measure at least one property of the resist at more than one position on the wafer. The optical technique may include, but is not limited to, scatterometry, interferometry, reflectometry, spectroscopic ellipsometry or spectroscopic reflectometry. Additionally, other optical measurement devices may also be used to measure a property of the resist Examples of measurement devices which may be used are illustrated in U.S. Pat. Nos. 4,999,014 to Gold et al., 5,042,951 to Gold et al., 5,412,473 to Rosencwaig et al., 5,516,608 to Hobbs et al., 5,581,350 to Chen et al., 5,596,406 to Rosencwaig et al., 5,596,411 to Fanton et al., 5,608,526 to Piwonka-Corle et al, 5,747,813 to Norton et al., 5,771,094 to Carter et al., 5,798,837 to Aspnes et al., 5,859,424 to Norton et al., 5,877,859 to Aspnes et al., 5,889,593 to Bareket et al., 5,900,939 to Aspnes et al.. 5,910,842 to Piwonka-Corle et al., 5,917,588 to Addiego, 5,917,594 to Norton, 5,973,787 to Aspnes et al., and 5,991,699 to Kulkarni, et al. and are incorporated by reference as if fully set forth herein. Additional examples of measurement devices are illustrated in PCT Application No. WO 99/02970 to Rosencwaig et al. and PCT Application No. WO 99/45340, and are incorporated by reference as if fully set forth herein.
  • The measurement device may measure at least one property of the resist. In to addition, the measurement device may measure several properties of the resist substantially simultaneously. A property of the resist measured subsequent to a post apply bake process may include, but is not limited to, a thickness, an index of refraction, or an extinction coefficient of the resist. The measured property may be sent to a controller computer, or a within wafer film controller, as shown in [0028] step 24. The controller computer may be coupled to the measurement device. The controller computer may determine a parameter of a process step of the lithography process in response to the measured property of the resist. For example, the controller computer may determine a parameter of a process step as a function of the resist using an experimentally determined or numerically simulated relationship. The controller computer may also be coupled to at least one process module of the lithography cluster tool. In this manner, the controller computer may be configured to alter a parameter of a process module of a lithography cluster tool. Therefore, the controller computer may control the operation of any of the process modules included in the lithography cluster tool. Alternatively, a parameter of a process module may be altered manually by an operator in response to output from the measurement device or the controller computer.
  • In an embodiment, a feedforward control technique may be used to alter a parameter of a process module. For example, an operator or a controller computer may determine at least one parameter of a process module that may be used to perform an additional lithography process step on the measured resist. Additional lithography process steps may include exposure and post exposure bake. In this manner, the property of the resist may be used to alter a parameter of a process module configured to perform an exposure step or a post exposure bake step. For example, a thickness, an index of refraction, and/or an extinction coefficient of the resist measured subsequent to the chilling process may be used to determine an exposure dose of an exposure process or a temperature of the post exposure bake process. An operator or the controller computer may alter at least one parameter of the exposure process module or the post exposure bake process module in response to the determined exposure dose or temperature, respectively. [0029]
  • In addition, because at least one property of the resist may be measured at various positions across the wafer, at least one parameter may be determined for each of the various positions. As such, a parameter of a process module may also be altered, as described above, independently from field to field on the wafer. For example, process conditions such as exposure dose and/or post exposure bake temperature may vary across the wafer in subsequent processes in response to variations in at least one measured property from field to field across the wafer. In this manner, critical metrics of the lithography process may be substantially uniform across the wafer. [0030]
  • In an additional embodiment, a feedback control technique may be used to alter a parameter of a process module. In this manner, a parameter of at least one process module that may have been used to form the resist may be altered prior to or during processes to form resist on additional wafers. Additional wafers may include a wafer included in the same lot as the measured wafer or a wafer included in a different lot than the measured wafer. Such a parameter may be determined in response to at least the one measured property of the resist as described above. For example, the property of the resist may be used to alter a parameter of the resist apply process module or the post apply bake process module prior to and/or during processing of additional wafers. [0031]
  • As shown in [0032] step 26, the wafer may be transferred to an exposure process module. The exposure process module may perform a number of operations that may include, but are not limited to, aligning a wafer and exposing the resist in a predetermined pattern. For example, the exposure process module may include any stepper or scanner known in the art. Exposing the resist may also include exposing the resist to a specific intensity of light, or an exposure dose, and a specific focus condition. Many exposure process to modules may be configured such that the exposure dose and focus conditions of the expose process may be varied across the wafer, for example, from field to field. The exposure dose and focus conditions may be determined and/or altered as described herein using a feedback or feedforward control technique.
  • As shown in [0033] step 28, an optional process step in the lithography process may include an edge exposure step. The edge exposure step may include exposing resist disposed proximate an outer edge of the wafer to a light source to remove the resist at the outer edge of the wafer. Such removal of the resist at the outer edge of a wafer may reduce contamination of process chambers and devices used in subsequent processes.
  • As shown in [0034] step 30, the wafer may be subjected to a post exposure bake process step. The post exposure bake process may be used to drive a chemical reaction in exposed portions of the resist such that portions of the resist may be removed in subsequent processing. As such, the performance of the post exposure bake process may be critical to the performance of the lithography process. The post exposure bake process may include heating the wafer to a temperature of approximately 90° C. to approximately 150° C. As shown in step 39, a measurement device, or a within wafer critical dimension measurement device, may be coupled to the post exposure bake process module. In this manner, a property of the resist may be measured during the post exposure bake process. The measurement device may use an optical technique to measure a property of the resist such as thickness, linewidth of a latent image, height of a latent image, index of refraction, or extinction coefficient. The measurement device may be configured to use a technique such as scatterometry, interferometry, reflectometry, spectroscopic ellipsometry, and spectroscopic reflectometry. Additional examples of measurement devices may include any of the measurement devices as described herein. Therefore, the measured property of the resist may be used to evaluate and control the post exposure bake process using an in situ control technique. For example, the measurement device may measure a property of the resist during the post exposure bake process, and a parameter of the post exposure bake process module may be altered in response to the measured property during the process.
  • In addition, the measurement device may be used to measure a property of the resist at various times during a post exposure bake process. As such, the measurement device may monitor variations in at least one property of the resist over time. In this manner, a signature characteristic of an endpoint of the post exposure bake process may be determined, and at which time, the process may be ended. Monitoring variations in at least one property of the resist during the post exposure bake process may also be enhanced by measuring at least one property of the resist at multiple positions on the wafer. [0035]
  • The measurement device may be configured to measure a property of the resist at multiple positions within a field and at multiple positions within at least two fields on the wafer during the post exposure bake process. In this manner, at least one parameter of the process module may be determined at various positions across the wafer. As such, a parameter of the post exposure bake module may be altered independently as described above from field to field on the wafer. For example, a temperature of a bake plate of the post exposure bake process module may vary across the bake plate during the post exposure bake process in response to variations in at least one measurement property of the resist from field to field across the wafer. Therefore, within wafer variations of critical parameters may be reduced, or even minimized. [0036]
  • As shown in FIG. 2, a temperature of the post exposure bake plate may be altered across the bake plate by using a number of discrete [0037] secondary heating elements 48 disposed within primary heating element 50. Secondary heating elements 48 and primary heating element 50 may include resistive heating elements or any other heat source known in the art. Secondary heating elements 48 may be independently controlled, for example, by altering an electrical current supplied to each of the secondary heating elements to alter a temperature profile of primary heating element 50. As such, a temperature profile across a wafer during a post exposure bake process may be altered such that individual fields on a wafer may be heated at substantially the same temperature or at individually determined temperatures. In this manner, a uniformity of critical metrics of a lithography process across a wafer may be increased.
  • Referring to FIG. 1 again, as shown in [0038] step 34, subsequent to the post exposure bake process, the wafer may be chilled. Subsequent to chilling, the wafer may be moved to a measurement device. Alternatively, the wafer may remain in the chill module during measurement if, for example, the measurement device is coupled to the chill module. The measurement device may be configured as any measurement device as described herein. The measurement device may measure at least one property of the resist. In addition, the measurement device may measure several properties of the resist substantially simultaneously. A property of the resist measured subsequent of during the chill process may include, but is not limited to, a thickness, a linewidth of a latent image, a height of a latent image, an index of refraction, or an extinction coefficient. The measured property of the resist may be used to alter a parameter of a process module of the lithography cluster tool using a feedback control technique or a feedforward control technique. For example, the measured property of the resist may be used to alter an exposure dose or a post exposure bake temperature using a feedback control technique or to alter a develop time using a feedforward control technique.
  • The measurement device may be configured to measure a property of the resist at multiple positions within a field and at multiple positions within at least two fields on the wafer subsequent to or during the chill process. In this manner, at least one parameter of a process module of a lithography cluster tool may be determined at various positions across the wafer. As such, a parameter of an exposure process module, a post exposure bake process module, or a develop process module may be altered independently as described above from field to field on the wafer. For example, a temperature of a bake plate of the post exposure bake process module may vary across the bake plate in response to variations in at least one measurement property of the resist from field to field across the wafer. As described above, therefore, within wafer variations of critical parameters may be reduced, or even minimized. [0039]
  • As shown in [0040] step 36, subsequent to the post exposure process, the wafer may be subjected to a develop process step. The develop process step may be configured to remove a portion of the resist. For example, a develop process may include dispensing an aqueous developer solution on a wafer subsequent to a post exposure bake process and rinsing the wafer with de-ionized water. Resist remaining after the develop process step may define a pattern formed in the original resist layer. The formed pattern may include an arrangement of lines, spaces, trenches, and/or vias. Subsequent to the develop process, as shown in step 38, a measurement device, or a within wafer critical dimension measurement device, may be used to measure a property of the resist such as, but not limited to, a thickness, an index of refraction, or an extinction coefficient of the remaining resist, a width, a height, or a sidewall profile of a feature, or overlay. The measured property may be sent to a controller computer, or within wafer critical metric controller, as shown in step 46.
  • A parameter of a process module involved in the lithography process may be altered in response to the measured property using a feedback control technique. For example, the altered parameter of the process module may be a function of the measured property of the resist. The feedback control technique may include, for example, measuring a linewidth of features formed in the resist subsequent to the develop process step and altering a parameter of an expose process module or a post exposure bake process module, which may be used to fabricate additional wafers. In addition, a linewidth of features formed in the resist may be measured at various positions across the wafer subsequent to the develop process step. In this manner, parameters of an expose process module may be altered at the field level in response to the measured properties of the resist by altering parameters of the expose process step such as the exposure dose and the exposure focus conditions at each field. As such, the controller computer may provide a two-dimensional array of exposure doses and/or exposure focus conditions to the exposure process module in response to the measured property of the resist. Therefore, within wafer critical metrics of the lithography process may be reduced, or even minimized. [0041]
  • As shown in [0042] step 40, subsequent to measuring a property of the resist, a hard bake, or post develop bake, process step may be performed. The hard bake process may be used to drive contaminants and any excess water from the resist. Therefore, the hard bake process may include heating the wafer at a temperature of approximately 90° C. to approximately 130° C. As shown in step 42, the temperature of the wafer may then be reduced by using a wafer chill process. Subsequent to the wafer chill process of step 42, an additional measurement of at least one property of the resist may be performed as described herein, as shown in step 44. The measurement device may be configured as described in any of the above embodiments. This measurement may also be used to alter a parameter of a process module using a feedback control technique as described herein. For example, at least one measured property of a resist may be sent to a controller computer, or a within wafer critical dimension controller, as shown in step 48.
  • It is to be understood that all of the measurements described above may be used to alter a parameter of a lithography process module using a feedback, a feedforward, or in Situ process control technique. In addition, within wafer variations of critical metrics of a lithography process may be further reduced by using a combination of the above techniques. The method may also include measurements at additional points in a lithography process such as measuring at least one property of an anti-reflective coating subsequent to forming the anti-reflective coating on a wafer. The property of the anti-reflective coating may be used to alter a parameter of a process module using a feedback control technique, a feedforward control technique, or an in situ control technique as described herein. [0043]
  • In an additional embodiment, a system configured to evaluate and control a lithography process may include at least one measurement device and at least one process module. The system may be configured to reduce, and even to minimize, within wafer variability of at least one critical metric of the lithography process. Critical metrics of a lithography process include, but are not limited to, critical dimensions of features formed by the lithography process and overlay as described above. [0044]
  • A measurement device may be configured to measure at least one property of a resist disposed upon a wafer during the lithography process. As shown in FIG. 1, for example, a measurement device may include within wafer [0045] film measurement device 22, within wafer critical dimension measurement device 32, within wafer critical dimension measurement device 38, and/or within wafer critical dimension measurement device 44. Such measurement devices may be configured as described herein. In addition, the system may include additional measurement devices as described herein. The measurement device may be configured to measure the property of the resist during any of the process steps as described above or subsequent to any of the process steps as described above.
  • In an embodiment, therefore, the measurement device may be coupled to at least one of the process modules such that the measurement device may perform an in situ measurement of a resist. Alternatively, the measurement device may be disposed within a lithography cluster tool such that the measurement device may perform a measurement of a resist between two process steps. In this manner, a method as described herein may have a quicker turn around time than conventional lithography process control methods. As described herein, at least the one measured property may include a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, a sidewall profile of a feature, overlay, or any combination thereof. At least the one measurement device may also be configured to measure at least the one property of the resist at various locations across the wafer. For example, a thickness of the resist may be measured at various positions or fields across the wafer. In addition, a property of the resist may be measured at various positions within a field of the wafer or at various positions within several fields of the wafer. [0046]
  • A process module may be configured to perform a step of the lithography process. As shown in FIG. 1, for example, such process modules may include, but are not limited to, [0047] surface preparation chamber 12, resist apply process module 16, post apply bake process module 18, exposure process module 26, post exposure bake process module 30, develop process module 36, and hard bake process module 40. At least one parameter of the process module may be altered in response to at least the one measured property such that within wafer variation of the critical metric can be reduced, or even minimized. For example, at least one parameter of a process module may be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
  • In addition, at least the one parameter of the process module may be altered such that a first portion of the wafer may be processed with a first set of process conditions during a step of the lithography process and such that a second portion of the wafer may be processed with a second set of process conditions during the step. For example, each portion of the wafer may be a field of the wafer. In this manner, each field of the wafer may be subjected to a different process conditions such as, but not limited to, exposure dose and focus conditions and post exposure bake temperatures. As such, because each field of a wafer may be subjected to process conditions that may vary depending upon a measured property of a resist formed upon the wafer, within wafer variations in critical metrics of the lithography process may be substantially reduced, or even minimized [0048]
  • The system may also include a controller computer coupled to at least one measurement device and to at least one process module. As shown in FIG. 1, for example, a controller computer may include within [0049] wafer film controller 24 and within wafer critical dimension controller 48. The controller computer may include any appropriate controller device known in the art. The controller computer may be configured to receive at least one measured property of the resist from the measurement device. In addition, the controller computer may be configured to determine at least one parameter of a process module in response to the measured property of the resist. For example, the controller computer may be configured to use an experimentally determined or a numerically simulated relationship between the property and the parameter to determine a parameter in response to the property. The controller computer may be further configured to control the process module such that the parameter may be altered in response to the determined parameter. Therefore, the altered parameter of the process step may be a function of at least one measured property of the resist. The controller computer may also be configured to control the measurement device to measure the physical property of the resist.
  • In an additional embodiment, the system may be configured to monitor variations in at least one property of the resist. For example, a measurement device may be configured to measure a property of the resist substantially continuously or at predetermined time intervals during a step of the lithography process. A controller computer coupled to the system may, therefore, receive the measured property from the measurement device and may monitor variations in the property over the duration of a process step of the lithography process. By analyzing the variations in at least one property of the resist during a step of the lithography process, the controller computer may also generate a signature representative of a process step such as a post exposure bake process. The signature may include at least one singularity which may be characteristic of an endpoint of the post exposure bake process. An appropriate endpoint for the process step may be a linewidth or a thickness of a latent image in the resist formed during the post exposure bake process. The linewidth or the thickness of the latent image may be larger or smaller depending upon the semiconductor device feature being fabricated by the lithography process. After the controller computer may have detected the singularity of the signature, the controller computer may stop the post exposure bake process by altering a level of a parameter of an instrument coupled to the post exposure bake process module. [0050]
  • In an embodiment, a method for fabricating a semiconductor device may include a lithography process in which a pattern may be transferred from a reticle to a resist. For example, portions of the resist may be removed using a lithography process such that regions of the wafer or an underlying layer may be exposed to a subsequent process such as an ion implantation process. The predetermined regions may be regions of the wafer or the underlying layer in which features of a semiconductor device are to be formed such as, for example, source/drain junctions. Fabricating a semiconductor device may also include evaluating and controlling a lithography process by measuring at least one property of a resist disposed upon a wafer during the lithography process. In addition, measuring at least one property of the resist may include measuring within wafer variations in at least one property of the resist during the lithography process. The physical property of the resist may be altered by a process step of the lithography process. [0051]
  • The method for fabricating a semiconductor device may also include determining and/or altering at least one parameter of a process module which may be configured to perform a step of the lithography process. The altered parameter may be determined in response to at least one measured property of the resist to reduce within wafer variations of a critical metric of the lithography process. For example, the altered parameter may be determined using a function which describes a relationship between the physical property of the resist and a parameter of the process step of the lithography process. The altered parameter may also be determined independently at various positions within a field or within several fields of the wafer. In this manner, semiconductor devices fabricated by the method may have higher performance bin distributions thereby improving not only yield but also high margin product yield. In addition, the method for fabricating a semiconductor device may include processing a wafer to form at least a portion of at least one semiconductor device upon the wafer. For example, processing the wafer may include at least one semiconductor fabrication process such as etching, ion implantation, deposition, chemical mechanical polishing, plating, and/or any other semiconductor fabrication process known in the art. [0052]
  • A set of data may be collected and analyzed that may used to determine a parameter of a process module in response to a measured property of a resist formed upon a wafer. Process control methods as described herein may also be used to further optimize a lithography process by using optical measurements as described herein in conjunction with electrical measurements of a semiconductor device that may be formed with the lithography process. The combination of optical and electrical measurements may provide a larger amount of characterization data for a lithography process. In this manner, the characterization data may be used to understand the mechanisms of lithography, to pin-point the cause of defects, and to make accurate adjustments to parameters of various process modules, or the process conditions. In addition, such a process control strategy may be used to qualify, or characterize the performance of, a new lithography tool. The process control method may also be used to compare the performance of several similar lithography tools. Such a comparison may be used, for example, in a manufacturing environment in which several tools may be used in parallel to manufacture one device or product. Furthermore, this process control strategy may be used to determine an appropriate resist and thickness in the development stages of defining a lithography process. [0053]
  • In an embodiment, a quantitative relationship may be developed between a parameter of a process module that may be varied and a property of a resist. For example, a number of wafers may be processed using variations of a parameter of the process module. All other parameters of the process module and additional process modules may remain constant, and a correlation between the varied parameter and a property of the resist may be developed. In this manner, an algorithm that describes the quantitative relationship between each of the process parameters for a process module and the measured property of the resist may be determined. The developed algorithms may be used during processing of product wafers to determine if the process is operating within design tolerance for a process and a process module. Additionally, algorithms may be developed and used to further optimize a current process, to characterize a new process module, or to develop processes to fabricate next generation devices. [0054]
  • Furthermore, this algorithm may be integrated into a controller for a measurement device or a process module The controller may by a computer system configured to operate software to control the operation of a measurement device such as a scatterometer, an interferometer, a reflectometer, a spectroscopic ellipsometer, or a spectroscopic reflectometer. The computer system may include a memory medium on which computer programs for operating the device and performing, calculations related to the collected data. The term “memory medium” is intended to include an installation medium, e.g., a CD-ROM, or floppy disks, a computer system memory such as DRAM, SRAM, EDO RAM, Rambus RAM, etc., or a non-volatile memory such as a magnetic media, e.g., a hard drive, or optical storage. The memory medium may include other types of memory as well, or combinations thereof. In addition, the memory medium may be located in a first computer in which the programs are executed, or may be located in a second different computer that connects to the first computer over a network. In the latter instance, the second computer provides the program instructions to the first computer for execution. Also, the computer system may take various forms, including a personal computer system, mainframe computer system, workstation, network appliance, Internet appliance, personal digital assistant (PDA), television system or other device. In general, the term “computer system” may be broadly defined to encompass any device having a processor which executes instructions from a memory medium. [0055]
  • The memory medium preferably stores a software program for the operation of a measurement device and/or a process module. The software program may be implemented in any of various ways, including procedure-based techniques, component-based techniques, and/or object-oriented techniques, among others. For example, the software program may be implemented using ActiveX controls, C++ objects, JavaBeans, Microsoft Foundation Classes (MFC), or other technologies or methodologies, as desired. A CPU, such as the host CPU, executing code and data from the memory medium includes a means for creating and executing the software program according to the methods described above. [0056]
  • Various embodiments further include receiving or storing instructions and/or data implemented in accordance with the foregoing description upon a carrier medium. Suitable carrier media include memory media or storage media such as magnetic or optical media, e.g., disk or CD-ROM, as well as signals such as electrical, electromagnetic, or digital signals, conveyed via a communication medium such as networks and/or a wireless link. [0057]
  • The software for a measurement device may then be used to monitor and predict the processing conditions of subsequent lithography processes. Preferably, the predefined algorithm for a process step of the lithography process may be incorporated into the software package that interfaces with the measurement device. In this manner, the software may be configured to receive data that may be measured by the measurement device. The software may also be configured to perform appropriate calculations to convert the data into properties of the resist. Additionally, the software may also be configured to compare a property of a resist formed on a product wafer to a property of a resist formed on a reference wafer for a lithography process. In this manner, the software may be configured to convert variations in the properties to variations that may occur in the process conditions. Furthermore, by incorporation of the appropriate algorithm, the software may also be configured to convert the properties of a resist into meaningful data about the process conditions of the lithography process including a characteristic of an exposure step or a characteristic of a post exposure bake step. [0058]
  • A method to evaluate and control a lithography process using field level analysis as described above may provide dramatic improvements over current process control methods. Measuring within wafer variability of critical metrics, or critical dimensions, may provide tighter control of the critical dimension distribution. In addition to improving the manufacturing yield, therefore, the method described above may also enable a manufacturing process to locate the distribution performance of manufactured devices closer to a higher performance level. As such, the high margin product yield may also be improved by using such a method to evaluate and control a lithography process. Furthermore, additional variations in the lithography process may also be minimized. For example, a process may use two different post exposure bake units to process one lot of wafers. Two bake units may be used to perform the same process such that two wafers may be processed simultaneously in order to reduce the overall processing time. Therefore, the above method may be used to evaluate and control each bake unit separately. As such, the overall process spread may also be reduced. [0059]
  • The data gathered in accordance with the present invention may be analyzed, organized and displayed by any suitable means. For example, the data could be grouped across the wafer as a continuous function of radius, binned by radial range, binned by stepper field, by x-y position (or range of x-y positions, such as on a grid), by nearest die, and/or other suitable methods. The variation in data may be reported by standard deviation from a mean value, the range of values, and/or any other suitable statistical method. [0060]
  • The extent of the within wafer variation (such as the range, standard deviation, and the like) may be analyzed as a function of wafer, lot and/or process conditions. For example, the within wafer standard deviation of the measured CD may be analyzed for variation from lot to lot, wafer to wafer, and the like. It may also be grouped, reported and/or analyzed as a function of variation in one or more process conditions, such as develop time, photolithographic exposure conditions, resist thickness, post exposure bake time and/or temperature, pre-exposure bake time and/or temperature, and the like. It may also or instead be grouped, reported and/or analyzed as a function of within wafer variation in one or more of such processing conditions. [0061]
  • The data gathered in accordance the present invention may be used not just to better control process conditions, but also where desirable to better control in situ endpointing and/or process control techniques. For example, data gathered in accordance the present invention may be used in conjunction with an apparatus such as that set forth in U.S. Pat. No. 5,689,614 and/or Published European patent Application No. EP 1066925 A2, which are hereby incorporated by reference as if fully set forth herein, to improve the control over localized heating of the substrate or closed loop control algorithms.. Within wafer variation data could be fed forward or back to such a tool to optimize the algorithms used in control of local wafer heating or polishing, or even to optimize the tool design. In another example of such localized process control, within wafer variation data could be used to control or optimize a process or tool such as that set forth in one or more of Published PCT Patent Applications No. WO 99/41434 or WO 99/25004 and/or Published European Patent Application No 1065567 A2, which are hereby incorporated by reference as if fully set forth herein. Again, within wafer variation data taken, for example from stand alone and/or integrated measurement tools, could be used to better control and/or optimize the algorithms, process parameters and integrated process control apparatuses and methods in such tools or processes. Data regarding metal thickness and its within wafer variation could be derived from an x-ray reflectance tool such as that disclosed in US Pat. No. 5,619,548 and/or Published PCT Application No. WO 01/09566, which are hereby incorporated by reference as if fully set forth herein, by eddy current measurements, by e-beam induced x-ray analysis, or by any other suitable method. [0062]
  • Further modifications and alternative embodiments of various aspects of the invention may be apparent to those skilled in the art in view of this description. For example, methods and systems for lithography process control are provided Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. [0063]

Claims (28)

What is claimed is:
1. A method for reducing within wafer variation of a critical metric of a lithography process, comprising:
measuring at least one property of a resist disposed upon a wafer during the lithography process; and
altering at least one parameter of a process module, configured to perform a step of the lithography process, in response to at least the one measured property of the resist to reduce the within wafer variation of the critical metric.
2. The method of claim 1, wherein the critical metric comprises a critical dimension of a feature formed by the lithography process.
3. The method of claim 1, wherein measuring at least the one property of the resist comprises measuring at least the one property at various locations across the wafer.
4. The method of claim 1, wherein measuring at least the one property of the resist comprises measuring at least the one property between steps of the lithography process.
5. The method of claim 1, wherein measuring at least the one property of the resist comprises measuring at least the one property during a step of the lithography process.
6. The method of claim 1, further comprising measuring at least one property of a resist disposed upon at least two wafers during the lithography process, wherein the altered parameter further comprises a function of at least the one measured property of the resist disposed upon at least the two wafers.
7. The method of claim 1, wherein at least the one property comprises a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, overlay, or any combination thereof.
8. The method of claim 1, wherein altering at least the one parameter comprises using a feedback control technique.
9. The method of claim 1, wherein altering at least the one parameter comprises using a feedforward control technique.
10. The method of claim 1, wherein altering at least the one parameter comprises using an in situ control technique.
11. The method of claim 1, wherein altering at least the one parameter comprises using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
12. The method of claim 1, wherein altering at least the one parameter comprises processing a first portion of the wafer with a first set of process conditions during the step and processing a second portion of the wafer with a second set of process conditions during the step.
13. The method of claim 1, wherein the process module comprises a surface preparation module, a coat module, a bake module, an expose module, or a develop module.
14. A system configured to reduce within wafer variation of a critical metric of a lithography process during use, comprising:
at least one measurement device configured to measure at least one property of a resist disposed upon a wafer during the lithography process; and
a process module configured to perform a step of the lithography process during use, wherein at least one parameter of the process module can be altered in response to at least the one measured property such that the within wafer variation of the critical metric can be reduced.
15. The system of claim 14, further comprising a controller computer coupled to at least the one measurement device and the process module, wherein the controller computer is configured to receive at least one measured property of the resist from the measurement device during use, and wherein the controller computer is configured to alter at least the one parameter of the process module in response to at least the one measured property during use.
16. The system of claim 14, wherein the critical metric comprises a critical dimension of a feature formed by the lithography process.
17. The system of claim 14, wherein at least the one measurement device is further configured to measure at least the one property of the resist at various locations across the wafer.
18. The system of claim 14, wherein at least the one measurement device is further configured to measure at least the one property of the resist between steps of the lithography process.
19. The system of claim 14, wherein at least the one measurement device is further configured to measure at least the one property of the resist during a step of the lithography process.
20. The system of claim 14, wherein at least the one property comprises a thickness, an index of refraction, an extinction coefficient, a linewidth of a latent image, a height of a latent image, a width of a feature, a height of a feature, overlay, or any combination thereof.
21. The system of claim 14, wherein at least one parameter of the process module can be altered using a feedback control technique.
22. The system of claim 14, wherein at least one parameter of the process module can be altered using a feedforward control technique.
23. The system of claim 14, wherein at least one parameter of the process module can be altered using an in situ control technique.
24. The system of claim 14, wherein at least one parameter of the process module can be altered using a feedback control technique, a feedforward control technique, an in situ control technique, or any combination thereof.
25. The system of claim 14, wherein at least the one parameter of the process module can be altered such that a first portion of the wafer can be processed with a first set of process conditions during the step and such that a second portion of the wafer can be processed with a second set of process conditions during the step.
26. The system of claim 14, wherein the process module comprises a surface preparation module a coat module, a bake module, an expose module, or a develop module.
27. A method of fabricating a semiconductor device, comprising:
measuring at least one property of a resist disposed upon a wafer during a lithography process;
altering at least one parameter of a process module, configured to perform a step of the lithography process, in response to at least the one measured property of the resist to reduce within wafer variation of a critical metric of the lithography process; and
processing the wafer to form at least a portion of at least one semiconductor device upon the wafer.
28. The method of claim 27, wherein processing the wafer comprises etching, ion implantation, deposition, chemical mechanical polishing, or plating
US10/401,509 2000-05-04 2003-03-27 Methods and systems for lithography process control Abandoned US20040005507A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/401,509 US20040005507A1 (en) 2000-05-04 2003-03-27 Methods and systems for lithography process control
US11/345,145 US7462814B2 (en) 2000-05-04 2006-02-01 Methods and systems for lithography process control
US12/328,123 US7767956B2 (en) 2000-05-04 2008-12-04 Methods and systems for lithography process control
US12/778,994 US20100279213A1 (en) 2000-05-04 2010-05-12 Methods and systems for controlling variation in dimensions of patterned features across a wafer

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US20237200P 2000-05-04 2000-05-04
US09/849,622 US6689519B2 (en) 2000-05-04 2001-05-04 Methods and systems for lithography process control
US10/401,509 US20040005507A1 (en) 2000-05-04 2003-03-27 Methods and systems for lithography process control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/849,622 Continuation US6689519B2 (en) 2000-05-04 2001-05-04 Methods and systems for lithography process control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/345,145 Continuation US7462814B2 (en) 2000-05-04 2006-02-01 Methods and systems for lithography process control

Publications (1)

Publication Number Publication Date
US20040005507A1 true US20040005507A1 (en) 2004-01-08

Family

ID=22749596

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/849,622 Expired - Lifetime US6689519B2 (en) 2000-05-04 2001-05-04 Methods and systems for lithography process control
US10/366,838 Expired - Lifetime US6987572B2 (en) 2000-05-04 2003-02-14 Methods and systems for lithography process control
US10/401,509 Abandoned US20040005507A1 (en) 2000-05-04 2003-03-27 Methods and systems for lithography process control
US11/345,145 Expired - Fee Related US7462814B2 (en) 2000-05-04 2006-02-01 Methods and systems for lithography process control
US12/328,123 Expired - Fee Related US7767956B2 (en) 2000-05-04 2008-12-04 Methods and systems for lithography process control

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/849,622 Expired - Lifetime US6689519B2 (en) 2000-05-04 2001-05-04 Methods and systems for lithography process control
US10/366,838 Expired - Lifetime US6987572B2 (en) 2000-05-04 2003-02-14 Methods and systems for lithography process control

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/345,145 Expired - Fee Related US7462814B2 (en) 2000-05-04 2006-02-01 Methods and systems for lithography process control
US12/328,123 Expired - Fee Related US7767956B2 (en) 2000-05-04 2008-12-04 Methods and systems for lithography process control

Country Status (3)

Country Link
US (5) US6689519B2 (en)
JP (3) JP2003532306A (en)
WO (1) WO2001084382A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040235205A1 (en) * 2000-09-20 2004-11-25 Kla-Tencor, Inc. Methods and systems for determining a critical dimension and overlay of a specimen
US20050128464A1 (en) * 2003-12-10 2005-06-16 Asml Netherlands B.V. Integrated lithographic fabrication cluster
US20060007453A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US20060072807A1 (en) * 2000-09-20 2006-04-06 Kla-Tencor Technologies. Methods and systems for determining a presence of macro and micro defects on a specimen
DE102004055449A1 (en) * 2004-11-17 2006-06-01 Steag Hamatech Ag Method and device for the thermal treatment of substrates
US20060194155A1 (en) * 2005-02-24 2006-08-31 Daisuke Kawamura Resist pattern forming method and semiconductor device manufacturing method
US20060216649A1 (en) * 2005-03-23 2006-09-28 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060216653A1 (en) * 2005-03-23 2006-09-28 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
US20070003878A1 (en) * 2005-03-23 2007-01-04 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20070181827A1 (en) * 2006-02-07 2007-08-09 Asml Netherlands B.V. Lithographic apparatus, calibration method, device manufacturing method and computer program product
US20070285639A1 (en) * 2006-06-07 2007-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Exposure scan and step direction optimization
US20080076068A1 (en) * 2006-09-13 2008-03-27 Samsung Austin Semiconductor Selective imaging through dual photoresist layers
US20080154420A1 (en) * 2006-12-20 2008-06-26 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US20090011524A1 (en) * 2007-07-06 2009-01-08 Advanced Micro Devices, Inc. Method for determining suitability of a resist in semiconductor wafer fabrication
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US20160124323A1 (en) * 2014-10-29 2016-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
US9507629B2 (en) 2011-04-22 2016-11-29 Mapper Lithography Ip B.V. Network architecture and protocol for cluster of lithography machines

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001084382A1 (en) * 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US20100279213A1 (en) * 2000-05-04 2010-11-04 Kla-Tencor Corporation Methods and systems for controlling variation in dimensions of patterned features across a wafer
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
EP1205806A1 (en) * 2000-11-09 2002-05-15 Semiconductor300 GmbH & Co KG Method for exposing a semiconductor wafer
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6956659B2 (en) 2001-05-22 2005-10-18 Nikon Precision Inc. Measurement of critical dimensions of etched features
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6967068B1 (en) * 2001-11-07 2005-11-22 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon optical properties of incoming anti-reflecting coating layers, and system for accomplishing same
JP3839306B2 (en) * 2001-11-08 2006-11-01 株式会社ルネサステクノロジ Semiconductor device manufacturing method and manufacturing system
US6737208B1 (en) * 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information
US6758612B1 (en) * 2002-01-16 2004-07-06 Advanced Micro Devices, Inc. System and method for developer endpoint detection by reflectometry or scatterometry
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
TW531822B (en) * 2002-03-29 2003-05-11 Taiwan Semiconductor Mfg Method for controlling inter-field critical dimensions of wafer
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6974653B2 (en) 2002-04-19 2005-12-13 Nikon Precision Inc. Methods for critical dimension and focus mapping using critical dimension test marks
JP4018438B2 (en) * 2002-04-30 2007-12-05 キヤノン株式会社 Management system for managing semiconductor exposure equipment
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US6912435B2 (en) 2002-08-28 2005-06-28 Inficon Lt Inc. Methods and systems for controlling reticle-induced errors
DE10240115B4 (en) * 2002-08-30 2004-10-28 Advanced Micro Devices, Inc., Sunnyvale Method and system for handling substrates in a production line with a cluster system and a measuring system
US6733936B1 (en) 2002-09-19 2004-05-11 Integrated Device Technology, Inc. Method for generating a swing curve and photoresist feature formed using swing curve
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
WO2004046655A2 (en) * 2002-11-20 2004-06-03 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
AU2003303356A1 (en) 2002-12-30 2004-07-22 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
US6982043B1 (en) * 2003-03-05 2006-01-03 Advanced Micro Devices, Inc. Scatterometry with grating to observe resist removal rate during etch
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
JP3848332B2 (en) * 2003-08-29 2006-11-22 キヤノン株式会社 Exposure method and device manufacturing method
US6873938B1 (en) * 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
US7018855B2 (en) * 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
DE102004022016B4 (en) * 2004-05-03 2006-04-20 Infineon Technologies Ag Forming a pattern on a semiconductor substrate layer comprises applying a photosensitive resist to the substrate, exposing the resist and heating
EP1745373A4 (en) * 2004-05-09 2009-04-15 Mentor Graphics Corp Defect location identification for microdevice manufacturing and test
US7678516B2 (en) * 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060094131A1 (en) * 2004-11-02 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for critical dimension control in semiconductor manufacturing
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
WO2006069255A2 (en) * 2004-12-22 2006-06-29 Kla-Tencor Technologies Corp. Methods and systems for controlling variation in dimensions of patterned features across a wafer
US7396412B2 (en) * 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
JP4701030B2 (en) * 2005-07-22 2011-06-15 キヤノン株式会社 Exposure apparatus, setting method for setting exposure parameters, exposure method, device manufacturing method, and program
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7951616B2 (en) * 2006-03-28 2011-05-31 Lam Research Corporation Process for wafer temperature verification in etch tools
US8206996B2 (en) 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN101512721A (en) 2006-04-05 2009-08-19 硅源公司 Method and structure for fabricating solar cells using a layer transfer process
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7999940B2 (en) 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080032491A1 (en) * 2006-08-07 2008-02-07 Sokudo Co., Ltd. Wafer backside particle removal for track tools
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) * 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
US7625680B2 (en) * 2006-09-29 2009-12-01 Tokyo Electron Limited Method of real time dynamic CD control
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007036811B3 (en) * 2007-08-03 2008-12-18 Vistec Semiconductor Systems Gmbh Wafer surface area detecting device, has line scan camera with detector row whose length is smaller than diameter of wafer, and color scan camera with two-dimensional detector chip upstream to dispersive element
EP2188753B1 (en) * 2007-09-06 2018-11-07 DEKA Products Limited Partnership Processing system and method
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7880863B2 (en) * 2008-01-22 2011-02-01 Infineon Technologies Ag Lithography system with illumination monitor
JP5065082B2 (en) 2008-02-25 2012-10-31 東京エレクトロン株式会社 Substrate processing method, program, computer storage medium, and substrate processing system
NL1036468A1 (en) 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2009224374A (en) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb apparatus, and control method thereof
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP2010278204A (en) * 2009-05-28 2010-12-09 Oki Semiconductor Co Ltd Method for forming resist pattern
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US9360858B2 (en) 2011-08-08 2016-06-07 Globalfoundries Inc. Alignment data based process control system
KR101924487B1 (en) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. Yield estimation and control
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP3470924A1 (en) * 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
US10466597B2 (en) * 2017-11-01 2019-11-05 Texas Instruments Incorporated Methods and apparatus to control grayscale photolithography
US10545409B1 (en) 2019-05-30 2020-01-28 International Business Machines Corporation Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay

Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5114235A (en) * 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5516608A (en) * 1994-02-28 1996-05-14 International Business Machines Corporation Method for controlling a line dimension arising in photolithographic processes
US5581350A (en) * 1995-06-06 1996-12-03 Tencor Instruments Method and system for calibrating an ellipsometer
US5596411A (en) * 1994-10-21 1997-01-21 Therma-Wave, Inc. Integrated spectroscopic ellipsometer
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5666196A (en) * 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5689614A (en) * 1990-01-19 1997-11-18 Applied Materials, Inc. Rapid thermal heating apparatus and control therefor
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5773174A (en) * 1994-11-14 1998-06-30 Matsushita Electric Industrial Co., Ltd. Method of forming a resist pattern utilizing correlation between latent image height, resist pattern linewidth and surface modification layer width
US5783342A (en) * 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
US5798837A (en) * 1997-07-11 1998-08-25 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5917594A (en) * 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US5917588A (en) * 1996-11-04 1999-06-29 Kla-Tencor Corporation Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5968691A (en) * 1997-01-30 1999-10-19 Tokyo Electron Limited Method and apparatus for coating resist and developing the coated resist
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5994036A (en) * 1996-02-23 1999-11-30 Nec Corporation Method of forming a resist pattern
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US6157452A (en) * 1993-10-29 2000-12-05 Canon Kabushiki Kaisha Position detecting apparatus
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US6255189B1 (en) * 1998-10-20 2001-07-03 U.S. Philips Corporation Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partly recessed oxide pattern
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6301011B1 (en) * 1997-11-07 2001-10-09 Xerox Corporation Dynamic plug and play interface for output device
US6301001B1 (en) * 1996-10-03 2001-10-09 Canon Kabushiki Kaisha Optical element manufacturing system, an illumination system, and an exposure apparatus
US6317211B1 (en) * 1996-05-02 2001-11-13 International Business Machines Corporation Optical metrology tool and method of using same
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US6421124B1 (en) * 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20020158193A1 (en) * 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6484060B1 (en) * 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6515745B2 (en) * 1997-09-22 2003-02-04 Hdi Instrumentation Optical measurement system using polarized light
US6649923B2 (en) * 1997-11-14 2003-11-18 Canon Kabushiki Kaisha Positional deviation detecting method and device manufacturing method using the same
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59123321A (en) * 1982-12-28 1984-07-17 Toshiba Corp Switch circuit
JPS62132318A (en) * 1985-12-04 1987-06-15 Canon Inc Exposing apparatus
JP3013393B2 (en) * 1990-05-31 2000-02-28 ソニー株式会社 Photoresist coating means
JPH04282820A (en) * 1991-03-11 1992-10-07 Nippon Telegr & Teleph Corp <Ntt> Forming method for pattern
WO1993013827A1 (en) * 1992-01-09 1993-07-22 Advanced Cardiovascular Systems, Inc. Guidewire replacement device
JPH07211630A (en) * 1994-01-26 1995-08-11 Sony Corp Method and equipment for forming pattern
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
JPH0955352A (en) * 1995-08-16 1997-02-25 Sony Corp Apparatus and method for exposure
JPH10135099A (en) * 1996-10-25 1998-05-22 Sony Corp Exposure device and exposure method
JP3342828B2 (en) * 1997-01-30 2002-11-11 東京エレクトロン株式会社 Resist coating and developing apparatus and resist coating and developing method
EP1012571A1 (en) 1997-07-11 2000-06-28 Therma-Wave Inc. An apparatus for analyzing multi-layer thin film stacks on semiconductors
US6110011A (en) 1997-11-10 2000-08-29 Applied Materials, Inc. Integrated electrodeposition and chemical-mechanical polishing tool
CA2320278C (en) 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
JPH11274030A (en) * 1998-03-20 1999-10-08 Hitachi Ltd Method and device for resist processing, and method for coating resist
JP2000082661A (en) * 1998-07-02 2000-03-21 Toshiba Corp Heating apparatus, estimating method of heating apparatus and pattern forming method
US6690473B1 (en) * 1999-02-01 2004-02-10 Sensys Instruments Corporation Integrated surface metrology
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6754305B1 (en) 1999-08-02 2004-06-22 Therma-Wave, Inc. Measurement of thin films and barrier layers on patterned wafers with X-ray reflectometry
JP2001144004A (en) * 1999-11-16 2001-05-25 Nikon Corp Exposing method, aligner and method of manufacturing device
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique

Patent Citations (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5182455A (en) * 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5114235A (en) * 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5689614A (en) * 1990-01-19 1997-11-18 Applied Materials, Inc. Rapid thermal heating apparatus and control therefor
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5666196A (en) * 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5596406A (en) * 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US6157452A (en) * 1993-10-29 2000-12-05 Canon Kabushiki Kaisha Position detecting apparatus
US5516608A (en) * 1994-02-28 1996-05-14 International Business Machines Corporation Method for controlling a line dimension arising in photolithographic processes
US5596411A (en) * 1994-10-21 1997-01-21 Therma-Wave, Inc. Integrated spectroscopic ellipsometer
US5773174A (en) * 1994-11-14 1998-06-30 Matsushita Electric Industrial Co., Ltd. Method of forming a resist pattern utilizing correlation between latent image height, resist pattern linewidth and surface modification layer width
US5783342A (en) * 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5910842A (en) * 1995-01-19 1999-06-08 Kla-Tencor Corporation Focused beam spectroscopic ellipsometry method and system
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5581350A (en) * 1995-06-06 1996-12-03 Tencor Instruments Method and system for calibrating an ellipsometer
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5994036A (en) * 1996-02-23 1999-11-30 Nec Corporation Method of forming a resist pattern
US6317211B1 (en) * 1996-05-02 2001-11-13 International Business Machines Corporation Optical metrology tool and method of using same
US6079256A (en) * 1996-07-12 2000-06-27 Kla Instruments Corporation Overlay alignment measurement of wafers
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5973787A (en) * 1996-07-24 1999-10-26 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US6046094A (en) * 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6301001B1 (en) * 1996-10-03 2001-10-09 Canon Kabushiki Kaisha Optical element manufacturing system, an illumination system, and an exposure apparatus
US5917588A (en) * 1996-11-04 1999-06-29 Kla-Tencor Corporation Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
US5968691A (en) * 1997-01-30 1999-10-19 Tokyo Electron Limited Method and apparatus for coating resist and developing the coated resist
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5900939A (en) * 1997-07-11 1999-05-04 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US5798837A (en) * 1997-07-11 1998-08-25 Therma-Wave, Inc. Thin film optical measurement system and method with calibrating ellipsometer
US6515745B2 (en) * 1997-09-22 2003-02-04 Hdi Instrumentation Optical measurement system using polarized light
US6177330B1 (en) * 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US6301011B1 (en) * 1997-11-07 2001-10-09 Xerox Corporation Dynamic plug and play interface for output device
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6649923B2 (en) * 1997-11-14 2003-11-18 Canon Kabushiki Kaisha Positional deviation detecting method and device manufacturing method using the same
US6421124B1 (en) * 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US5917594A (en) * 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6255189B1 (en) * 1998-10-20 2001-07-03 U.S. Philips Corporation Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partly recessed oxide pattern
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6486492B1 (en) * 1999-06-29 2002-11-26 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
US6484060B1 (en) * 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US20020018217A1 (en) * 2000-08-11 2002-02-14 Michael Weber-Grabau Optical critical dimension metrology system integrated into semiconductor wafer process tool
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
US20020158193A1 (en) * 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US20020149782A1 (en) * 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060072807A1 (en) * 2000-09-20 2006-04-06 Kla-Tencor Technologies. Methods and systems for determining a presence of macro and micro defects on a specimen
US7751046B2 (en) 2000-09-20 2010-07-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US8179530B2 (en) 2000-09-20 2012-05-15 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US8502979B2 (en) 2000-09-20 2013-08-06 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20040235205A1 (en) * 2000-09-20 2004-11-25 Kla-Tencor, Inc. Methods and systems for determining a critical dimension and overlay of a specimen
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US20050128464A1 (en) * 2003-12-10 2005-06-16 Asml Netherlands B.V. Integrated lithographic fabrication cluster
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
US7289864B2 (en) 2004-07-12 2007-10-30 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US20060007453A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US7502660B2 (en) 2004-07-12 2009-03-10 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US20080027577A1 (en) * 2004-07-12 2008-01-31 Horak David V Feature dimension deviation correction system, method and program product
DE102004055449B4 (en) * 2004-11-17 2008-10-23 Steag Hamatech Ag Method and device for the thermal treatment of substrates
US20080217319A1 (en) * 2004-11-17 2008-09-11 Werner Saule Method and Device for the Thermal Treatment of Substrates
US7842905B2 (en) 2004-11-17 2010-11-30 Steag Hamatech Ag Method and device for the thermal treatment of substrates
DE102004055449A1 (en) * 2004-11-17 2006-06-01 Steag Hamatech Ag Method and device for the thermal treatment of substrates
US20060194155A1 (en) * 2005-02-24 2006-08-31 Daisuke Kawamura Resist pattern forming method and semiconductor device manufacturing method
US20070003878A1 (en) * 2005-03-23 2007-01-04 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060216653A1 (en) * 2005-03-23 2006-09-28 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060216649A1 (en) * 2005-03-23 2006-09-28 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7781149B2 (en) * 2005-03-23 2010-08-24 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20110244401A1 (en) * 2005-03-23 2011-10-06 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7981595B2 (en) * 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7906270B2 (en) * 2005-03-23 2011-03-15 Asml Netherlands B.V. Reduced pitch multiple exposure process
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
US20070181827A1 (en) * 2006-02-07 2007-08-09 Asml Netherlands B.V. Lithographic apparatus, calibration method, device manufacturing method and computer program product
TWI454858B (en) * 2006-02-07 2014-10-01 Asml Netherlands Bv Lithographic apparatus, calibration method, device manufacturing method and computer program product
US7502096B2 (en) * 2006-02-07 2009-03-10 Asml Netherlands B.V. Lithographic apparatus, calibration method, device manufacturing method and computer program product
US20070285639A1 (en) * 2006-06-07 2007-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Exposure scan and step direction optimization
US7666576B2 (en) * 2006-06-07 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Exposure scan and step direction optimization
US20080076068A1 (en) * 2006-09-13 2008-03-27 Samsung Austin Semiconductor Selective imaging through dual photoresist layers
US8383323B2 (en) * 2006-09-13 2013-02-26 Samsung Austin Semiconductor, L.P. Selective imaging through dual photoresist layers
US7493186B2 (en) * 2006-12-20 2009-02-17 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US20080154420A1 (en) * 2006-12-20 2008-06-26 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US20090011524A1 (en) * 2007-07-06 2009-01-08 Advanced Micro Devices, Inc. Method for determining suitability of a resist in semiconductor wafer fabrication
US7858276B2 (en) * 2007-07-06 2010-12-28 Advanced Micro Devices, Inc. Method for determining suitability of a resist in semiconductor wafer fabrication
US9507629B2 (en) 2011-04-22 2016-11-29 Mapper Lithography Ip B.V. Network architecture and protocol for cluster of lithography machines
US20160124323A1 (en) * 2014-10-29 2016-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
KR101722846B1 (en) * 2014-10-29 2017-04-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
US10073354B2 (en) * 2014-10-29 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method of wafer substrate, manufacturing method of semiconductor device, and exposure tool
US10534272B2 (en) 2014-10-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating reticle
US11003091B2 (en) 2014-10-29 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating reticle
US11940737B2 (en) 2014-10-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating reticle

Also Published As

Publication number Publication date
JP2012169638A (en) 2012-09-06
JP6032875B2 (en) 2016-11-30
JP2012009890A (en) 2012-01-12
US20020072001A1 (en) 2002-06-13
JP2003532306A (en) 2003-10-28
US20090079974A1 (en) 2009-03-26
US7767956B2 (en) 2010-08-03
US6987572B2 (en) 2006-01-17
JP6032913B2 (en) 2016-11-30
US6689519B2 (en) 2004-02-10
US20060138366A1 (en) 2006-06-29
WO2001084382A1 (en) 2001-11-08
US20030148198A1 (en) 2003-08-07
US7462814B2 (en) 2008-12-09

Similar Documents

Publication Publication Date Title
US6689519B2 (en) Methods and systems for lithography process control
US7085676B2 (en) Feed forward critical dimension control
JPH06151297A (en) Semiconductor wafer treatment by whole wafer critical size monitoring treatment using optical end-point detection
US6579733B1 (en) Using scatterometry to measure resist thickness and control implant
US20060222975A1 (en) Integrated optical metrology and lithographic process track for dynamic critical dimension control
US8980651B2 (en) Overlay measurement for a double patterning
JP5610664B2 (en) Method for in-line monitoring and control of heat treatment of resist-coated wafers
US6912438B2 (en) Using scatterometry to obtain measurements of in circuit structures
WO2002082530A2 (en) In-situ thickness measurement for use in semiconductor processing
US7080330B1 (en) Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7751025B2 (en) Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US7566181B2 (en) Controlling critical dimensions of structures formed on a wafer in semiconductor processing
JP2816866B2 (en) Processing method and processing apparatus
US6630361B1 (en) Use of scatterometry for in-situ control of gaseous phase chemical trim process
US20100279213A1 (en) Methods and systems for controlling variation in dimensions of patterned features across a wafer
US6602727B1 (en) Scatterometry based active control of exposure conditions
US6762133B1 (en) System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
US6641963B1 (en) System and method for in situ control of post exposure bake time and temperature
TWI669516B (en) Method for controlling a manufacturing apparatus and associated apparatuses
US6931618B1 (en) Feed forward process control using scatterometry for reticle fabrication
US6629786B1 (en) Active control of developer time and temperature
JP2000091210A (en) Aligner, exposure function setting method, and exposure method

Legal Events

Date Code Title Description
AS Assignment

Owner name: KLA-TENCOR TECHNOLOGIES CORP., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LAKKAPRAGADA, SURESH;BROWN, KYLE A.;HANKINSON, MATT;AND OTHERS;REEL/FRAME:014406/0526

Effective date: 20030725

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION