US20040009617A1 - Plasma etching apparatus and plasma etching method - Google Patents
Plasma etching apparatus and plasma etching method Download PDFInfo
- Publication number
- US20040009617A1 US20040009617A1 US10/617,019 US61701903A US2004009617A1 US 20040009617 A1 US20040009617 A1 US 20040009617A1 US 61701903 A US61701903 A US 61701903A US 2004009617 A1 US2004009617 A1 US 2004009617A1
- Authority
- US
- United States
- Prior art keywords
- plasma processing
- specimen
- temperature
- process chamber
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
- H01L21/205—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32504—Means for preventing sputtering of the vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
Definitions
- the present invention relates to a plasma etching apparatus and etching method and, more particularly, to a plasma etching apparatus and etching method suitable for forming a fine pattern in the semiconductor manufacture process.
- the plasma etching apparatus is widely used in the fine processing processes, for example, such as film deposition, etching, and ashing.
- the process by plasma etching performs the predetermined process by making processing gas introduced into the vacuum chamber (reactor) plasmatic by the plasma generation means, performing the fine processing by making it react on the surface of a semiconductor wafer, and discharging volatile reaction products.
- a dry etching apparatus for controlling and holding the temperature of each unit inside the reactor at a high temperature within a range of 150° C. to 300° C. (desirably from 200° C. to 250° C.) which is higher than the temperature at the etching stage of 150° C. or more with the accuracy of less than ⁇ 5° C. is described.
- the temperature of each unit of the inner surface of the reactor is increased and controlled at a high value by heating like this, the deposited amount of plasma polymeric products on the inner surface of the reactor reduces, and the deposited amount of plasma polymeric products on a semiconductor wafer increases, and the selection improves.
- a parallel plate type plasma etching apparatus in which a heating means for increasing and keeping the temperature so that reaction products generated by the plasma etching will not be deposited is installed on at least one of the clamp ring (workpiece holding means) and focus ring (plasma centralization means) is described.
- a heating means a resistance heating element is used. Deposition of reaction products can be prevented by heating, so that peeling of reaction products and deposition of particles on the surface of a workpiece can be reduced.
- the etching characteristic becomes very sensitive to the temperature of the inner wall surface and a problem arises that the reproducibility and reliability of the process are apt to reduce.
- the aforementioned interaction between the plasma and the inner wall becomes very sensitive to a change in temperature.
- SiO 2 is used as a material of the inner wall surface
- a thermodynamic relationship between the etching rate by F atoms of SiO 2 and the wall temperature is reported (D. L. Flamm, et al., J. Appl. Phys., 50, p. 6211 (1979)), and when this relationship is applied to a temperature zone of more than 150° C., the etching rate rapidly increases exponentially when the wall temperature is between 200° C. and 250° C. or more.
- the temperature control requires high accuracy such as ⁇ 5° C. max.
- the inner wall surface is exposed to high density plasma, so that it is not easy to control the wall surface temperature with high accuracy in such a high temperature zone.
- a temperature detection means and a heating means such as a heater and lamp are used for temperature control, though the temperature control mechanism and means are largely scaled.
- reaction products are not deposited on the inner wall surface, so that the wall surface is etched and consumed by plasma. Therefore, it is necessary to periodically exchange the parts of the inner wall surface and an increase in the cost of expendable supplies results. Heating requires large energy, thus the high temperature zone is not desirable also from a viewpoint of energy consumption.
- One method for solving such a problem is to protect the inner wall surface of the chamber by a surface coating layer of a polymer.
- a plasma etching apparatus for keeping the temperature of the workpiece (article to be processed) holder higher than that of the wall surface of the chamber and forming a surface coating layer on the inner wall surface of the chamber is described.
- the purpose in this case is not to protect the wall surface but to catch contaminant particles. It is just described that the temperature for forming a surface coating layer on the inner wall surface of the chamber is lower than that of a workpiece (article to be processed) by more than 5° C. and the temperature range and control accuracy are not taken into account.
- the pressure range is a high pressure range such as several hundreds mtorr (several tens Pa). However, it is inferred that the deposition temperature of a film changes the composition and quality of the film and affects the film peeling strength and occurrence of foreign substances.
- the present invention is designed to eliminate the difficulties mentioned above and an object of the present invention is to provide a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and deposition of reaction products.
- the inventors have given diligent study to the aforementioned problems and as a result of it, found that when the inner wall surface temperature in the reactor is controlled to a temperature sufficiently lower than that of a wafer and a constant temperature within a pressure range of several Pa max. in the reactor, a strong coating film is formed on the inner wall surface. As a result of more detailed analysis, the inventors have acknowledged that this coating film is polymerized much more when the temperature at film forming time is lower, and when the temperature at film forming time is controlled constant, a solid layer structure is formed, accordingly the film surface is not peeled off and damaged and dust is not caused.
- the inner wall surface temperature in the reactor is “sufficiently lower than that of a wafer and constant” means that the temperature is controlled with the accuracy of less than ⁇ 10° C. within a range lower than that of a wafer by 5° C. or more, desirably within a range lower by 20° C. or more.
- the temperature of a wafer during processing is almost within a range from 100° C. to 110° C., it means that the temperature range is 100° C. or lower, desirably 80° C. or lower.
- the present invention is designed on the basis of the aforementioned acknowledge and provides a plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, which is characterized in that the processing gas includes at least one kind of gas having a composition for forming a polymerized film by plasma discharge, and the processing gas is made plasmatic by plasma discharge in the processing chamber, and at least one part of the inner wall surface (or the surface of an internal component part) in contact with plasma in the processing chamber is controlled to a constant temperature which is sufficiently lower than that of a sample, and a strong polymerized film is formed on the inner wall surface of the processing chamber.
- Another characteristic of the present invention is that the temperature of the inner wall surface for forming the aforementioned polymerized film is controlled with the accuracy of less than ⁇ 10° C. within a range lower than that of the sample by 5° C. or more, desirably within a range lower by 20° C. or more.
- processing pressure in the processing chamber is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
- Another characteristic of the present invention is that the member constituting the inner wall surface of the processing chamber for forming the aforementioned polymerized film has a structure that it can be easily exchanged.
- the apparatus includes a process of controlling the growth of the aforementioned polymerized film formed on the inner wall surface of the processing chamber.
- Still another characteristic of the present invention is that in the plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, the component part (or the inner wall surface) in contact with plasma in the processing chamber is structured so that the bias power is applied to at least one part of the component part, and the heat capacity thereof is made sufficiently small, and the surface area thereof is made smaller.
- Another characteristic of the present invention is that the temperature of the component part in contact with plasma in the processing chamber is adjusted within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. and furthermore, the processing pressure is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
- Another characteristic of the present invention is that the component part of the inner wall is ring-shaped and the surface area of the part in contact with plasma is 20% of the total area of the inner wall of the processing chamber or less.
- Another characteristic of the present invention is that the component part in contact with plasma in the processing chamber, in which the bias power is applied to at least one part thereof is ring-shaped, and the thickness thereof is 6 mm or less, and the inner diameter thereof is more than the diameter of a sample
- Still another characteristic of the present invention is that the plasma etching apparatus is structured so that an infrared absorber is formed in the neighborhood of the side of the component part of the inner wall which is in contact with plasma and the temperature of the part is remotely controlled by the infrared radiation means.
- Another characteristic of the present invention is that the temperature of the part whose temperature is controlled by the aforementioned infrared radiation is controlled with the accuracy of less than ⁇ 10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C.,
- the plasma generation apparatus is a magnetic field UHF band electromagnetic wave radiation and discharge system.
- a part of processing gas is polymerized by plasma discharge and a surface coating layer is formed by polymer on the part of the inner wall of the processing chamber which is in contact with plasma or the surface of the part.
- the temperature of the inner wall surface is set in a temperature zone lower than that of a wafer, as compared with a case that the temperature of the inner wall surface is set in a high temperature zone of 200° C. or more, the interaction between plasma and the inner wall surface is weak and not sensitive to a change in temperature. As a result, the reproducibility and reliability of the process hardly reduce for a long period of time and the accuracy of temperature control may be, for example, less than ⁇ 10° C. and can be realized comparatively easily without using a complicated mechanism for temperature control.
- the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect the process.
- the temperature of the component part in contact with plasma in the processing chamber can be controlled more actively with high accuracy in a high temperature zone using infrared radiation and gas heat transfer, so that excessive deposition of reaction products is controlled, and an occurrence of foreign substances caused by peeling of reaction products can be reduced, and the effect on the process also can be controlled by controlling changes in the temperature and surface condition.
- the temperature is controlled with the accuracy of less than ⁇ 10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect even a finer process.
- FIG. 1 is a cross sectional schematic diagram of a plasma etching apparatus which is an embodiment of the present invention.
- FIG. 2 is a drawing showing the temperature control method of a sample holder ring which is an embodiment of the present invention.
- FIG. 3 is a drawing showing the temperature control method of a ring which is an embodiment of the present invention.
- FIG. 4 is a drawing showing the temperature control method of a ring by an infrared lamp which is an embodiment of the present invention.
- FIG. 5 is a drawing showing the temperature control method of a ring by a refrigerant which is an embodiment of the present invention.
- FIG. 6 is a cross sectional schematic diagram of a magnetic field RIE plasma etching apparatus which is an embodiment of the present invention.
- FIG. 7 is a cross sectional schematic diagram of a parallel plate type plasma etching apparatus which is an embodiment of the present invention.
- FIG. 8 is a cross sectional schematic diagram of an inductive coupling type plasma etching apparatus which is an embodiment of the present invention.
- FIG. 9 is a graph showing the relationship between a number of processed wafers and the temperature of the inner cylinder or jacket of the processing chamber.
- FIG. 1 shows an embodiment that the present invention is applied to a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system and is a cross sectional schematic diagram of the said plasma etching apparatus.
- a processing chamber 100 is a vacuum vessel which can realize the degree of vacuum of about 10 ⁇ 6 Torr and the apparatus has an antenna 110 for radiating electromagnetic Waves as a plasma generation means in an upper part of the processing chamber and a lower electrode 130 for loading a sample W such as a wafer in a lower part of the processing chamber.
- the antenna 110 and the lower electrode 130 are installed opposite to each other in parallel.
- a magnetic field forming means 101 comprising electromagnetic coils 101 A and 101 B and a yoke 101 C is installed around the processing chamber 100 and a magnetic field having a predetermined distribution and intensity is formed.
- a jacket or inner cylinder 103 for controlling the temperature of the inner surface of the side wall is held in the exchangeable state.
- a heat exchanging medium is circulated and supplied into the jacket 103 from a heat exchanging medium supply means 104 so as to control the temperature.
- the temperature of the jacket is controlled with the accuracy of less than ⁇ 10 ° C. within a range from 0° C. to 100° C., desirably from 20° C.
- the processing chamber 100 is evacuated by an evacuation system 106 connected to a vacuum chamber 105 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
- the processing chamber 100 and the vacuum chamber 105 are set at the grounding potential.
- the surface treatment such as plasma resistant anodized aluminum may be carried out on the surface thereof as a thermally conductive nonmagnetic metallic material including no heavy metal, for example, such as aluminum.
- the antenna 110 radiating electromagnetic waves comprises a disc electricity conductor 111 , a dielectric 112 , and a dielectric ring 113 and is held by a housing 114 which is a part of the vacuum vessel.
- a plate 115 is installed on the surface of the side of the disc electricity conductor 111 which is in contact with plasma and a ring 116 is further installed on the periphery thereof.
- Processing gas for performing the processes of etching of samples and film deposition is supplied from a gas supply means 117 at a predetermined flow rate and mixture ratio, controlled to a predetermined distribution via many holes provided in the disc electricity conductor 111 and the plate 115 , and supplied to the processing chamber 100 .
- An antenna power source 121 and an antenna high frequency power source 122 are connected to the disc electricity conductor 111 respectively via filter systems 123 and 124 of the matching circuit and connected to the ground via a filter 125 .
- the antenna power source 121 supplies power at a UHF band frequency desirably within a range from 300 MHz to 900 MHz and electromagnetic waves in the UHF band are radiated from the antenna 110 .
- the antenna high frequency power source 122 applies the bias power, for example, at a low frequency of about 100 kHz or a high frequency within a range from several MHz to about 10 MHZ to the disc electricity conductor 111 , thus controls the reaction on the surface of the plate 115 in contact with the disc electricity conductor 111 .
- the plate 115 Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, since the bias power is applied to the surface so as to prevent reaction products from deposition, the equipment process is stabilized. Furthermore, for example, when high-purity silicone or carbon is used as a material of the plate 115 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of the plate 115 is controlled and the radical composition ratio is adjusted.
- the distance between the under surface of the plate 115 and the wafer W (hereinafter, it is called the gap) is within a range from 30 mm to 150 mm, desirably from 50 mm to 120 mm.
- the disc electricity conductor 111 is kept at a predetermined temperature by a temperature control means not shown in the drawing, that is, by a heat exchanging medium circulating through it and the surface temperature of the plate 115 in contact with the disc electricity conductor 111 is controlled.
- the ring 116 is heated by the bias power from the antenna high frequency power source 122 and the temperature thereof is controlled. It will be described later in detail.
- the lower electrode 130 is installed opposite to the antenna 110 .
- a bias power source 141 for supplying bias power within a range from 400 kHz to 13.56 MHz is connected to the lower electrode 130 via a filter system 142 of the matching circuit, controls the bias power to be supplied to the sample W, and is connected to the ground via a filter 143 .
- the lower electrode 130 loads and holds the sample W such as a wafer on the top thereof, that is, on the sample loading surface by an electrostatic chucking device 131 .
- an electrostatic chucking dielectric layer (hereinafter, abbreviated to an electrostatic chucking film) is formed.
- the electrostatic chucking device 131 applies a DC voltage within a range from several hundreds V to several kv by an electrostatic chucking DC power source 144 and a filter 145 so as to generate coulomb force acting between the sample W and the electrostatic chucking device 131 via the electrostatic chucking film and adsorbs and holds the sample W on the lower electrode 130 .
- an electrostatic chucking film for example, an dielectric of aluminum oxide or of a mixture of aluminum oxide and titanium oxide is used.
- the sample W is controlled by a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof.
- a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof.
- an inert gas for example, He gas which is set at a predetermined flow rate and pressure is supplied to enhance the thermal conductivity between the electrostatic chucking device 131 and the sample W.
- a sample holder ring 132 is installed outside the sample W on the top of the electrostatic chucking device 131 .
- ceramics such as SiC, carbon, silicone, or quartz is used.
- the sample holder ring 132 is insulated from the electrostatic chucking device 131 by an insulator 133 such as alumina. Furthermore, by leaking and adding a part of the bias power from the bias power source 141 to the sample holder ring 132 via the insulator 133 , it is possible to adjust the application of the bias power to the sample holder ring 132 and control the reaction on the surface thereof.
- the F radical or CFx radical reaction on the surface of the sample holder ring 132 is adjusted by the scavenging action of silicone and particularly the uniformity of etching on the periphery of a wafer can be improved.
- the sample holder ring 132 is heated by the bias power and cooled by heat transfer gas, thus the temperature thereof is controlled. It will be described later in detail.
- the plasma etching apparatus in this embodiment is structured as mentioned above and a concrete process, for example, when a silicon oxide film is to be etched using this plasma etching apparatus will be explained hereunder by referring to FIG. 1.
- the wafer W which is an object to be processed is transferred from a sample transfer mechanism not shown in the drawing into the processing chamber and loaded and chucked on the lower electrode 130 .
- the height of the lower electrode is adjusted as required so as to be set to a predetermined gap.
- the inside of the processing chamber 100 is evacuated by the evacuation system 106 .
- gases necessary to the etching process of the sample W for example, C 4 F 8 and Ar are supplied to the processing chamber 100 from the plate 115 of the antenna 110 by the gas supply means 117 at a predetermined flow rate and mixture ratio, for example, at an Ar flow rate of 300 sccm and a C 4 F 8 flow rate of 9 sccm.
- the processing chamber 100 is evacuated by the evacuation system 106 and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure, for example, 1 Pa.
- a magnetic field of a predetermined distribution and intensity is formed by the magnetic field forming means 101 .
- Electromagnetic waves in the UHF band are radiated from the antenna 110 by the antenna power source 121 and plasma P is generated in the processing chamber 100 by the interaction with the magnetic field.
- the apparatus dissociates processing gas by this plasma P so as to generate radical ions and further performs the process such as etching to the wafer W by controlling the antenna high frequency power source 122 and the bias power source 141 .
- the apparatus stops the supply of the power and processing gas and terminates the etching.
- the plasma etching apparatus in this embodiment is structured as mentioned above and each unit in the reactor, particularly the inner surface of the side wall 103 and the ring 116 , and temperature control of the sample holder ring 132 and deposition control of reaction products will be explained in detail hereunder.
- the side wall 103 will be explained by referring to FIG. 1.
- the jacket 103 is held inside the side wall 102 of the processing chamber 100 and the temperature can be controlled by a heat exchanging medium.
- the inventors have experimented with an object of oxide film etching at a pressure of 2 Pa using a mixed gas series of C 4 F 8 and Ar as a processing gas and as a result of it, we have found that when the inner wall surface temperature in the reactor is controlled to a constant temperature which is sufficiently lower than the temperature (about 100° C.) of a wafer with the accuracy of less than ⁇ 10° C. within a range from 25° C. to 80° C., a strong coating film is formed on the inner wall surface. Within a pressure range of several tens mtorr max.
- the temperature of the side wall surface is controlled with the accuracy of less than ⁇ 10° C., so that it is forecasted that internal stress caused by a temperature change is not generated during deposition of a film and the film structure becomes fine. It is confirmed that a solid layer structure is formed.
- This film is very fine and strong and even when a film is deposited tentatively up to a thickness of about 200 microns in the deposition acceleration test, peeling of the film in the tape peeling test or in the friction test are not observed. Furthermore, this film is highly resistant to plasma and it is acknowledged that peeling and damage of the film surface are not observed even by the processing of plasma and no dust is caused.
- the side wall temperature is particularly controlled within a range from normal temperature to about 50° C., the energy for heating the side wall can be reduced, so that it is effective in energy conservation.
- a thermally conductive metal including no heavy metals, for example, aluminum may be used as a material of the side wall.
- the surface Since aluminum is exposed in the initial state free of a deposited film, there is the possibility that the surface will be damaged and deteriorated by plasma. To prevent it, the surface may be coated with a highly polymerized material. Or it is also possible, for example, to anodize the aluminum surface and then fill fine holes made by the anodizing process with a highly polymerized material. Needless to say, the hole filling process can be applied to other than the aluminum anodizing process. When a polymer film exists on the interface between the aluminum surface and the deposited film like this, an effect is produced that the adherence of the aluminum surface and the deposited film is increased and the deposited film is hardly peeled off. A film may be excessively deposited depending on the process. If this occurs, it is possible to execute plasma cleaning in a short time after the wafer processing so as to control film deposition and keep the film thickness constant.
- the sample holder ring 132 controls the reaction on the surface thereof by application of the bias power, thus can make the etching characteristic particularly on the periphery of a wafer uniform.
- the sample holder ring 132 is heated by the bias power in this case, it is necessary to control the applied bias power and temperature so as to control the reaction and deposition of a film on the surface thereof.
- This embodiment will be explained by referring to the cross sectional view (half on the right) of the lower electrode 130 shown in FIG. 2.
- the lower electrode 130 holds the sample W by the electrostatic chucking device 131 .
- the electrostatic chucking device 131 is insulated from the ground 135 by the insulator 134 .
- the sample holder ring 132 is installed opposite to the electrostatic chucking device 131 via the insulator 133 , thus structured so that a part of the bias power supplied from the bias power source 141 is leaked and added.
- the bias power to be applied can be adjusted by the thickness and material of the insulator 133 .
- the electrostatic chucking device 131 is kept at a predetermined temperature. by circulation of a temperature control heat medium (not shown in the drawing). Between the sample W and the surface of the electrostatic chucking device 131 , a flow path 136 of heat transfer gas (for example, He gas, etc.) is formed and the heat conduction is kept satisfactory by introduction of heat transfer gas. In this embodiment, flow 136 A and 136 B of heat transfer gas are also formed between the sample holder ring 132 , the insulator 133 , and the electrostatic chucking device 131 . A part of heat transfer gas for wafer cooling is introduced and the heat conduction at the contact is kept satisfactory.
- a temperature control heat medium not shown in the drawing.
- the heat conduction between the sample holder ring 132 and the electrostatic chucking device 131 kept at a predetermined temperature is kept satisfactory and the temperature of the sample holder ring 132 is kept stable.
- the temperature change due to application of the bias power to the sample holder ring 132 is controlled and the surface reaction and sample processing characteristic in the sample holder ring 132 can be stabilized.
- deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced.
- the surface reaction and temperature and deposition of a film can be controlled by a simple structure by application of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas and long term stabilization of the process and reduction of foreign substances can be realized.
- the heat conduction is assured by heat transfer gas.
- another heat conduction means for example, such as a thermally conductive sheet may be used.
- the antenna high frequency power source 122 is connected to the disc electricity conductor 111 and the bias power at about 100 kHz or within a range from several MHz to about 10 MHz is applied.
- the temperature of the disc electricity conductor 111 is kept at a predetermined value by a heat exchanging medium. Therefore, the plate 115 in contact with the disc electricity conductor 111 is applied with the bias power and the surface temperature thereof is also controlled. Since the plate 115 is opposite to a wafer, it affects the process most greatly. However, when the bias power is applied to this surface so as to prevent reaction products from deposition and further the surface reaction by the scavenging action is used using high-purity silicone as a material of the plate, the process can be stabilized.
- the ring 116 on the periphery of the plate 115 is heated by the bias power by the antenna high frequency power source 122 in the same way as with the plate 115 and moreover the heat capacity of the ring 116 is made smaller, thus the responsibility to temperature change is enhanced. This will be explained by referring to FIG. 3.
- FIG. 3 shows an embodiment showing the temperature control method for the ring 116 .
- the ring 116 is structured so that the shape thereof is made thinner, and a part thereof covers the plate 115 , and the thermal contact with the dielectric ring 113 and the plate 115 is minimized.
- the antenna high frequency power is applied to the plate 115 in this case, ions are pulled into the surface of the ring 116 in the direction of the arrow shown in the drawing by the bias power to the plate 115 .
- a heating mechanism such a heater and lamp is not used in this embodiment, so that there is an advantage that the mechanism will not be complicated.
- the width w of the part of the ring 116 to which the bias power is applied is set to, for example, 10 mm or more so that the part can be efficiently heated by the bias power.
- the thickness of the ring 116 is set to, for example, 6 mm or less, desirably 4 mm or less so as to be validly heated by the bias power.
- the heat capacity of the ring 115 is made smaller. As a result, the whole ring can be heated almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., As a result, the deposition of reaction products is controlled and the occurrence of foreign substances due to peeling of reaction products can be reduced.
- the change in surface reaction is not sensitive to the change in temperature compared with that in a high temperature zone of about 250° C. or more, so that there is an advantage that the temperature change in component parts can be made smaller to such a level that will not substantially affect the process.
- the thickness of the ring 116 can be decided by the antenna bias power frequency, the material of the ring 116 , and the balance of the deposition speed of reaction products to the ring 116 so as to control the film deposition and prevent the ring surface from sputtering and consuming by ions. As shown in the drawing, it is possible to make the parts other than the part to be applied with the bias power thinner and make the heat capacity of the whole ring smaller. When the heat capacity of the ring 116 is made smaller like this, the responsibility is satisfactory in a short time at the initial stage of the process and the temperature rises, so that the effect on the processing characteristic is small. It is desirable that the inner diameter d of the ring 116 is larger than the diameter of a sample.
- the width s of the ring is almost within a range from 50 mm to 70 mm and the surface area thereof is sufficiently small for the whole inner wall surface of the reactor, for example, such as 20% or less.
- the surface area of parts is made smaller like this, even if the temperature and surface condition are changed, the effect on the process can be controlled.
- the ring 116 is positioned on the periphery compared with a wafer, the effect on the process is made more smaller.
- FIG. 4 shows an embodiment of a temperature control mechanism by heating of a lamp.
- the dielectric ring 113 A is structured so that a part thereof can apply the bias power by the same structure 116 A as that of the ring 116 and furthermore, on the side of the dielectric ring 113 A close to plasma, an infrared absorber 151 for absorbing infrared light and far infrared light, for example, an aluminum thin film is formed. Infrared light and far infrared light are radiated from an infrared radiation means 152 , pass through an infrared transmission window 153 and the dielectric ring 113 A, are absorbed by the infrared absorber 151 , and heat the ring 116 .
- the infrared absorber 151 can be remotely heated by infrared light, so that when the infrared absorber 151 is installed on the side of the dielectric ring 113 A close to plasma, the temperature of the surface of the dielectric ring 113 A exposed to plasma can be controlled with higher accuracy.
- the heating mechanism uses absorption of infrared light, so that there is an advantage that the responsibility is better compared with heating by a heating resistor.
- the dielectric ring 113 A is heated also by the bias power by the bias power application unit 116 A, so that the responsibility to temperature is improved.
- the infrared radiation means 152 is installed in a holder 154 .
- a gap is provided between the holder 154 and the dielectric ring 113 A and heat transfer gas for temperature control is supplied to the gap via a gas supply means 155 .
- Heat transfer gas is sealed by vacuum sealing means 156 A and 156 B.
- the dielectric ring 113 A radiates heat by this gas heat transfer via the holder 154 . Therefore, for example, by heating by the bias power and lamp at start of the process and radiating heat by gas heat transfer during the process, the accuracy of temperature control is improved. As a result, the temperature of the dielectric ring 113 A can be controlled with the accuracy of about ⁇ 5 to 10° C. almost within a range from 100° C.
- the film deposition is reduced at this temperature, so that the occurrence of foreign substances due to peeling of a film is controlled.
- the surface condition of the dielectric ring 113 A is in the region greatly dependent on the temperature, so that the surface condition is not changed and a plasma process which is stable over a long period is realized.
- the film deposition is reduced by heating the ring 116 in contact with plasma and the dielectric ring 113 A.
- the ring in contact with plasma is controlled to a constant temperature which is lower than the temperature of a wafer in the same way as with the inner surface of the side wall explained in FIG. 1 and a stable deposited film can be formed.
- FIG. 5 shows this embodiment and the dielectric ring 113 B is controlled almost within a range from 20° C. to 100° C. under temperature control by a refrigerant.
- a refrigerant for temperature control is supplied to a refrigerant flow path 161 installed in the dielectric ring 113 B from a heat exchanging medium supply means 162 .
- the refrigerant is sealed by a sealing means 163 .
- the temperature of the dielectric ring 113 B is kept at a predetermined value by a temperature controller and temperature detector which are not shown in the drawing.
- the temperature of the dielectric ring 113 B can be kept almost within a range from 20° C. to 100° C. during plasma processing. Therefore, a stable and strong film of reaction products is deposited on the surface of the dielectric ring 113 B, so that the surface of the dielectric ring 113 B will not be etched and consumed.
- the film may be kept at a constant thickness by concurrently using plasma cleaning.
- Each of the aforementioned embodiments uses a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system.
- electromagnetic waves to be radiated may be, for example, microwaves at 2.45 GHz or waves in the VHF band almost within a range from several tens MHz to 300 MHz in addition to the UHF band.
- the magnetic field is not always necessary and, discharge of nonmagnetic field microwaves, for example, is acceptable.
- the aforementioned embodiments can be applied to, for example, a magnetron type plasma etching apparatus using the magnetic field, a plasma etching apparatus of a parallel plate type capacitively coupled system, or an inductive coupling type plasma etching apparatus.
- FIG. 6 shows an example that the present invention is applied to an RIE apparatus (a magnetron RIE apparatus or magnetically enhanced RIE apparatus).
- the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, and an upper electrode 201 to be grounded opposite to it and also has the gas supply means 117 for introducing predetermined gas into the vacuum vessel, the evacuation system 106 for decompressing and evacuating the vacuum vessel, an electric field generation means 203 for generating an electric field between the lower electrode and the upper electrode, and a magnetic field generation means 202 for generating a magnetic field inside the vacuum vessel.
- the magnetic field generation means 202 has a plurality of permanent magnets or coils which are arranged in a ring-shape on the periphery of the processing chamber 100 and forms a magnetic field almost parallel to the electrodes inside the processing chamber.
- the magnetic field generation means 202 makes processing gas plasmatic by the electric field generated between the electrodes, generates plasma P, and processes the sample W. Furthermore, in the magnetron RIE, a magnetic field is formed almost perpendicularly to the electric field by the magnetic field generation means 202 , so that the collision frequency between electrons and molecules and atoms in plasma increases, and the plasma density increases, and a high etching characteristic is obtained.
- the jacket 103 for controlling the temperature of the inner surface of the side wall is held by the side wall 102 in the exchangeable state, and a heat exchanging medium is circulated and supplied into the jacket 103 from the heat exchanging medium supply means 104 , and the temperature of the jacket is controlled with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C.
- the jacket 103 comprises, for example, anodized aluminum.
- the inner wall surface of the reactor can be controlled to a constant temperature which is sufficiently lower than the temperature of a wafer, so that a strong deposited film can be formed on the inner surface of the side wall of the reactor.
- This film is highly resistant to plasma and acts as a protection film for the inner wall of the reactor and peeling of reaction products and adhesion of particles onto the sample surface are reduced. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced, and the reduction of running cost results, and there is no need to use ceramics such as SiC which is highly resistant to plasma, and the cost of parts can be reduced.
- FIG. 7 shows an example that the present invention is applied to a parallel plate type plasma etching apparatus.
- the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, an upper electrode 210 opposite to it, and an electric field generation means 221 for supplying power to the upper electrode 210 and generating an electric field between the electrodes.
- Predetermined processing gas is supplied into the processing chamber 100 by the gas supply means 117 and the vacuum vessel is decompressed and evacuated by the vacuum system 106 . Processing gas is made plasmatic by the electric field generated between the electrodes, and plasma P is generated, and the sample W is processed.
- the upper electrode 210 is held by a housing 214 with an electrode plate 211 insulated by insulators 212 and 213 .
- a plate 215 is installed on the side of the electrode plate 211 in contact with plasma and a shield ring 216 is installed on the periphery thereof.
- the shield ring 216 protects the insulators 212 and 213 from plasma, simultaneously increases the plasma density by sealing the plasma P in the processing chamber 100 in the state that it is positioned opposite to the sample holder ring 132 , and obtains a high etching characteristic.
- the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., so that a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced.
- the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced.
- the shield ring 216 is structured so that the shape thereof is thin, and a part of the shield ring 216 covers the plate 115 , and the thermal contact with other parts is minimized.
- the shield ring 216 is heated by ions due to the self bias power, and the deposition of reaction products is controlled, and the occurrence of foreign substances is reduced.
- FIG. 8 shows an example that the present invention is applied to an inductively coupled type plasma etching apparatus.
- the processing chamber 100 as a vacuum vessel has the side wall 102 , the lower electrode 130 for loading the sample W such as a wafer, and a top plate 230 and is decompressed and evacuated by the vacuum system 106 .
- On the top of the top plate 230 inductive discharge coils 231 are arranged and high frequency power is supplied from a high frequency power source 232 .
- Processing gas is supplied from the gas supply means 117 and made plasmatic by inductive discharge by the inductive discharge coils 231 , and plasma P is generated, and the sample W is processed.
- silicone is used as a material of the top elate so as to stabilize the process and the interaction between plasma and the wall is controlled by a means, for example, a Faraday shield or a magnetic field, thus even if the temperature of the side wall is made lower than the temperature of a wafer, a high etching characteristic can be obtained stably.
- the temperature of the inner surface of the side wall 102 is controlled by the jacket 103 with the accuracy of less than ⁇ 10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C.,
- a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced.
- the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced.
- the processing object is semiconductor wafers and the etching process for them is described.
- the present invention is not limited to it and for example, it can be applied also to a case that the processing object is a liquid crystal board and the process itself is not limited to etching but the present invention can be applied also to, for example, the sputtering or CVD process.
- the temperature of the inner cylinder or jacket may be detected indirectly, if it cannot be detected directly.
- the following effects can be obtained by attaching a temperature detector to the inner cylinder or jacket.
- a heater may be used as the temperature control function for the outer cylinder or side wall.
- a temperature controlled liquid to the outer cylinder or side wall, it is possible to widen the temperature control range from a cooled state below room temperature to a heated state, and accordingly controllability of the inner cylinder or jacket temperature can be improved in this way.
- application of the present invention is not limited to the case where a process is stabilized by maintaining the temperature of the inner cylinder or jacket to a preset value.
- the present invention can be also applied to, for example, a case where an initial process change for a lot of wafers is corrected by intentionally changing the temperature of the inner cylinder or jacket at the initial stage of the lot. That is, it is possible to stabilize a process by improving the temperature controllability for the inner cylinder or jacket during processing of the wafers of the lot as illustrated in FIG. 9.
- the inside of the process chamber is evacuated to a preset pressure by action of the vacuum pump.
- the heater is operated.
- the inner cylinder or jacket is heated by heat generation of the heater.
- the temperature of the heated inner cylinder or jacket is directly or indirectly detected and controlled to a desired temperature. By doing so, it can be confirmed that the temperature of the inner cylinder or jacket can be controlled to the desired temperature. If the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, operation of the heater is stopped. Then, the cause of the trouble is checked and repaired.
- the temperature of the inner cylinder or jacket is monitored continuously or when required.
- the monitored temperature is compared with a preset desired temperature, and the temperature of the inner cylinder or jacket is controlled to the desired temperature based on the result of the comparison.
- the temperature control of the inner cylinder or jacket is performed.
- a cleaning process is performed for the inside of the process chamber.
- the process is performed by wiping the inside surface of the process chamber, such as the surface of the inner cylinder or jacket, and the surfaces of parts arranged inside the process chamber, such as the sample table, or by utilizing a cleaning gas plasma.
- the process is performed before a wafer processing, in the intervals between processings, or after completion of a wafer processing.
- a discharge running-in (seasoning) process is performed for the inside of the process chamber.
- the seasoning process is performed before starting a wafer processing at the beginning of a day, or during a period after completion of a cleaning processing and before starting of a wafer processing. In this case, it may be checked during the seasoning process whether the temperature of the inner cylinder or jacket can be controlled or not.
- the wafer processing conditions include the quality of film to be processed, the kind of processing gas to be used, the condition of discharge, the type of discharge and so on.
- wafer processing conditions are input to the controller of the processing apparatus from a higher level controlling unit or an operator.
- the controller has received an indication of the temperature of the inner cylinder or jacket corresponding to each of the wafer processing conditions.
- the temperature of the inner cylinder or jacket corresponding to the input wafer processing condition is selected and set as a control temperature.
- a detected and monitored temperature of the inner cylinder or jacket is input to the controller. The detected and monitored temperatures are compared with the control temperature, and the temperature of the inner cylinder or jacket is controlled to the control temperature based on the result of comparison.
- the temperature of the inner cylinder or jacket may be controlled to a temperature corresponding to that set for the quality of each film, the kind of process gas, the condition of discharge and so on. By doing so, the characteristic of plasma processing can be finely stabilized over time.
- the temperature of the inner cylinder or jacket may be varied along a desired temperature pattern in order to make the processing performance uniform.
- a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and the wall surface condition can be provided.
Abstract
A plasma processing method for plasma processing a specimen utilizing a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit. the method includes detecting a temperature of the inner cylinder of the process chamber utilizing the monitor unit to one of continuously monitor the inner cylinder temperature and optionally monitor the inner cylinder temperature at a time of plasma processing of a specimen.
Description
- This application is a continuation application of Ser. No. 09/983,946, filed Oct. 26, 2001, which is a continuation application of Ser. No. 09/421,044, filed Oct. 20, 1999, which is a divisional application of Ser. No. 09/227,332, filed Jan. 8, 1999, now U.S. Pat. No. 6,171,438, which is a continuation-in-part application of Ser. No. 08/611,758, filed Mar. 8, 1996, now U.S. Pat. No. 5,874,012, entitled “Plasma Processing Apparatus and Plasma Processing Method”, by some of the inventors herein, the subject matter of the aforementioned applications being incorporated by reference herein.
- The present invention relates to a plasma etching apparatus and etching method and, more particularly, to a plasma etching apparatus and etching method suitable for forming a fine pattern in the semiconductor manufacture process.
- In the semiconductor manufacture process, the plasma etching apparatus is widely used in the fine processing processes, for example, such as film deposition, etching, and ashing. The process by plasma etching performs the predetermined process by making processing gas introduced into the vacuum chamber (reactor) plasmatic by the plasma generation means, performing the fine processing by making it react on the surface of a semiconductor wafer, and discharging volatile reaction products.
- In this plasma etching process, the temperatures of the inner wall of the reactor and wafer and the deposition status of reaction products on the inner wall greatly affect the process. If reaction products deposited inside the reactor are peeled off, dust may be caused, resulting in deterioration of the element characteristics and reduction of the yield.
- Therefore, in the plasma etching apparatus, to keep the process stable and control generation of foreign substances, it is important to control the temperature in the reactor and deposition of reaction products on the surface.
- For example, in Japanese Patent Application Laid-Open 8-144072, for the purpose of improving the selection ratio in the dry etching process of a silicon oxide film, a dry etching apparatus for controlling and holding the temperature of each unit inside the reactor at a high temperature within a range of 150° C. to 300° C. (desirably from 200° C. to 250° C.) which is higher than the temperature at the etching stage of 150° C. or more with the accuracy of less than ±5° C. is described. When the temperature of each unit of the inner surface of the reactor is increased and controlled at a high value by heating like this, the deposited amount of plasma polymeric products on the inner surface of the reactor reduces, and the deposited amount of plasma polymeric products on a semiconductor wafer increases, and the selection improves.
- In Japanese Patent Application Laid-Open 5-275385, a parallel plate type plasma etching apparatus in which a heating means for increasing and keeping the temperature so that reaction products generated by the plasma etching will not be deposited is installed on at least one of the clamp ring (workpiece holding means) and focus ring (plasma centralization means) is described. As a heating means, a resistance heating element is used. Deposition of reaction products can be prevented by heating, so that peeling of reaction products and deposition of particles on the surface of a workpiece can be reduced.
- As mentioned above, in the plasma etching apparatus, it is important to control the temperature of the surface of the inner wall of the chamber and deposition of reaction products on the surface of the inner wall.
- However, when the temperature of the inner wall surface of the chamber, particularly the temperature of the side wall surface having a wide area is set to a high value between 200° C. and 250° C. or more, the etching characteristic becomes very sensitive to the temperature of the inner wall surface and a problem arises that the reproducibility and reliability of the process are apt to reduce.
- For example, in S. C. McNevin, et al., J. vac. Sci. Technol. B 15(2) Mar./Apr. 1997, p. 21, Chemical challenge of submicron oxide etching’, it is indicated that when the side wall temperature changes from 200° C. to 170° C. in inductive coupling plasma, the oxide film etching rate increases more than 5%. As a reason, it is inferred that since the side wall temperature lowers, much more carbon is adsorbed into the wall, and deposition of carbon on a wafer reduces, and the oxide film etching rate increases. As mentioned above, since high density plasma, particularly, performs a strong interaction with the inner wall of the reactor in the high temperature zone, deposition of reaction products on the inner wall surface and composition change of the surface proceed rapidly due to a change in the temperature balance inside the reactor and appear as a change in the etching characteristic.
- Furthermore, in the high temperature zone, the aforementioned interaction between the plasma and the inner wall becomes very sensitive to a change in temperature. For example, when SiO2 is used as a material of the inner wall surface, a thermodynamic relationship between the etching rate by F atoms of SiO2 and the wall temperature is reported (D. L. Flamm, et al., J. Appl. Phys., 50, p. 6211 (1979)), and when this relationship is applied to a temperature zone of more than 150° C., the etching rate rapidly increases exponentially when the wall temperature is between 200° C. and 250° C. or more.
- Therefore, in such a high temperature zone, the temperature control requires high accuracy such as ±5° C. max. However, the inner wall surface is exposed to high density plasma, so that it is not easy to control the wall surface temperature with high accuracy in such a high temperature zone. To realize it, a temperature detection means and a heating means such as a heater and lamp are used for temperature control, though the temperature control mechanism and means are largely scaled. Furthermore, in such a high temperature zone, reaction products are not deposited on the inner wall surface, so that the wall surface is etched and consumed by plasma. Therefore, it is necessary to periodically exchange the parts of the inner wall surface and an increase in the cost of expendable supplies results. Heating requires large energy, thus the high temperature zone is not desirable also from a viewpoint of energy consumption.
- The same problem is imposed also by heating the ring around a wafer and the electrode. When the ring is heated to increase the temperature thereof, deposition of reaction products can be prevented, though the heating mechanism such as the resistance heating element makes the equipment constitution complex. When the ring and inner wall surface are etched and consumed by plasma even if deposition of reaction products can be prevented, there is the possibility that the constitution material itself will become a new dust source. Furthermore, when the parts of the ring and inner wall surface are consumed, it is necessary to periodically exchange them and the running cost of the equipment increases.
- One method for solving such a problem is to protect the inner wall surface of the chamber by a surface coating layer of a polymer. For example, in Japanese Patent Application Laid-Open 7-312363, a plasma etching apparatus for keeping the temperature of the workpiece (article to be processed) holder higher than that of the wall surface of the chamber and forming a surface coating layer on the inner wall surface of the chamber is described. By catching and storing contaminant particles in a polymer film, remaining and storing of contaminants in the chamber due to reaction products can be reduced.
- However, the purpose in this case is not to protect the wall surface but to catch contaminant particles. It is just described that the temperature for forming a surface coating layer on the inner wall surface of the chamber is lower than that of a workpiece (article to be processed) by more than 5° C. and the temperature range and control accuracy are not taken into account. The pressure range is a high pressure range such as several hundreds mtorr (several tens Pa). However, it is inferred that the deposition temperature of a film changes the composition and quality of the film and affects the film peeling strength and occurrence of foreign substances. It is expected that changing of the deposited film temperature results in occurrence of cracking and peeling due to repetition of thermal expansion and shrink and causes foreign substances and the temperature control accuracy is an important factor. Within a pressure range of several tens mtorr max. (several Pa max.), it is considered that the film deposition condition varies due to high ion energy and a longer mean free distance of molecules, Furthermore, in the aforementioned prior art, it is necessary to remove the coating layer catching contaminants from the wall surface of the plasma etching chamber and it directly affects the throughput of the equipment and the cost of expendable supplies. However, this respect is not taken into account.
- The present invention is designed to eliminate the difficulties mentioned above and an object of the present invention is to provide a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and deposition of reaction products.
- The inventors have given diligent study to the aforementioned problems and as a result of it, found that when the inner wall surface temperature in the reactor is controlled to a temperature sufficiently lower than that of a wafer and a constant temperature within a pressure range of several Pa max. in the reactor, a strong coating film is formed on the inner wall surface. As a result of more detailed analysis, the inventors have acknowledged that this coating film is polymerized much more when the temperature at film forming time is lower, and when the temperature at film forming time is controlled constant, a solid layer structure is formed, accordingly the film surface is not peeled off and damaged and dust is not caused.
- In the above description, that the inner wall surface temperature in the reactor is “sufficiently lower than that of a wafer and constant” means that the temperature is controlled with the accuracy of less than ±10° C. within a range lower than that of a wafer by 5° C. or more, desirably within a range lower by 20° C. or more. When the temperature of a wafer during processing is almost within a range from 100° C. to 110° C., it means that the temperature range is 100° C. or lower, desirably 80° C. or lower.
- On the other hand, in the reactor, there is a part or a component part where the control in the aforementioned low temperature zone is difficult. The inventors have given study also to such a part and as a result of it, found a method for controlling the temperature and deposition of reaction products on the surface without using a complicated heating mechanism such as a heating resistor.
- The present invention is designed on the basis of the aforementioned acknowledge and provides a plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, which is characterized in that the processing gas includes at least one kind of gas having a composition for forming a polymerized film by plasma discharge, and the processing gas is made plasmatic by plasma discharge in the processing chamber, and at least one part of the inner wall surface (or the surface of an internal component part) in contact with plasma in the processing chamber is controlled to a constant temperature which is sufficiently lower than that of a sample, and a strong polymerized film is formed on the inner wall surface of the processing chamber.
- Another characteristic of the present invention is that the temperature of the inner wall surface for forming the aforementioned polymerized film is controlled with the accuracy of less than ±10° C. within a range lower than that of the sample by 5° C. or more, desirably within a range lower by 20° C. or more.
- Another characteristic of the present invention is that the processing pressure in the processing chamber is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
- Another characteristic of the present invention is that the member constituting the inner wall surface of the processing chamber for forming the aforementioned polymerized film has a structure that it can be easily exchanged.
- Another characteristic of the present invention is that the apparatus includes a process of controlling the growth of the aforementioned polymerized film formed on the inner wall surface of the processing chamber.
- Still another characteristic of the present invention is that in the plasma etching apparatus comprising a vacuum processing chamber, a plasma generation device, a processing gas supply means for supplying gas to the processing chamber, an electrode for holding a sample to be processed in this vacuum processing chamber, and an evacuation system for reducing the pressure of the vacuum processing chamber, the component part (or the inner wall surface) in contact with plasma in the processing chamber is structured so that the bias power is applied to at least one part of the component part, and the heat capacity thereof is made sufficiently small, and the surface area thereof is made smaller.
- Another characteristic of the present invention is that the temperature of the component part in contact with plasma in the processing chamber is adjusted within a range from 100° C. to 250° C., desirably from 150° C. to 200° C. and furthermore, the processing pressure is set within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa.
- Another characteristic of the present invention is that the component part of the inner wall is ring-shaped and the surface area of the part in contact with plasma is 20% of the total area of the inner wall of the processing chamber or less.
- Another characteristic of the present invention is that the component part in contact with plasma in the processing chamber, in which the bias power is applied to at least one part thereof is ring-shaped, and the thickness thereof is 6 mm or less, and the inner diameter thereof is more than the diameter of a sample
- Still another characteristic of the present invention is that the plasma etching apparatus is structured so that an infrared absorber is formed in the neighborhood of the side of the component part of the inner wall which is in contact with plasma and the temperature of the part is remotely controlled by the infrared radiation means.
- Another characteristic of the present invention is that the temperature of the part whose temperature is controlled by the aforementioned infrared radiation is controlled with the accuracy of less than ±10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C.,
- Still another characteristic of the present invention is that in the plasma etching apparatus, the plasma generation apparatus is a magnetic field UHF band electromagnetic wave radiation and discharge system.
- According to the present invention, a part of processing gas is polymerized by plasma discharge and a surface coating layer is formed by polymer on the part of the inner wall of the processing chamber which is in contact with plasma or the surface of the part. By controlling the temperature of the inner wall surface of the reactor to a constant temperature sufficiently lower than that of a wafer, the polymerization of the coating layer proceeds and a solid layer structure can be formed. Therefore, the inner wall surface will not be etched and consumed by plasma, so that the frequency of part exchange of the inner wall surface can be reduced and the running cost can be decreased. Even if the coating layer is exposed to plasma, peeling and damage are not caused to the surface thereof because the film composition is dense, so that dust will not be caused.
- Since the temperature of the inner wall surface is set in a temperature zone lower than that of a wafer, as compared with a case that the temperature of the inner wall surface is set in a high temperature zone of 200° C. or more, the interaction between plasma and the inner wall surface is weak and not sensitive to a change in temperature. As a result, the reproducibility and reliability of the process hardly reduce for a long period of time and the accuracy of temperature control may be, for example, less than ±10° C. and can be realized comparatively easily without using a complicated mechanism for temperature control.
- When a polymerized film exceeding a predetermined value is formed on the inner wall surface, it is necessary to remove this film. When the equipment is exposed to the air, and the component part of the inner wall surface of the processing chamber on which the polymerized film is formed is exchanged, and the equipment is reoperated, and the film is removed by wet cleaning on an ex-situ basis after removal from the chamber instead of plasma cleaning, and the inner wall surface is reproduced, satisfactory results can be produced such that the non-operation time of the equipment is reduced, and the throughput is prevented from reduction, and the cost of expendable supplies can be reduced by reproduction and repetitive use of parts. When a process of controlling the growth of the polymerized film is added to the process, the time up to opening and cleaning of the equipment can be prolonged.
- On the other hand, according to still another characteristic of the present invention, with respect to a part or component part for which the temperature control in a temperature zone sufficiently lower than that of a wafer is difficult, when a structure that the bias power is applied to at least one part thereof is installed in the reactor and the heat capacity of the whole part is made sufficiently small, the whole part can be controlled in a high temperature zone without using a complicated mechanism such as a heater and lamp, so that excessive deposition of reaction products is controlled and an occurrence of foreign substances caused by peeling of reaction products can be reduced. When the surface area of the part is made smaller, the effect on the process can be controlled even if the temperature and surface condition are changed. Furthermore, when the magnitude of bias power to be applied to the component part is adjusted and the temperature is set within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect the process.
- According to still another characteristic of the present invention, the temperature of the component part in contact with plasma in the processing chamber can be controlled more actively with high accuracy in a high temperature zone using infrared radiation and gas heat transfer, so that excessive deposition of reaction products is controlled, and an occurrence of foreign substances caused by peeling of reaction products can be reduced, and the effect on the process also can be controlled by controlling changes in the temperature and surface condition. Furthermore, when the temperature is controlled with the accuracy of less than ±10° C. within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., as compared with a case that the temperature is set within a high temperature zone of about 250° C. or more, the process is not sensitive to a change in temperature, so that there is an advantage that the temperature change of the component part can be made smaller to a level that will not substantially affect even a finer process.
- FIG. 1 is a cross sectional schematic diagram of a plasma etching apparatus which is an embodiment of the present invention.
- FIG. 2 is a drawing showing the temperature control method of a sample holder ring which is an embodiment of the present invention.
- FIG. 3 is a drawing showing the temperature control method of a ring which is an embodiment of the present invention.
- FIG. 4 is a drawing showing the temperature control method of a ring by an infrared lamp which is an embodiment of the present invention.
- FIG. 5 is a drawing showing the temperature control method of a ring by a refrigerant which is an embodiment of the present invention.
- FIG. 6 is a cross sectional schematic diagram of a magnetic field RIE plasma etching apparatus which is an embodiment of the present invention.
- FIG. 7 is a cross sectional schematic diagram of a parallel plate type plasma etching apparatus which is an embodiment of the present invention.
- FIG. 8 is a cross sectional schematic diagram of an inductive coupling type plasma etching apparatus which is an embodiment of the present invention.
- FIG. 9 is a graph showing the relationship between a number of processed wafers and the temperature of the inner cylinder or jacket of the processing chamber.
- The embodiments of the present invention will be explained hereunder with reference to the accompanying drawings.
- FIG. 1 shows an embodiment that the present invention is applied to a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system and is a cross sectional schematic diagram of the said plasma etching apparatus.
- In FIG. 1, a
processing chamber 100 is a vacuum vessel which can realize the degree of vacuum of about 10−6 Torr and the apparatus has anantenna 110 for radiating electromagnetic Waves as a plasma generation means in an upper part of the processing chamber and alower electrode 130 for loading a sample W such as a wafer in a lower part of the processing chamber. Theantenna 110 and thelower electrode 130 are installed opposite to each other in parallel. A magnetic field forming means 101 comprisingelectromagnetic coils processing chamber 100 and a magnetic field having a predetermined distribution and intensity is formed. By the interaction of electromagnetic waves radiated from theantenna 110 and the magnetic field formed by the magnetic field forming means 101, processing gas introduced into the processing chamber is made plasmatic, and plasma P is generated, and the sample W is processed. - On a side wall or
outer cylinder 102 of theprocessing chamber 100, a jacket orinner cylinder 103 for controlling the temperature of the inner surface of the side wall is held in the exchangeable state. A heat exchanging medium is circulated and supplied into thejacket 103 from a heat exchanging medium supply means 104 so as to control the temperature. The temperature of the jacket is controlled with the accuracy of less than ±10 ° C. within a range from 0° C. to 100° C., desirably from 20° C. to 80° C., On the other hand, theprocessing chamber 100 is evacuated by anevacuation system 106 connected to avacuum chamber 105 and the inside of theprocessing chamber 100 is adjusted to a predetermined processing pressure within a range from 0.1 Pa to 10 Pa, desirably from 0.5 Pa to 4 Pa. Theprocessing chamber 100 and thevacuum chamber 105 are set at the grounding potential. With respect to theside wall 102 of theprocessing chamber 100 and thejacket 103, the surface treatment such as plasma resistant anodized aluminum may be carried out on the surface thereof as a thermally conductive nonmagnetic metallic material including no heavy metal, for example, such as aluminum. - The
antenna 110 radiating electromagnetic waves comprises adisc electricity conductor 111, a dielectric 112, and adielectric ring 113 and is held by ahousing 114 which is a part of the vacuum vessel. Aplate 115 is installed on the surface of the side of thedisc electricity conductor 111 which is in contact with plasma and aring 116 is further installed on the periphery thereof. Processing gas for performing the processes of etching of samples and film deposition is supplied from a gas supply means 117 at a predetermined flow rate and mixture ratio, controlled to a predetermined distribution via many holes provided in thedisc electricity conductor 111 and theplate 115, and supplied to theprocessing chamber 100. - An
antenna power source 121 and an antenna highfrequency power source 122 are connected to thedisc electricity conductor 111 respectively viafilter systems filter 125. Theantenna power source 121 supplies power at a UHF band frequency desirably within a range from 300 MHz to 900 MHz and electromagnetic waves in the UHF band are radiated from theantenna 110. On the other hand, the antenna highfrequency power source 122 applies the bias power, for example, at a low frequency of about 100 kHz or a high frequency within a range from several MHz to about 10 MHZ to thedisc electricity conductor 111, thus controls the reaction on the surface of theplate 115 in contact with thedisc electricity conductor 111. Since theplate 115 is opposite to a wafer, it affects the process most greatly. However, since the bias power is applied to the surface so as to prevent reaction products from deposition, the equipment process is stabilized. Furthermore, for example, when high-purity silicone or carbon is used as a material of theplate 115 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of theplate 115 is controlled and the radical composition ratio is adjusted. The distance between the under surface of theplate 115 and the wafer W (hereinafter, it is called the gap) is within a range from 30 mm to 150 mm, desirably from 50 mm to 120 mm. - The
disc electricity conductor 111 is kept at a predetermined temperature by a temperature control means not shown in the drawing, that is, by a heat exchanging medium circulating through it and the surface temperature of theplate 115 in contact with thedisc electricity conductor 111 is controlled. Thering 116 is heated by the bias power from the antenna highfrequency power source 122 and the temperature thereof is controlled. It will be described later in detail. - At the lower part of the
processing chamber 100, thelower electrode 130 is installed opposite to theantenna 110. Abias power source 141 for supplying bias power within a range from 400 kHz to 13.56 MHz is connected to thelower electrode 130 via afilter system 142 of the matching circuit, controls the bias power to be supplied to the sample W, and is connected to the ground via afilter 143. - The
lower electrode 130 loads and holds the sample W such as a wafer on the top thereof, that is, on the sample loading surface by anelectrostatic chucking device 131. On the top of theelectrostatic chucking device 131, an electrostatic chucking dielectric layer (hereinafter, abbreviated to an electrostatic chucking film) is formed. Theelectrostatic chucking device 131 applies a DC voltage within a range from several hundreds V to several kv by an electrostatic chuckingDC power source 144 and afilter 145 so as to generate coulomb force acting between the sample W and theelectrostatic chucking device 131 via the electrostatic chucking film and adsorbs and holds the sample W on thelower electrode 130. As an electrostatic chucking film, for example, an dielectric of aluminum oxide or of a mixture of aluminum oxide and titanium oxide is used. - Furthermore, the sample W is controlled by a temperature control means not shown in the drawing so that the surface temperature thereof is set to a predetermined temperature so as to control the surface reaction thereof. For that purpose, to the
lower electrode 130, an inert gas, for example, He gas which is set at a predetermined flow rate and pressure is supplied to enhance the thermal conductivity between theelectrostatic chucking device 131 and the sample W. By doing this, the temperature of a wafer is controlled within a range from 100° C. to 110° C. at its maximum. - A
sample holder ring 132 is installed outside the sample W on the top of theelectrostatic chucking device 131. As a material of thesample holder ring 132, ceramics such as SiC, carbon, silicone, or quartz is used. Thesample holder ring 132 is insulated from theelectrostatic chucking device 131 by aninsulator 133 such as alumina. Furthermore, by leaking and adding a part of the bias power from thebias power source 141 to thesample holder ring 132 via theinsulator 133, it is possible to adjust the application of the bias power to thesample holder ring 132 and control the reaction on the surface thereof. For example, when high-purity silicone is used as a material of thesample holder ring 132 in oxide film etching using CF series gas, the F radical or CFx radical reaction on the surface of thesample holder ring 132 is adjusted by the scavenging action of silicone and particularly the uniformity of etching on the periphery of a wafer can be improved. Thesample holder ring 132 is heated by the bias power and cooled by heat transfer gas, thus the temperature thereof is controlled. It will be described later in detail. - The plasma etching apparatus in this embodiment is structured as mentioned above and a concrete process, for example, when a silicon oxide film is to be etched using this plasma etching apparatus will be explained hereunder by referring to FIG. 1.
- Firstly, the wafer W which is an object to be processed is transferred from a sample transfer mechanism not shown in the drawing into the processing chamber and loaded and chucked on the
lower electrode 130. The height of the lower electrode is adjusted as required so as to be set to a predetermined gap. Next, the inside of theprocessing chamber 100 is evacuated by theevacuation system 106. On the other hand, gases necessary to the etching process of the sample W, for example, C4F8 and Ar are supplied to theprocessing chamber 100 from theplate 115 of theantenna 110 by the gas supply means 117 at a predetermined flow rate and mixture ratio, for example, at an Ar flow rate of 300 sccm and a C4F8 flow rate of 9 sccm. At the same time, theprocessing chamber 100 is evacuated by theevacuation system 106 and the inside of theprocessing chamber 100 is adjusted to a predetermined processing pressure, for example, 1 Pa. On the other hand, a magnetic field of a predetermined distribution and intensity is formed by the magnetic field forming means 101. Electromagnetic waves in the UHF band are radiated from theantenna 110 by theantenna power source 121 and plasma P is generated in theprocessing chamber 100 by the interaction with the magnetic field. The apparatus dissociates processing gas by this plasma P so as to generate radical ions and further performs the process such as etching to the wafer W by controlling the antenna highfrequency power source 122 and thebias power source 141. When the etching process is finished, the apparatus stops the supply of the power and processing gas and terminates the etching. - The plasma etching apparatus in this embodiment is structured as mentioned above and each unit in the reactor, particularly the inner surface of the
side wall 103 and thering 116, and temperature control of thesample holder ring 132 and deposition control of reaction products will be explained in detail hereunder. - Firstly, the
side wall 103 will be explained by referring to FIG. 1. As already explained, thejacket 103 is held inside theside wall 102 of theprocessing chamber 100 and the temperature can be controlled by a heat exchanging medium. - The inventors have experimented with an object of oxide film etching at a pressure of 2 Pa using a mixed gas series of C4F8 and Ar as a processing gas and as a result of it, we have found that when the inner wall surface temperature in the reactor is controlled to a constant temperature which is sufficiently lower than the temperature (about 100° C.) of a wafer with the accuracy of less than ±10° C. within a range from 25° C. to 80° C., a strong coating film is formed on the inner wall surface. Within a pressure range of several tens mtorr max. (several Pa max.) like this, ions of high energy increase, so that it can be considered that the ion assist effect in film deposition is increased and a tight film is formed. The condition of a deposited film is such that when the side wall temperature is low, a fine and strong film is formed and when the side wall temperature is high, a slightly rough film is formed. To make this change of film characteristic quantitatively clear, the composition (element density ratio) of a film deposited at a side wall temperature of each of 25° C., 50° C., and 80° C. has been analyzed by the XPS (X-ray photoelectron spectroscopy) and the following results have been obtained.
Side wall temperature C(%) F(96) CF ratio 25° C. 45.6 51.1 0.89 50° C. 43.9 53.8 0.82 80° C. 40.6 58.2 0.70 - The results show that as the side wall temperature lowers, the film characteristic becomes richer with carbon. Although not shown above, the analysis of the C1s peak shows that as the side wall temperature lowers, the bonding of carbon proceeds and the polymerization also proceeds. It is inferred that this is macroscopically observed as a fine and strong film.
- During this experiment, the temperature of the side wall surface is controlled with the accuracy of less than ±10° C., so that it is forecasted that internal stress caused by a temperature change is not generated during deposition of a film and the film structure becomes fine. It is confirmed that a solid layer structure is formed.
- This film is very fine and strong and even when a film is deposited tentatively up to a thickness of about 200 microns in the deposition acceleration test, peeling of the film in the tape peeling test or in the friction test are not observed. Furthermore, this film is highly resistant to plasma and it is acknowledged that peeling and damage of the film surface are not observed even by the processing of plasma and no dust is caused.
- When the temperature of the inner wall surface of the reactor is controlled to a constant temperature which is sufficiently lower than the temperature of a wafer as mentioned above, a strong deposited film free of occurrence of internal thermal stress can be formed on the inner wall surface of the reactor. This film is highly resistant to plasma and peeling of reaction products and adhesion of particles onto the sample surface are reduced, so that it acts as a protection film for the inner wall of the reactor. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced and the reduction of running cost results. Furthermore, since the side wall is protected by the deposited film, there is no need to use ceramics such as SiC which is highly resistant to plasma and the cost of parts can be reduced. If the side wall temperature is particularly controlled within a range from normal temperature to about 50° C., the energy for heating the side wall can be reduced, so that it is effective in energy conservation. As a material of the side wall, a thermally conductive metal including no heavy metals, for example, aluminum may be used.
- Since aluminum is exposed in the initial state free of a deposited film, there is the possibility that the surface will be damaged and deteriorated by plasma. To prevent it, the surface may be coated with a highly polymerized material. Or it is also possible, for example, to anodize the aluminum surface and then fill fine holes made by the anodizing process with a highly polymerized material. Needless to say, the hole filling process can be applied to other than the aluminum anodizing process. When a polymer film exists on the interface between the aluminum surface and the deposited film like this, an effect is produced that the adherence of the aluminum surface and the deposited film is increased and the deposited film is hardly peeled off. A film may be excessively deposited depending on the process. If this occurs, it is possible to execute plasma cleaning in a short time after the wafer processing so as to control film deposition and keep the film thickness constant.
- Next, the sample holder ring will be explained. As already explained in the embodiment shown in FIG. 1, the
sample holder ring 132 controls the reaction on the surface thereof by application of the bias power, thus can make the etching characteristic particularly on the periphery of a wafer uniform. Although thesample holder ring 132 is heated by the bias power in this case, it is necessary to control the applied bias power and temperature so as to control the reaction and deposition of a film on the surface thereof. Moreover, it is desirable to be capable of controlling the applied bias power and temperature without incorporating a complicated mechanism into the lower electrode incorporated in theelectrostatic chucking device 131. This can be realized by control of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas. This embodiment will be explained by referring to the cross sectional view (half on the right) of thelower electrode 130 shown in FIG. 2. - The
lower electrode 130 holds the sample W by theelectrostatic chucking device 131. Theelectrostatic chucking device 131 is insulated from theground 135 by theinsulator 134. In this embodiment, thesample holder ring 132 is installed opposite to theelectrostatic chucking device 131 via theinsulator 133, thus structured so that a part of the bias power supplied from thebias power source 141 is leaked and added. The bias power to be applied can be adjusted by the thickness and material of theinsulator 133. By use of such a bias power application structure, there is no need to install a wiring structure to thesample holder ring 132 inside thelower electrode 130 and connect another bias power source to thesample holder ring 132. - The
electrostatic chucking device 131 is kept at a predetermined temperature. by circulation of a temperature control heat medium (not shown in the drawing). Between the sample W and the surface of theelectrostatic chucking device 131, aflow path 136 of heat transfer gas (for example, He gas, etc.) is formed and the heat conduction is kept satisfactory by introduction of heat transfer gas. In this embodiment, flow 136A and 136B of heat transfer gas are also formed between thesample holder ring 132, theinsulator 133, and theelectrostatic chucking device 131. A part of heat transfer gas for wafer cooling is introduced and the heat conduction at the contact is kept satisfactory. As a result, the heat conduction between thesample holder ring 132 and theelectrostatic chucking device 131 kept at a predetermined temperature is kept satisfactory and the temperature of thesample holder ring 132 is kept stable. As a result, the temperature change due to application of the bias power to thesample holder ring 132 is controlled and the surface reaction and sample processing characteristic in thesample holder ring 132 can be stabilized. At the same time, deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced. - As mentioned above, in the sample holder ring, the surface reaction and temperature and deposition of a film can be controlled by a simple structure by application of the leakage bias power and the balance between heating by the bias power and cooling by heat transfer gas and long term stabilization of the process and reduction of foreign substances can be realized.
- In this embodiment, the heat conduction is assured by heat transfer gas. However, another heat conduction means, for example, such as a thermally conductive sheet may be used.
- Next, the
antenna 110 will be explained. As already described in the embodiment shown in FIG. 1, the antenna highfrequency power source 122 is connected to thedisc electricity conductor 111 and the bias power at about 100 kHz or within a range from several MHz to about 10 MHz is applied. The temperature of thedisc electricity conductor 111 is kept at a predetermined value by a heat exchanging medium. Therefore, theplate 115 in contact with thedisc electricity conductor 111 is applied with the bias power and the surface temperature thereof is also controlled. Since theplate 115 is opposite to a wafer, it affects the process most greatly. However, when the bias power is applied to this surface so as to prevent reaction products from deposition and further the surface reaction by the scavenging action is used using high-purity silicone as a material of the plate, the process can be stabilized. - On the other hand, the
ring 116 on the periphery of theplate 115 is heated by the bias power by the antenna highfrequency power source 122 in the same way as with theplate 115 and moreover the heat capacity of thering 116 is made smaller, thus the responsibility to temperature change is enhanced. This will be explained by referring to FIG. 3. - FIG. 3 shows an embodiment showing the temperature control method for the
ring 116. In this embodiment, thering 116 is structured so that the shape thereof is made thinner, and a part thereof covers theplate 115, and the thermal contact with thedielectric ring 113 and theplate 115 is minimized. When the antenna high frequency power is applied to theplate 115 in this case, ions are pulled into the surface of thering 116 in the direction of the arrow shown in the drawing by the bias power to theplate 115. A heating mechanism such a heater and lamp is not used in this embodiment, so that there is an advantage that the mechanism will not be complicated. - The width w of the part of the
ring 116 to which the bias power is applied is set to, for example, 10 mm or more so that the part can be efficiently heated by the bias power. The thickness of thering 116 is set to, for example, 6 mm or less, desirably 4 mm or less so as to be validly heated by the bias power. When the shape is made thinner like this, the heat capacity of thering 115 is made smaller. As a result, the whole ring can be heated almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., As a result, the deposition of reaction products is controlled and the occurrence of foreign substances due to peeling of reaction products can be reduced. Within this temperature range, the change in surface reaction is not sensitive to the change in temperature compared with that in a high temperature zone of about 250° C. or more, so that there is an advantage that the temperature change in component parts can be made smaller to such a level that will not substantially affect the process. - The thickness of the
ring 116 can be decided by the antenna bias power frequency, the material of thering 116, and the balance of the deposition speed of reaction products to thering 116 so as to control the film deposition and prevent the ring surface from sputtering and consuming by ions. As shown in the drawing, it is possible to make the parts other than the part to be applied with the bias power thinner and make the heat capacity of the whole ring smaller. When the heat capacity of thering 116 is made smaller like this, the responsibility is satisfactory in a short time at the initial stage of the process and the temperature rises, so that the effect on the processing characteristic is small. It is desirable that the inner diameter d of thering 116 is larger than the diameter of a sample. Since the inner diameter of the reactor is about 1.5 times of the diameter of a sample, when the diameter of a sample is 300 mm, the width s of the ring is almost within a range from 50 mm to 70 mm and the surface area thereof is sufficiently small for the whole inner wall surface of the reactor, for example, such as 20% or less. When the surface area of parts is made smaller like this, even if the temperature and surface condition are changed, the effect on the process can be controlled. Moreover, since thering 116 is positioned on the periphery compared with a wafer, the effect on the process is made more smaller. - In the aforementioned embodiment, since passive heating by plasma is used, a certain degree of temperature change is unavoidable. This change may affect the etching characteristic due to fine division of the process though the effect is not actualized in the current process and if this occurs, a positive temperature control mechanism by a lamp and heater is required. FIG. 4 shows an embodiment of a temperature control mechanism by heating of a lamp.
- In this embodiment, the
dielectric ring 113A is structured so that a part thereof can apply the bias power by thesame structure 116A as that of thering 116 and furthermore, on the side of thedielectric ring 113A close to plasma, aninfrared absorber 151 for absorbing infrared light and far infrared light, for example, an aluminum thin film is formed. Infrared light and far infrared light are radiated from an infrared radiation means 152, pass through aninfrared transmission window 153 and thedielectric ring 113A, are absorbed by theinfrared absorber 151, and heat thering 116. Theinfrared absorber 151 can be remotely heated by infrared light, so that when theinfrared absorber 151 is installed on the side of thedielectric ring 113A close to plasma, the temperature of the surface of thedielectric ring 113A exposed to plasma can be controlled with higher accuracy. The heating mechanism uses absorption of infrared light, so that there is an advantage that the responsibility is better compared with heating by a heating resistor. Furthermore, thedielectric ring 113A is heated also by the bias power by the biaspower application unit 116A, so that the responsibility to temperature is improved. - On the other hand, the infrared radiation means152 is installed in a
holder 154. A gap is provided between theholder 154 and thedielectric ring 113A and heat transfer gas for temperature control is supplied to the gap via a gas supply means 155. Heat transfer gas is sealed by vacuum sealing means 156A and 156B. Thedielectric ring 113A radiates heat by this gas heat transfer via theholder 154. Therefore, for example, by heating by the bias power and lamp at start of the process and radiating heat by gas heat transfer during the process, the accuracy of temperature control is improved. As a result, the temperature of thedielectric ring 113A can be controlled with the accuracy of about ±5 to 10° C. almost within a range from 100° C. to 250° C., desirably from 150° C. to 200° C., The film deposition is reduced at this temperature, so that the occurrence of foreign substances due to peeling of a film is controlled. The surface condition of thedielectric ring 113A is in the region greatly dependent on the temperature, so that the surface condition is not changed and a plasma process which is stable over a long period is realized. - In the embodiments shown in FIGS. 3 and 4, the film deposition is reduced by heating the
ring 116 in contact with plasma and thedielectric ring 113A. However, the ring in contact with plasma is controlled to a constant temperature which is lower than the temperature of a wafer in the same way as with the inner surface of the side wall explained in FIG. 1 and a stable deposited film can be formed. FIG. 5 shows this embodiment and thedielectric ring 113B is controlled almost within a range from 20° C. to 100° C. under temperature control by a refrigerant. - In this embodiment, a refrigerant for temperature control is supplied to a
refrigerant flow path 161 installed in thedielectric ring 113B from a heat exchanging medium supply means 162. The refrigerant is sealed by a sealing means 163. The temperature of thedielectric ring 113B is kept at a predetermined value by a temperature controller and temperature detector which are not shown in the drawing. By use of this constitution, the temperature of thedielectric ring 113B can be kept almost within a range from 20° C. to 100° C. during plasma processing. Therefore, a stable and strong film of reaction products is deposited on the surface of thedielectric ring 113B, so that the surface of thedielectric ring 113B will not be etched and consumed. When a film is excessively deposited depending on the process, the film may be kept at a constant thickness by concurrently using plasma cleaning. - Each of the aforementioned embodiments uses a plasma etching apparatus of a magnetic field UHF band electromagnetic wave radiation and discharge system. However, electromagnetic waves to be radiated may be, for example, microwaves at 2.45 GHz or waves in the VHF band almost within a range from several tens MHz to 300 MHz in addition to the UHF band. The magnetic field is not always necessary and, discharge of nonmagnetic field microwaves, for example, is acceptable.
- Furthermore, in addition to the above, the aforementioned embodiments can be applied to, for example, a magnetron type plasma etching apparatus using the magnetic field, a plasma etching apparatus of a parallel plate type capacitively coupled system, or an inductive coupling type plasma etching apparatus.
- FIG. 6 shows an example that the present invention is applied to an RIE apparatus (a magnetron RIE apparatus or magnetically enhanced RIE apparatus). The
processing chamber 100 as a vacuum vessel has theside wall 102, thelower electrode 130 for loading the sample W such as a wafer, and anupper electrode 201 to be grounded opposite to it and also has the gas supply means 117 for introducing predetermined gas into the vacuum vessel, theevacuation system 106 for decompressing and evacuating the vacuum vessel, an electric field generation means 203 for generating an electric field between the lower electrode and the upper electrode, and a magnetic field generation means 202 for generating a magnetic field inside the vacuum vessel. The magnetic field generation means 202 has a plurality of permanent magnets or coils which are arranged in a ring-shape on the periphery of theprocessing chamber 100 and forms a magnetic field almost parallel to the electrodes inside the processing chamber. The magnetic field generation means 202 makes processing gas plasmatic by the electric field generated between the electrodes, generates plasma P, and processes the sample W. Furthermore, in the magnetron RIE, a magnetic field is formed almost perpendicularly to the electric field by the magnetic field generation means 202, so that the collision frequency between electrons and molecules and atoms in plasma increases, and the plasma density increases, and a high etching characteristic is obtained. - In this embodiment, in the same way as with the embodiment described in FIG. 1, the
jacket 103 for controlling the temperature of the inner surface of the side wall is held by theside wall 102 in the exchangeable state, and a heat exchanging medium is circulated and supplied into thejacket 103 from the heat exchanging medium supply means 104, and the temperature of the jacket is controlled with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., Thejacket 103 comprises, for example, anodized aluminum. - By use of this constitution, the inner wall surface of the reactor can be controlled to a constant temperature which is sufficiently lower than the temperature of a wafer, so that a strong deposited film can be formed on the inner surface of the side wall of the reactor. This film is highly resistant to plasma and acts as a protection film for the inner wall of the reactor and peeling of reaction products and adhesion of particles onto the sample surface are reduced. Therefore, the side wall is free of consumption and damage, so that the exchange frequency of parts of the side wall can be reduced, and the reduction of running cost results, and there is no need to use ceramics such as SiC which is highly resistant to plasma, and the cost of parts can be reduced.
- In this embodiment, in the same way as with the embodiment described in FIGS. 1 and 2, it is structured so that a part of the bias power supplied from the electric field generation means203 is leaked to the
sample holder ring 132 and furthermore, by cooling by gas heat transfer, the surface reaction and sample processing characteristic in thesample holder ring 132 can be stabilized. At the same time, deposition of reaction products can be prevented by heating by the bias power and ion assist, so that peeling of reaction products and adhesion of particles onto the sample surface are reduced. - FIG. 7 shows an example that the present invention is applied to a parallel plate type plasma etching apparatus. The
processing chamber 100 as a vacuum vessel has theside wall 102, thelower electrode 130 for loading the sample W such as a wafer, anupper electrode 210 opposite to it, and an electric field generation means 221 for supplying power to theupper electrode 210 and generating an electric field between the electrodes. Predetermined processing gas is supplied into theprocessing chamber 100 by the gas supply means 117 and the vacuum vessel is decompressed and evacuated by thevacuum system 106. Processing gas is made plasmatic by the electric field generated between the electrodes, and plasma P is generated, and the sample W is processed. Theupper electrode 210 is held by ahousing 214 with anelectrode plate 211 insulated byinsulators plate 215 is installed on the side of theelectrode plate 211 in contact with plasma and ashield ring 216 is installed on the periphery thereof. Theshield ring 216 protects theinsulators processing chamber 100 in the state that it is positioned opposite to thesample holder ring 132, and obtains a high etching characteristic. - In this embodiment, in the same way as with the embodiment described in FIG. 1, the temperature of the inner surface of the
side wall 102 is controlled by thejacket 103 with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., so that a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced. Also with respect to thesample holder ring 132, the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced. Furthermore, in the same way as with the embodiment shown in FIG. 3, theshield ring 216 is structured so that the shape thereof is thin, and a part of theshield ring 216 covers theplate 115, and the thermal contact with other parts is minimized. As a result, when power is applied to theplate 115, theshield ring 216 is heated by ions due to the self bias power, and the deposition of reaction products is controlled, and the occurrence of foreign substances is reduced. - FIG. 8 shows an example that the present invention is applied to an inductively coupled type plasma etching apparatus. The
processing chamber 100 as a vacuum vessel has theside wall 102, thelower electrode 130 for loading the sample W such as a wafer, and atop plate 230 and is decompressed and evacuated by thevacuum system 106. On the top of thetop plate 230, inductive discharge coils 231 are arranged and high frequency power is supplied from a highfrequency power source 232. Processing gas is supplied from the gas supply means 117 and made plasmatic by inductive discharge by the inductive discharge coils 231, and plasma P is generated, and the sample W is processed. In the inductive coupling type plasma etching apparatus, silicone is used as a material of the top elate so as to stabilize the process and the interaction between plasma and the wall is controlled by a means, for example, a Faraday shield or a magnetic field, thus even if the temperature of the side wall is made lower than the temperature of a wafer, a high etching characteristic can be obtained stably. - In this embodiment, in the same way as with the embodiment described in FIG. 1, the temperature of the inner surface of the
side wall 102 is controlled by thejacket 103 with the accuracy of less than ±10° C. within a range from 0° C. to about 100° C., desirably 20° C. to about 80° C., As a result, a deposited film resistant to plasma is formed and acts as a protection film for the inner wall of the reactor, and particles can be reduced, and the exchange frequency of parts of the side wall can be reduced. Also with respect to thesample holder ring 132, the surface reaction and sample processing characteristic can be stabilized by the leakage bias power application structure and gas cooling, and the deposition of reaction products is prevented, and the occurrence of particles is reduced. - In the aforementioned embodiments, the processing object is semiconductor wafers and the etching process for them is described. However, the present invention is not limited to it and for example, it can be applied also to a case that the processing object is a liquid crystal board and the process itself is not limited to etching but the present invention can be applied also to, for example, the sputtering or CVD process.
- In the aforedescribed embodiments, the temperature of the inner cylinder or jacket may be detected indirectly, if it cannot be detected directly. However, the following effects can be obtained by attaching a temperature detector to the inner cylinder or jacket.
- (1) By finely adjusting the temperature of the outer cylinder or side wall in order to control the temperature of the inner cylinder or jacket more accurately, controllability of the inner cylinder or jacket temperature can be improved.
- (2) By monitoring the temperature of the inner cylinder or jacket, it is possible to output an alarm signal, such as to indicate the need for stopping plasma processing or to quit plasma processing when the temperature of the inner cylinder or jacket exceeds a preset range.
- In the embodiments, a heater may be used as the temperature control function for the outer cylinder or side wall. However, by recirculating a temperature controlled liquid to the outer cylinder or side wall, it is possible to widen the temperature control range from a cooled state below room temperature to a heated state, and accordingly controllability of the inner cylinder or jacket temperature can be improved in this way.
- Further, application of the present invention is not limited to the case where a process is stabilized by maintaining the temperature of the inner cylinder or jacket to a preset value. The present invention can be also applied to, for example, a case where an initial process change for a lot of wafers is corrected by intentionally changing the temperature of the inner cylinder or jacket at the initial stage of the lot. That is, it is possible to stabilize a process by improving the temperature controllability for the inner cylinder or jacket during processing of the wafers of the lot as illustrated in FIG. 9.
- The apparatuses described in the aforedescribed embodiments are used as follows.
- Before starting operation of the apparatus, it is checked to determine whether or not the temperature of the inner cylinder or jacket can be controlled to a desired temperature.
- Firstly, the inside of the process chamber is evacuated to a preset pressure by action of the vacuum pump. Then, the heater is operated. The inner cylinder or jacket is heated by heat generation of the heater. The temperature of the heated inner cylinder or jacket is directly or indirectly detected and controlled to a desired temperature. By doing so, it can be confirmed that the temperature of the inner cylinder or jacket can be controlled to the desired temperature. If the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, operation of the heater is stopped. Then, the cause of the trouble is checked and repaired.
- During processing, the temperature of the inner cylinder or jacket is monitored continuously or when required. The monitored temperature is compared with a preset desired temperature, and the temperature of the inner cylinder or jacket is controlled to the desired temperature based on the result of the comparison. The temperature control of the inner cylinder or jacket is performed.
- In general, plural wafers are continuously processed one by one. In such a case, the temperature of the inner cylinder or jacket is monitored while processing one wafer among them until processing for the all plural wafers is completed to control the temperature to the desired temperature. For example, when trouble occurs in the temperature monitoring of the inner cylinder or jacket or when the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature, it is judged that the processing characteristic of the wafer cannot be maintained stable and the wafer processing is stopped. Then, an effort is made to solve the problem, and the successive process for plural wafers is re-started.
- The fact that trouble occurs in the temperature monitoring of the inner cylinder or jacket or that the temperature of the inner cylinder or jacket cannot be controlled to the desired temperature is indicated to an operator by output of some kind of alarm through the controller. In response to the alarm, the operator solves the trouble and re-starts the wafer processing. By monitoring the temperature control of the inner cylinder or jacket, the history of the processing up until the stopping of the wafer processing can be checked, and consequently the search of the cause and the repairing method can be performed properly and fast.
- A cleaning process is performed for the inside of the process chamber. The process is performed by wiping the inside surface of the process chamber, such as the surface of the inner cylinder or jacket, and the surfaces of parts arranged inside the process chamber, such as the sample table, or by utilizing a cleaning gas plasma. The process is performed before a wafer processing, in the intervals between processings, or after completion of a wafer processing.
- In a case of performing a cleaning process by wiping, it is checked whether the temperature of the inner cylinder or jacket can be controlled during a period after completion of the cleaning processing and before the starting of a wafer processing. On the other hand, in a case of performing a cleaning process by utilizing a plasma, it is checked whether the temperature of the inner cylinder or jacket can be controlled during the cleaning processing or during a period after the cleaning process and before starting of a wafer processing.
- Further, a discharge running-in (seasoning) process is performed for the inside of the process chamber. The seasoning process is performed before starting a wafer processing at the beginning of a day, or during a period after completion of a cleaning processing and before starting of a wafer processing. In this case, it may be checked during the seasoning process whether the temperature of the inner cylinder or jacket can be controlled or not.
- In order to stabilize the characteristic of plasma processing over time, it is necessary to control the temperature of the inner cylinder or jacket to a temperature corresponding to a wafer processing condition. Here, the wafer processing conditions include the quality of film to be processed, the kind of processing gas to be used, the condition of discharge, the type of discharge and so on.
- Therefore, wafer processing conditions are input to the controller of the processing apparatus from a higher level controlling unit or an operator. The controller has received an indication of the temperature of the inner cylinder or jacket corresponding to each of the wafer processing conditions. In the controller, the temperature of the inner cylinder or jacket corresponding to the input wafer processing condition is selected and set as a control temperature. On the other hand, a detected and monitored temperature of the inner cylinder or jacket is input to the controller. The detected and monitored temperatures are compared with the control temperature, and the temperature of the inner cylinder or jacket is controlled to the control temperature based on the result of comparison.
- Further, in a case where the wafer is, for example, of a multi-layer film structure, the temperature of the inner cylinder or jacket may be controlled to a temperature corresponding to that set for the quality of each film, the kind of process gas, the condition of discharge and so on. By doing so, the characteristic of plasma processing can be finely stabilized over time.
- In a case where a wafer processing performance is varied during one lot processing after a running-in discharge (seasoning) process, the temperature of the inner cylinder or jacket may be varied along a desired temperature pattern in order to make the processing performance uniform.
- According to the present invention, a plasma etching apparatus maintaining the reproducibility and reliability of the process at a low cost for a long period of time so as to prevent the etching characteristic from a change with time by controlling the inner temperature of the reactor and the wall surface condition can be provided.
Claims (36)
1. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the step of:
detecting a temperature of the inner cylinder of the process chamber utilizing the monitor unit to one of continuously monitor the inner cylinder temperature and optionally monitor the inner cylinder temperature at a time of plasma processing of a specimen.
2. A plasma processing method according to claim 1 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
3. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the steps of:
processing a plurality of specimens one by one in a continuous manner;
detecting a temperature of the inner cylinder; and
continuously monitoring the temperature of the inner cylinder for every one of the plurality of specimens until completion of processing thereof.
4. A plasma processing method according to claim 3 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
5. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the step of:
monitoring of a temperature of the inner cylinder at a time of plasma processing of the specimen so as to obtain a history of the specimen up to an interruption of plasma processing for the specimen which is checkable.
6. A plasma processing method according to claim 5 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
7. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the step of:
monitoring of a temperature of the inner cylinder during a seasoning operation in the process chamber so as to detect the temperature of the inner cylinder when the seasoning operation is carried out in the process chamber.
8. A plasma processing method according to claim 7 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
9. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the step of:
monitoring of a temperature of the inner cylinder one of before starting the plasma processing of the specimen and after finishing cleaning operation so as to detect the temperature of the inner cylinder.
10. A plasma processing method according to claim 9 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
11. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for. supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the steps of:
performing a cleaning operation in the process chamber under utilization of plasma for gas for cleaning at least one of before plasma processing of the specimen, during the plasma processing for a plurality of specimens, and after the plasma processing of the specimen; and
monitoring a temperature of the inner cylinder after the cleaning operation and before starting the plasma processing for the specimen so as to detect the temperature of the inner cylinder.
12. A plasma processing method according to claim 11 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
13. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the steps of:
monitoring a temperature of the inner cylinder; and
interrupting plasma processing for the specimen in response to the monitored inner cylinder temperature.
14. A plasma processing method according to claim 13 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
15. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the steps of:
performing a cleaning operation in the process chamber under utilization of a plasma for gas for cleaning during processing of a plurality of specimens when the plural specimens are processed one by one in a continuous manner; and
monitoring a temperature of the inner cylinder after the cleaning operation and before starting of the plasma processing for the specimen.
16. A plasma processing method according to claim 15 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
17. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, wherein the process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder and a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit, the method comprising the steps of:
monitoring a temperature of the inner cylinder; and
generating an alarm in response to the monitored temperature.
18. A plasma processing method according to claim 17 , further comprising the step of setting in advance a temperature of the inner cylinder corresponding to a plasma processing condition for the specimen.
19. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the step of:
detecting a temperature of an inner wall of the process chamber utilizing the monitor unit so as to one of continuously monitor the inner wall temperature and optionally monitor the inner cylinder temperature at a time of plasma processing of a specimen.
20. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 19 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
21. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the steps of:
processing a plurality of specimens in the process chamber one by one in a continuous manner; and
monitoring a temperature of an inner wall of the process chamber as the plurality of specimens are processed one by one in a continuous manner until the processing for the plurality specimens is completed so as to detect the temperature of the inner wall.
22. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 21 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
23. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the step of:
monitoring of a temperature of an inner wall at a time of plasma processing of the specimen so as to obtain a history up to an interruption of the plasma processing for the specimen which is checkable.
24. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 23 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
25. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the step of:
monitoring of a temperature of an inner wall during a seasoning operation in the process chamber so as to detect the temperature of the inner wall when the seasoning operation is carried out in the process chamber.
26. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 25 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
27. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the step of:
monitoring of a temperature of an inner wall of the process chamber one of before starting plasma processing of the specimen or after finishing of a cleaning operation in the process chamber so as to detect the temperature of the inner wall.
28. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 27 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
29. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a cleaning unit, the method comprising the steps of:
performing a cleaning operation in the process chamber under utilization of a plasma for gas for cleaning during processing of a plurality of specimens when the plural specimens are processed one by one in a continuous manner; and
monitoring a temperature of the inner wall after cleaning operation and before starting of the plasma processing for the specimen.
30. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 29 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
31. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the steps of:
monitoring a temperature of an inner wall of said process chamber; and
interrupting plasma processing for the specimen in response to the monitored inner wall temperature.
32. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 31 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
33. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the steps of:
processing a plurality of specimens one by one in a continuous manner;
performing a cleaning operation in the process chamber under utilization of a plasma of gas for cleaning; and
monitoring a temperature of an inner wall of the process chamber after the cleaning operation and before starting of the plasma processing for the specimen.
34. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 33 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
35. A plasma processing method for plasma processing a specimen by a plasma processing apparatus including a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying a gas to the process chamber, a specimen table for holding a specimen, a vacuum pumping unit and a monitor unit, the method comprising the step of:
monitoring a temperature of the inner wall of said process chamber; and
generating an alarm in response to the monitored temperature.
36. A plasma processing method for plasma processing a specimen by a plasma processing apparatus according to claim 35 , further comprising the step of setting in advance a temperature of the inner wall corresponding to a plasma processing condition for the specimen.
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/617,019 US20040009617A1 (en) | 1995-03-16 | 2003-07-11 | Plasma etching apparatus and plasma etching method |
US10/953,539 US7565879B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing apparatus |
US10/953,537 US7208422B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing method |
US11/156,477 US20050236109A1 (en) | 1995-03-16 | 2005-06-21 | Plasma etching apparatus and plasma etching method |
US11/478,629 US20060249254A1 (en) | 1995-03-16 | 2006-07-03 | Plasma processing apparatus and plasma processing method |
US12/534,491 US20090289035A1 (en) | 1995-03-16 | 2009-08-03 | Plasma Processing Apparatus And Plasma Processing Method |
US12/709,641 US20100140224A1 (en) | 1995-03-16 | 2010-02-22 | Plasma Processing Apparatus And Plasma Processing Method |
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP05747295A JP3257328B2 (en) | 1995-03-16 | 1995-03-16 | Plasma processing apparatus and plasma processing method |
JP7-57472 | 1995-03-16 | ||
US08/611,758 US5874012A (en) | 1995-03-16 | 1996-03-08 | Plasma processing apparatus and plasma processing method |
US09/227,332 US6171438B1 (en) | 1995-03-16 | 1999-01-08 | Plasma processing apparatus and plasma processing method |
US09/421,044 US20020119670A1 (en) | 1995-03-16 | 1999-10-20 | Plasma etching apparatus and plasma etching method |
US09/983,946 US6815365B2 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
US10/617,019 US20040009617A1 (en) | 1995-03-16 | 2003-07-11 | Plasma etching apparatus and plasma etching method |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/983,946 Continuation US6815365B2 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
Related Child Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/953,539 Continuation US7565879B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing apparatus |
US10/953,537 Continuation US7208422B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing method |
US11/156,477 Continuation-In-Part US20050236109A1 (en) | 1995-03-16 | 2005-06-21 | Plasma etching apparatus and plasma etching method |
Publications (1)
Publication Number | Publication Date |
---|---|
US20040009617A1 true US20040009617A1 (en) | 2004-01-15 |
Family
ID=13056650
Family Applications (16)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US08/611,758 Expired - Lifetime US5874012A (en) | 1995-03-16 | 1996-03-08 | Plasma processing apparatus and plasma processing method |
US09/227,332 Expired - Fee Related US6171438B1 (en) | 1995-03-16 | 1999-01-08 | Plasma processing apparatus and plasma processing method |
US09/421,043 Abandoned US20020005252A1 (en) | 1995-03-16 | 1999-10-20 | Plasma etching apparatus and plasma etching method |
US09/421,044 Abandoned US20020119670A1 (en) | 1995-03-16 | 1999-10-20 | Plasma etching apparatus and plasma etching method |
US09/983,946 Expired - Fee Related US6815365B2 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
US09/984,052 Abandoned US20020043338A1 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
US10/253,862 Abandoned US20030024646A1 (en) | 1995-03-16 | 2002-09-25 | Plasma etching apparatus and plasma etching method |
US10/441,009 Abandoned US20030203640A1 (en) | 1995-03-16 | 2003-05-20 | Plasma etching apparatus |
US10/617,020 Abandoned US20040016508A1 (en) | 1995-03-16 | 2003-07-11 | Plasma etching apparatus and plasma etching method |
US10/617,019 Abandoned US20040009617A1 (en) | 1995-03-16 | 2003-07-11 | Plasma etching apparatus and plasma etching method |
US10/647,319 Abandoned US20040045675A1 (en) | 1995-03-16 | 2003-08-26 | Plasma etching apparatus |
US10/953,537 Expired - Fee Related US7208422B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing method |
US10/953,539 Expired - Fee Related US7565879B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing apparatus |
US11/478,629 Abandoned US20060249254A1 (en) | 1995-03-16 | 2006-07-03 | Plasma processing apparatus and plasma processing method |
US12/534,491 Abandoned US20090289035A1 (en) | 1995-03-16 | 2009-08-03 | Plasma Processing Apparatus And Plasma Processing Method |
US12/709,641 Abandoned US20100140224A1 (en) | 1995-03-16 | 2010-02-22 | Plasma Processing Apparatus And Plasma Processing Method |
Family Applications Before (9)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US08/611,758 Expired - Lifetime US5874012A (en) | 1995-03-16 | 1996-03-08 | Plasma processing apparatus and plasma processing method |
US09/227,332 Expired - Fee Related US6171438B1 (en) | 1995-03-16 | 1999-01-08 | Plasma processing apparatus and plasma processing method |
US09/421,043 Abandoned US20020005252A1 (en) | 1995-03-16 | 1999-10-20 | Plasma etching apparatus and plasma etching method |
US09/421,044 Abandoned US20020119670A1 (en) | 1995-03-16 | 1999-10-20 | Plasma etching apparatus and plasma etching method |
US09/983,946 Expired - Fee Related US6815365B2 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
US09/984,052 Abandoned US20020043338A1 (en) | 1995-03-16 | 2001-10-26 | Plasma etching apparatus and plasma etching method |
US10/253,862 Abandoned US20030024646A1 (en) | 1995-03-16 | 2002-09-25 | Plasma etching apparatus and plasma etching method |
US10/441,009 Abandoned US20030203640A1 (en) | 1995-03-16 | 2003-05-20 | Plasma etching apparatus |
US10/617,020 Abandoned US20040016508A1 (en) | 1995-03-16 | 2003-07-11 | Plasma etching apparatus and plasma etching method |
Family Applications After (6)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/647,319 Abandoned US20040045675A1 (en) | 1995-03-16 | 2003-08-26 | Plasma etching apparatus |
US10/953,537 Expired - Fee Related US7208422B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing method |
US10/953,539 Expired - Fee Related US7565879B2 (en) | 1995-03-16 | 2004-09-30 | Plasma processing apparatus |
US11/478,629 Abandoned US20060249254A1 (en) | 1995-03-16 | 2006-07-03 | Plasma processing apparatus and plasma processing method |
US12/534,491 Abandoned US20090289035A1 (en) | 1995-03-16 | 2009-08-03 | Plasma Processing Apparatus And Plasma Processing Method |
US12/709,641 Abandoned US20100140224A1 (en) | 1995-03-16 | 2010-02-22 | Plasma Processing Apparatus And Plasma Processing Method |
Country Status (6)
Country | Link |
---|---|
US (16) | US5874012A (en) |
EP (2) | EP0881662A1 (en) |
JP (1) | JP3257328B2 (en) |
KR (1) | KR100303615B1 (en) |
SG (1) | SG52614A1 (en) |
TW (1) | TW322202U (en) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050185359A1 (en) * | 2004-02-19 | 2005-08-25 | Anelva Corporation | Wafer Stage |
US20050241766A1 (en) * | 2004-04-30 | 2005-11-03 | Rajinder Dhindsa | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US7130514B1 (en) | 2005-06-15 | 2006-10-31 | Corning Incorporated | High SBS threshold optical fiber |
US20070116416A1 (en) * | 2005-06-15 | 2007-05-24 | Xin Chen | High SBS threshold optical fiber with aluminium dopant |
US20070281083A1 (en) * | 2006-06-05 | 2007-12-06 | Annamalai Lakshmanan | Elimination of first wafer effect for pecvd films |
US20100288195A1 (en) * | 2006-05-31 | 2010-11-18 | Eiji Ikegami | Plasma processing method and apparatus |
Families Citing this family (136)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050236109A1 (en) * | 1995-03-16 | 2005-10-27 | Toshio Masuda | Plasma etching apparatus and plasma etching method |
JP3257328B2 (en) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | Plasma processing apparatus and plasma processing method |
US6902683B1 (en) * | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US5753566A (en) * | 1996-05-23 | 1998-05-19 | Taiwan Semiconductor Manufactured Company, Ltd. | Method of spin-on-glass etchback using hot backside helium |
KR100223851B1 (en) * | 1996-09-12 | 1999-10-15 | 구본준 | An etching apparatus for semiconductor process |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
JP3598717B2 (en) * | 1997-03-19 | 2004-12-08 | 株式会社日立製作所 | Plasma processing equipment |
US7166816B1 (en) * | 1997-06-26 | 2007-01-23 | Mks Instruments, Inc. | Inductively-coupled torodial plasma source |
US6815633B1 (en) | 1997-06-26 | 2004-11-09 | Applied Science & Technology, Inc. | Inductively-coupled toroidal plasma source |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US7569790B2 (en) * | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
WO1999010913A1 (en) | 1997-08-26 | 1999-03-04 | Applied Materials, Inc. | An apparatus and method for allowing a stable power transmission into a plasma processing chamber |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
JP3764594B2 (en) * | 1998-10-12 | 2006-04-12 | 株式会社日立製作所 | Plasma processing method |
JP3542514B2 (en) * | 1999-01-19 | 2004-07-14 | 株式会社日立製作所 | Dry etching equipment |
JP4554824B2 (en) * | 1999-03-30 | 2010-09-29 | 東京エレクトロン株式会社 | Plasma processing apparatus, maintenance method thereof and construction method thereof |
US20050061445A1 (en) * | 1999-05-06 | 2005-03-24 | Tokyo Electron Limited | Plasma processing apparatus |
US6408786B1 (en) * | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
US6203661B1 (en) * | 1999-12-07 | 2001-03-20 | Trusi Technologies, Llc | Brim and gas escape for non-contact wafer holder |
US6363882B1 (en) * | 1999-12-30 | 2002-04-02 | Lam Research Corporation | Lower electrode design for higher uniformity |
JP3953247B2 (en) * | 2000-01-11 | 2007-08-08 | 株式会社日立国際電気 | Plasma processing equipment |
JP3379506B2 (en) * | 2000-02-23 | 2003-02-24 | 松下電器産業株式会社 | Plasma processing method and apparatus |
KR100502268B1 (en) * | 2000-03-01 | 2005-07-22 | 가부시끼가이샤 히다치 세이사꾸쇼 | Plasma processing apparatus and method |
US20020069970A1 (en) * | 2000-03-07 | 2002-06-13 | Applied Materials, Inc. | Temperature controlled semiconductor processing chamber liner |
JP2001267305A (en) * | 2000-03-17 | 2001-09-28 | Hitachi Ltd | Plasma processor |
US6527909B2 (en) * | 2000-04-27 | 2003-03-04 | Tokyo Electron Limited | Plasma processing apparatus |
JP2002075969A (en) * | 2000-08-25 | 2002-03-15 | Hitachi Ltd | Plasma processing system |
JP4447756B2 (en) * | 2000-08-28 | 2010-04-07 | 独立行政法人産業技術総合研究所 | Radical cell device and method for producing II-VI compound semiconductor device |
US6875366B2 (en) * | 2000-09-12 | 2005-04-05 | Hitachi, Ltd. | Plasma processing apparatus and method with controlled biasing functions |
US6830653B2 (en) * | 2000-10-03 | 2004-12-14 | Matsushita Electric Industrial Co., Ltd. | Plasma processing method and apparatus |
US20020160620A1 (en) * | 2001-02-26 | 2002-10-31 | Rudolf Wagner | Method for producing coated workpieces, uses and installation for the method |
JP4657473B2 (en) * | 2001-03-06 | 2011-03-23 | 東京エレクトロン株式会社 | Plasma processing equipment |
WO2003012567A1 (en) * | 2001-07-30 | 2003-02-13 | Tokyo Electron Limited | Plasma chamber wall segment temperature control |
JP2003100713A (en) * | 2001-09-26 | 2003-04-04 | Kawasaki Microelectronics Kk | Cover for plasma electrode |
JP3971603B2 (en) * | 2001-12-04 | 2007-09-05 | キヤノンアネルバ株式会社 | Insulating film etching apparatus and insulating film etching method |
JP3689732B2 (en) * | 2001-12-05 | 2005-08-31 | 株式会社日立ハイテクノロジーズ | Monitoring device for plasma processing equipment |
JP2003234331A (en) * | 2001-12-05 | 2003-08-22 | Tokyo Electron Ltd | Plasma etching method and apparatus |
JP4061062B2 (en) * | 2001-12-13 | 2008-03-12 | ローム株式会社 | Semiconductor light emitting device manufacturing method and oxidation furnace |
TWI241868B (en) * | 2002-02-06 | 2005-10-11 | Matsushita Electric Ind Co Ltd | Plasma processing method and apparatus |
US20030160024A1 (en) * | 2002-02-27 | 2003-08-28 | Tadayashi Kawaguchi | Plasma processing method and apparatus |
US20050120960A1 (en) * | 2002-03-12 | 2005-06-09 | Tokyo Electron Limited | Substrate holder for plasma processing |
KR100455430B1 (en) * | 2002-03-29 | 2004-11-06 | 주식회사 엘지이아이 | Cooling apparatus for surface treatment device of heat exchanger and manufacturing method thereof |
US7074298B2 (en) * | 2002-05-17 | 2006-07-11 | Applied Materials | High density plasma CVD chamber |
FR2842387B1 (en) * | 2002-07-11 | 2005-07-08 | Cit Alcatel | HEATING SHIELD FOR PLASMA ENGRAVING REACTOR, ETCHING METHOD FOR ITS IMPLEMENTATION |
KR100465877B1 (en) * | 2002-08-23 | 2005-01-13 | 삼성전자주식회사 | Etching apparatus of semiconductor |
KR100457844B1 (en) * | 2002-08-27 | 2004-11-18 | 삼성전자주식회사 | Method Of Etching Semiconductor Device |
JP4214114B2 (en) * | 2002-09-10 | 2009-01-28 | 東京エレクトロン株式会社 | Processing device and maintenance method of processing device |
KR100470999B1 (en) * | 2002-11-18 | 2005-03-11 | 삼성전자주식회사 | Structure of chamber in etching apparatus of Inductive coupling plasma |
JP4671262B2 (en) * | 2003-01-21 | 2011-04-13 | 日本碍子株式会社 | Semiconductor heating device |
US20040182833A1 (en) * | 2003-01-31 | 2004-09-23 | Tokyo Electron Limited | Method for manufacturing a substrate with a pre-seasoned plasma processing system |
US7196472B2 (en) * | 2003-03-03 | 2007-03-27 | Matsushita Electric Industrial Co., Ltd. | Plasma display panel, its manufacturing method, and its protective layer material |
US20040261946A1 (en) * | 2003-04-24 | 2004-12-30 | Tokyo Electron Limited | Plasma processing apparatus, focus ring, and susceptor |
JP3868925B2 (en) * | 2003-05-29 | 2007-01-17 | 株式会社日立製作所 | Plasma processing equipment |
US20050003673A1 (en) * | 2003-07-02 | 2005-01-06 | Omid Mahdavi | Thin film resistor etch |
US20050051273A1 (en) * | 2003-09-04 | 2005-03-10 | Kenji Maeda | Plasma processing apparatus |
JP3962722B2 (en) | 2003-12-24 | 2007-08-22 | 三菱重工業株式会社 | Plasma processing equipment |
KR100611012B1 (en) * | 2003-12-27 | 2006-08-10 | 동부일렉트로닉스 주식회사 | Method for manufacturing semiconductor device |
US7713380B2 (en) * | 2004-01-27 | 2010-05-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and apparatus for backside polymer reduction in dry-etch process |
JP4490704B2 (en) * | 2004-02-27 | 2010-06-30 | 株式会社日立ハイテクノロジーズ | Plasma processing method |
JP4426343B2 (en) * | 2004-03-08 | 2010-03-03 | 株式会社日立ハイテクノロジーズ | Plasma processing equipment |
US20050241579A1 (en) * | 2004-04-30 | 2005-11-03 | Russell Kidd | Face shield to improve uniformity of blanket CVD processes |
TWI574318B (en) * | 2004-06-21 | 2017-03-11 | Tokyo Electron Ltd | A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium |
US7951262B2 (en) | 2004-06-21 | 2011-05-31 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7780791B2 (en) * | 2004-06-30 | 2010-08-24 | Lam Research Corporation | Apparatus for an optimized plasma chamber top piece |
US8540843B2 (en) | 2004-06-30 | 2013-09-24 | Lam Research Corporation | Plasma chamber top piece assembly |
US20060000551A1 (en) * | 2004-06-30 | 2006-01-05 | Saldana Miguel A | Methods and apparatus for optimal temperature control in a plasma processing system |
US20060008594A1 (en) * | 2004-07-12 | 2006-01-12 | Kang Sung G | Plasma enhanced chemical vapor deposition system for forming carbon nanotubes |
JP4550507B2 (en) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | Plasma processing equipment |
JP4460418B2 (en) * | 2004-10-13 | 2010-05-12 | 東京エレクトロン株式会社 | Shield body and vacuum processing device |
US20060151116A1 (en) * | 2005-01-12 | 2006-07-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Focus rings, apparatus in chamber, contact hole and method of forming contact hole |
JP4537878B2 (en) * | 2005-04-01 | 2010-09-08 | 株式会社日立ハイテクノロジーズ | Plasma processing equipment |
US20060236935A1 (en) * | 2005-04-25 | 2006-10-26 | Von Ardenne Anlagentechnik Gmbh | Coating installation with coolable diaphragm |
JP2007088199A (en) * | 2005-09-22 | 2007-04-05 | Canon Inc | Processing equipment |
US20070079936A1 (en) * | 2005-09-29 | 2007-04-12 | Applied Materials, Inc. | Bonded multi-layer RF window |
US7976641B1 (en) | 2005-09-30 | 2011-07-12 | Lam Research Corporation | Extending storage time of removed plasma chamber components prior to cleaning thereof |
KR100819530B1 (en) * | 2006-03-03 | 2008-04-04 | 가부시키가이샤 히다치 하이테크놀로지즈 | Plasma etching apparatus and forming method of member in plasma precessing chamber |
US8034213B2 (en) * | 2006-03-30 | 2011-10-11 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
KR100775595B1 (en) * | 2006-04-05 | 2007-11-09 | 세메스 주식회사 | Plasma asher having insert |
CN101466866B (en) * | 2006-05-09 | 2012-10-03 | 株式会社爱发科 | Thin film production apparatus and inner block for thin film production apparatus |
JP2008027796A (en) * | 2006-07-24 | 2008-02-07 | Canon Inc | Plasma treatment device |
US7897008B2 (en) * | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
KR100907438B1 (en) * | 2007-01-15 | 2009-07-14 | (주)제이하라 | Plasma generator |
TW200830941A (en) * | 2007-01-15 | 2008-07-16 | Jehara Corp | Plasma generating apparatus |
US20080169183A1 (en) * | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
US8444926B2 (en) * | 2007-01-30 | 2013-05-21 | Applied Materials, Inc. | Processing chamber with heated chamber liner |
JP2008244224A (en) * | 2007-03-28 | 2008-10-09 | Sumitomo Precision Prod Co Ltd | Plasma treatment apparatus |
KR100941070B1 (en) * | 2007-05-10 | 2010-02-09 | 세메스 주식회사 | Apparatus treating a substrate using plasma |
US8008166B2 (en) * | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
SG2012059440A (en) * | 2007-08-10 | 2014-03-28 | Quantum Global Tech Llc | Methods and apparatus for ex situ seasoning of electronic device manufacturing process components |
US8387674B2 (en) | 2007-11-30 | 2013-03-05 | Taiwan Semiconductor Manufacturing Comany, Ltd. | Chip on wafer bonder |
DE102008009624A1 (en) * | 2008-02-18 | 2009-08-20 | Cs Clean Systems Ag | Method and device for cleaning the exhaust gases of a process plant |
US9520275B2 (en) | 2008-03-21 | 2016-12-13 | Tokyo Electron Limited | Mono-energetic neutral beam activated chemical processing system and method of using |
FR2930561B1 (en) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE. |
BRPI0803774B1 (en) * | 2008-06-11 | 2018-09-11 | Univ Federal De Santa Catarina Ufsc | process and plasma reactor for treatment of metal parts |
JP2010016225A (en) * | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | Thermal control mechanism and semiconductor manufacturing device using the same |
JP5203986B2 (en) * | 2009-01-19 | 2013-06-05 | 東京エレクトロン株式会社 | Focus ring heating method, plasma etching method, plasma etching apparatus and computer storage medium |
JP2010238944A (en) * | 2009-03-31 | 2010-10-21 | Panasonic Corp | Plasma processing device |
JP5136574B2 (en) * | 2009-05-01 | 2013-02-06 | 東京エレクトロン株式会社 | Plasma processing apparatus and plasma processing method |
JP5601794B2 (en) * | 2009-05-29 | 2014-10-08 | 株式会社東芝 | Plasma etching equipment |
DE102009025971A1 (en) * | 2009-06-15 | 2010-12-16 | Aixtron Ag | Method for setting up an epitaxial reactor |
KR101559913B1 (en) * | 2009-06-25 | 2015-10-27 | 삼성전자주식회사 | Plasma dry etching apparatus |
US8512225B2 (en) * | 2009-07-21 | 2013-08-20 | Wing Pow International Corp. | Plated glass dildo |
WO2011042949A1 (en) * | 2009-10-05 | 2011-04-14 | 株式会社島津製作所 | Surface wave plasma cvd device and film-forming method |
US8637794B2 (en) * | 2009-10-21 | 2014-01-28 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
US8642480B2 (en) * | 2009-12-15 | 2014-02-04 | Lam Research Corporation | Adjusting substrate temperature to improve CD uniformity |
US8178280B2 (en) * | 2010-02-05 | 2012-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-contained proximity effect correction inspiration for advanced lithography (special) |
TWI502617B (en) | 2010-07-21 | 2015-10-01 | 應用材料股份有限公司 | Method,plasma processing apparatus ,and liner assembly for tuning electrical skews |
US8430970B2 (en) * | 2010-08-09 | 2013-04-30 | Lam Research Corporation | Methods for preventing corrosion of plasma-exposed yttria-coated constituents |
CN102376604B (en) * | 2010-08-19 | 2013-10-30 | 北京北方微电子基地设备工艺研究中心有限责任公司 | Vacuum processing equipment and temperature control method thereof, and semiconductor device processing method |
US8791392B2 (en) | 2010-10-22 | 2014-07-29 | Lam Research Corporation | Methods of fault detection for multiplexed heater array |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
JP2012238629A (en) * | 2011-05-10 | 2012-12-06 | Hitachi High-Technologies Corp | Heat treatment apparatus |
US9307578B2 (en) | 2011-08-17 | 2016-04-05 | Lam Research Corporation | System and method for monitoring temperatures of and controlling multiplexed heater array |
KR101885102B1 (en) * | 2011-09-01 | 2018-09-11 | 세메스 주식회사 | Ntenna unit and substrate treating apparatus including the unit |
US10388493B2 (en) | 2011-09-16 | 2019-08-20 | Lam Research Corporation | Component of a substrate support assembly producing localized magnetic fields |
JP5977986B2 (en) * | 2011-11-08 | 2016-08-24 | 株式会社日立ハイテクノロジーズ | Heat treatment equipment |
US8709270B2 (en) * | 2011-12-13 | 2014-04-29 | Intermolecular, Inc. | Masking method and apparatus |
US9324589B2 (en) | 2012-02-28 | 2016-04-26 | Lam Research Corporation | Multiplexed heater array using AC drive for semiconductor processing |
US8809747B2 (en) | 2012-04-13 | 2014-08-19 | Lam Research Corporation | Current peak spreading schemes for multiplexed heated array |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
JP5956933B2 (en) * | 2013-01-15 | 2016-07-27 | 東京エレクトロン株式会社 | Plasma processing method and plasma processing apparatus |
US20140356985A1 (en) | 2013-06-03 | 2014-12-04 | Lam Research Corporation | Temperature controlled substrate support assembly |
US9978568B2 (en) | 2013-08-12 | 2018-05-22 | Tokyo Electron Limited | Self-sustained non-ambipolar direct current (DC) plasma at low power |
US9831111B2 (en) * | 2014-02-12 | 2017-11-28 | Applied Materials, Inc. | Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck |
US10883168B2 (en) | 2014-09-11 | 2021-01-05 | Massachusetts Institute Of Technology | Processing system for small substrates |
CN105992448B (en) * | 2015-02-02 | 2019-04-23 | 北京北方华创微电子装备有限公司 | Plasma generating device and semiconductor equipment with it |
US10435789B2 (en) * | 2016-12-06 | 2019-10-08 | Asm Ip Holding B.V. | Substrate treatment apparatus |
JP6749258B2 (en) | 2017-01-31 | 2020-09-02 | 東京エレクトロン株式会社 | Microwave plasma source, microwave plasma processing apparatus, and plasma processing method |
US10784091B2 (en) * | 2017-09-29 | 2020-09-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process and related device for removing by-product on semiconductor processing chamber sidewalls |
CN108461420B (en) * | 2018-01-31 | 2020-07-24 | 武汉华星光电半导体显示技术有限公司 | Etching equipment |
JP7373302B2 (en) * | 2019-05-15 | 2023-11-02 | 株式会社Screenホールディングス | Substrate processing equipment |
KR102596797B1 (en) * | 2021-11-02 | 2023-11-02 | 피에스케이 주식회사 | Substrate processing apparatus and substrate processing method |
WO2023239542A1 (en) * | 2022-06-07 | 2023-12-14 | Lam Research Corporation | Vacuum-insulated, heated reactor construction |
Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4901667A (en) * | 1985-08-09 | 1990-02-20 | Hitachi, Ltd. | Surface treatment apparatus |
US5283414A (en) * | 1990-03-07 | 1994-02-01 | Siegfried Straemke | Plasma treatment apparatus |
US5290381A (en) * | 1990-11-28 | 1994-03-01 | Tokyo Electron Limited | Plasma etching apparatus |
US5356672A (en) * | 1990-05-09 | 1994-10-18 | Jet Process Corporation | Method for microwave plasma assisted supersonic gas jet deposition of thin films |
US5362361A (en) * | 1991-04-27 | 1994-11-08 | Sony Corporation | Dry etching method |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5445709A (en) * | 1992-11-19 | 1995-08-29 | Hitachi, Ltd. | Anisotropic etching method and apparatus |
US5478429A (en) * | 1993-01-20 | 1995-12-26 | Tokyo Electron Limited | Plasma process apparatus |
US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
US5651826A (en) * | 1995-05-30 | 1997-07-29 | Anelva Corporation | Plasma processing apparatus |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5874012A (en) * | 1995-03-16 | 1999-02-23 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US6068784A (en) * | 1989-10-03 | 2000-05-30 | Applied Materials, Inc. | Process used in an RF coupled plasma reactor |
Family Cites Families (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5667925A (en) | 1979-11-05 | 1981-06-08 | Nippon Telegr & Teleph Corp <Ntt> | Plasma etching method |
JPS5723228A (en) | 1980-07-16 | 1982-02-06 | Mitsubishi Electric Corp | Dry etching device |
US4367114A (en) * | 1981-05-06 | 1983-01-04 | The Perkin-Elmer Corporation | High speed plasma etching system |
JPS58128728A (en) * | 1982-01-28 | 1983-08-01 | Toshiba Mach Co Ltd | Semiconductor vapor growth apparatus |
JPS58153332A (en) * | 1982-03-08 | 1983-09-12 | Mitsubishi Electric Corp | Dry etching device |
JPS59144132A (en) * | 1983-02-07 | 1984-08-18 | Hitachi Ltd | Reaction apparatus |
JPS6060060A (en) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | Switchgear for door of railway rolling stock |
JPS6295828A (en) | 1985-10-23 | 1987-05-02 | Hitachi Ltd | Plasma processor |
JP2641193B2 (en) | 1986-03-20 | 1997-08-13 | キヤノン株式会社 | Deposition film manufacturing equipment |
JPS635526A (en) | 1986-06-25 | 1988-01-11 | Matsushita Electric Ind Co Ltd | Dry etching device |
US4812201A (en) * | 1986-07-25 | 1989-03-14 | Tokyo Electron Limited | Method of ashing layers, and apparatus for ashing layers |
JPS6375034A (en) | 1986-09-18 | 1988-04-05 | Agency Of Ind Science & Technol | Addition-curing soluble imide oligomer and intermediate material for fiber-reinforced composite material using said oligomer |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4853102A (en) * | 1987-01-07 | 1989-08-01 | Hitachi, Ltd. | Sputtering process and an apparatus for carrying out the same |
JPH01208449A (en) * | 1988-02-13 | 1989-08-22 | Kobe Steel Ltd | Double chamber vacuum film forming device |
JPH01220447A (en) | 1988-02-28 | 1989-09-04 | Nec Kyushu Ltd | Plasma apparatus |
US4908095A (en) * | 1988-05-02 | 1990-03-13 | Tokyo Electron Limited | Etching device, and etching method |
US4890573A (en) * | 1988-07-25 | 1990-01-02 | Technadyne Engineering Corporation | System for applying thermal-cure materials |
JP2644309B2 (en) * | 1988-11-04 | 1997-08-25 | 株式会社東芝 | Semiconductor manufacturing equipment |
JP2993675B2 (en) * | 1989-02-08 | 1999-12-20 | 株式会社日立製作所 | Plasma processing method and apparatus |
JPH02298024A (en) | 1989-05-12 | 1990-12-10 | Tadahiro Omi | Reactive ion etching apparatus |
JP3073207B2 (en) | 1989-08-02 | 2000-08-07 | 沖電気工業株式会社 | Plasma processing method |
JPH03104222A (en) * | 1989-09-19 | 1991-05-01 | Fuji Electric Co Ltd | Plasma treating device |
JP2714178B2 (en) * | 1989-09-20 | 1998-02-16 | 株式会社日立製作所 | Vacuum processing equipment |
US4971653A (en) * | 1990-03-14 | 1990-11-20 | Matrix Integrated Systems | Temperature controlled chuck for elevated temperature etch processing |
US5096536A (en) * | 1990-06-12 | 1992-03-17 | Micron Technology, Inc. | Method and apparatus useful in the plasma etching of semiconductor materials |
US5279683A (en) * | 1990-06-20 | 1994-01-18 | Kawasaki Steel Corporation | Method of producing high-strength cold-rolled steel sheet suitable for working |
KR0165898B1 (en) * | 1990-07-02 | 1999-02-01 | 미다 가쓰시게 | Vacuum processing method and apparatus |
US5223113A (en) * | 1990-07-20 | 1993-06-29 | Tokyo Electron Limited | Apparatus for forming reduced pressure and for processing object |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
JP2794936B2 (en) | 1990-11-20 | 1998-09-10 | 富士電機株式会社 | Plasma processing equipment |
JP2949874B2 (en) | 1990-11-21 | 1999-09-20 | 富士電機株式会社 | ECR plasma CVD apparatus dry cleaning method |
US5270222A (en) * | 1990-12-31 | 1993-12-14 | Texas Instruments Incorporated | Method and apparatus for semiconductor device fabrication diagnosis and prognosis |
KR0155572B1 (en) * | 1991-05-28 | 1998-12-01 | 이노우에 아키라 | Reduced pressure processing system |
US6063233A (en) * | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6024826A (en) | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US6074512A (en) * | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
JP2973629B2 (en) | 1991-08-30 | 1999-11-08 | アイシン精機株式会社 | Hydraulic solenoid |
JPH05144773A (en) * | 1991-11-19 | 1993-06-11 | Sumitomo Metal Ind Ltd | Plasma etching apparatus |
JPH05211125A (en) | 1992-01-30 | 1993-08-20 | Nec Corp | Vapor growth equipment |
US5310453A (en) * | 1992-02-13 | 1994-05-10 | Tokyo Electron Yamanashi Limited | Plasma process method using an electrostatic chuck |
JP3181364B2 (en) | 1992-03-25 | 2001-07-03 | 東京エレクトロン株式会社 | Plasma processing equipment |
JPH05266845A (en) * | 1992-03-16 | 1993-10-15 | Nissin Electric Co Ltd | Ion source |
KR100238626B1 (en) * | 1992-07-28 | 2000-02-01 | 히가시 데쓰로 | Plasma device |
JP3085427B2 (en) * | 1992-09-18 | 2000-09-11 | 株式会社日立製作所 | Plasma etching method |
US5282925A (en) | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
US5567267A (en) * | 1992-11-20 | 1996-10-22 | Tokyo Electron Limited | Method of controlling temperature of susceptor |
US5427670A (en) * | 1992-12-10 | 1995-06-27 | U.S. Philips Corporation | Device for the treatment of substrates at low temperature |
US5382311A (en) * | 1992-12-17 | 1995-01-17 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
JPH06188220A (en) | 1992-12-18 | 1994-07-08 | Hitachi Ltd | Microwave plasma treatment and device therefor |
JP3079818B2 (en) | 1992-12-25 | 2000-08-21 | 富士電機株式会社 | Plasma processing equipment |
US5662770A (en) * | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
EP0628644B1 (en) * | 1993-05-27 | 2003-04-02 | Applied Materials, Inc. | Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices |
TW262566B (en) * | 1993-07-02 | 1995-11-11 | Tokyo Electron Co Ltd | |
JP3055847B2 (en) | 1993-07-02 | 2000-06-26 | 東京エレクトロン株式会社 | Decompression processing equipment |
US5531834A (en) | 1993-07-13 | 1996-07-02 | Tokyo Electron Kabushiki Kaisha | Plasma film forming method and apparatus and plasma processing apparatus |
US5529657A (en) * | 1993-10-04 | 1996-06-25 | Tokyo Electron Limited | Plasma processing apparatus |
EP0648858A1 (en) | 1993-10-15 | 1995-04-19 | Applied Materials, Inc. | Methods of coating plasma etch chambers and apparatus for plasma etching workpieces |
EP0648861A1 (en) * | 1993-10-15 | 1995-04-19 | Applied Materials, Inc. | Semiconductor processing apparatus |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
KR100302167B1 (en) * | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | Plasma Treatment Equipment and Plasma Treatment Methods |
JPH07147247A (en) | 1993-11-26 | 1995-06-06 | Tokyo Electron Ltd | Processor |
JP2659919B2 (en) * | 1994-01-13 | 1997-09-30 | インターナショナル・ビジネス・マシーンズ・コーポレイション | Plasma device for correcting non-uniformity of plasma |
US5429989A (en) * | 1994-02-03 | 1995-07-04 | Motorola, Inc. | Process for fabricating a metallization structure in a semiconductor device |
US5798016A (en) * | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5472086A (en) * | 1994-03-11 | 1995-12-05 | Holliday; James E. | Enclosed sealable purgible semiconductor wafer holder |
JP3210207B2 (en) | 1994-04-20 | 2001-09-17 | 東京エレクトロン株式会社 | Plasma processing equipment |
TW299559B (en) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5507874A (en) * | 1994-06-03 | 1996-04-16 | Applied Materials, Inc. | Method of cleaning of an electrostatic chuck in plasma reactors |
JP3171222B2 (en) | 1994-06-14 | 2001-05-28 | 日本電気株式会社 | Microwave plasma processing equipment |
US5545258A (en) * | 1994-06-14 | 1996-08-13 | Sumitomo Metal Industries, Ltd. | Microwave plasma processing system |
US5476548A (en) * | 1994-06-20 | 1995-12-19 | Applied Materials, Inc. | Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring |
US5580385A (en) | 1994-06-30 | 1996-12-03 | Texas Instruments, Incorporated | Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber |
US5744049A (en) * | 1994-07-18 | 1998-04-28 | Applied Materials, Inc. | Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same |
US5474648A (en) * | 1994-07-29 | 1995-12-12 | Lsi Logic Corporation | Uniform and repeatable plasma processing |
JP3380824B2 (en) | 1994-08-08 | 2003-02-24 | 三菱電機株式会社 | Semiconductor processing equipment |
US5614247A (en) * | 1994-09-30 | 1997-03-25 | International Business Machines Corporation | Apparatus for chemical vapor deposition of aluminum oxide |
JPH08144072A (en) | 1994-11-18 | 1996-06-04 | Nec Corp | Dry etching device |
JPH08186093A (en) | 1994-12-28 | 1996-07-16 | Hitachi Ltd | Plasma treatment device |
TW297135B (en) | 1995-03-20 | 1997-02-01 | Hitachi Ltd | |
JPH08316205A (en) | 1995-05-19 | 1996-11-29 | Hitachi Ltd | Method and device for performing plasma treatment |
US5589041A (en) * | 1995-06-07 | 1996-12-31 | Sony Corporation | Plasma sputter etching system with reduced particle contamination |
US5716451A (en) | 1995-08-17 | 1998-02-10 | Tokyo Electron Limited | Plasma processing apparatus |
JPH09157832A (en) | 1995-11-30 | 1997-06-17 | Sony Corp | Deposition preventive plate and vacuum device using the same |
TW312815B (en) | 1995-12-15 | 1997-08-11 | Hitachi Ltd | |
US5843277A (en) * | 1995-12-22 | 1998-12-01 | Applied Komatsu Technology, Inc. | Dry-etch of indium and tin oxides with C2H5I gas |
US6095084A (en) * | 1996-02-02 | 2000-08-01 | Applied Materials, Inc. | High density plasma process chamber |
US6054013A (en) | 1996-02-02 | 2000-04-25 | Applied Materials, Inc. | Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density |
JPH09275092A (en) | 1996-04-05 | 1997-10-21 | Sony Corp | Plasma processor |
JP2943691B2 (en) | 1996-04-25 | 1999-08-30 | 日本電気株式会社 | Plasma processing equipment |
US6440221B2 (en) * | 1996-05-13 | 2002-08-27 | Applied Materials, Inc. | Process chamber having improved temperature control |
JP3249400B2 (en) | 1996-09-17 | 2002-01-21 | 九州三井アルミニウム工業株式会社 | Plasma processing equipment using plasma resistant aluminum alloy |
US6055927A (en) | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US5800621A (en) | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
JP3598717B2 (en) * | 1997-03-19 | 2004-12-08 | 株式会社日立製作所 | Plasma processing equipment |
JP3104222B2 (en) | 1997-05-19 | 2000-10-30 | ソニー株式会社 | Optical disk drive |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
US6019060A (en) * | 1998-06-24 | 2000-02-01 | Lam Research Corporation | Cam-based arrangement for positioning confinement rings in a plasma processing chamber |
US6088784A (en) * | 1999-03-30 | 2000-07-11 | Sandcraft, Inc. | Processor with multiple execution units and local and global register bypasses |
-
1995
- 1995-03-16 JP JP05747295A patent/JP3257328B2/en not_active Expired - Fee Related
-
1996
- 1996-03-05 TW TW085217582U patent/TW322202U/en unknown
- 1996-03-08 US US08/611,758 patent/US5874012A/en not_active Expired - Lifetime
- 1996-03-11 EP EP98115402A patent/EP0881662A1/en not_active Withdrawn
- 1996-03-11 EP EP96103803A patent/EP0732729A3/en not_active Ceased
- 1996-03-13 SG SG1996006745A patent/SG52614A1/en unknown
- 1996-03-15 KR KR1019960006945A patent/KR100303615B1/en not_active IP Right Cessation
-
1999
- 1999-01-08 US US09/227,332 patent/US6171438B1/en not_active Expired - Fee Related
- 1999-10-20 US US09/421,043 patent/US20020005252A1/en not_active Abandoned
- 1999-10-20 US US09/421,044 patent/US20020119670A1/en not_active Abandoned
-
2001
- 2001-10-26 US US09/983,946 patent/US6815365B2/en not_active Expired - Fee Related
- 2001-10-26 US US09/984,052 patent/US20020043338A1/en not_active Abandoned
-
2002
- 2002-09-25 US US10/253,862 patent/US20030024646A1/en not_active Abandoned
-
2003
- 2003-05-20 US US10/441,009 patent/US20030203640A1/en not_active Abandoned
- 2003-07-11 US US10/617,020 patent/US20040016508A1/en not_active Abandoned
- 2003-07-11 US US10/617,019 patent/US20040009617A1/en not_active Abandoned
- 2003-08-26 US US10/647,319 patent/US20040045675A1/en not_active Abandoned
-
2004
- 2004-09-30 US US10/953,537 patent/US7208422B2/en not_active Expired - Fee Related
- 2004-09-30 US US10/953,539 patent/US7565879B2/en not_active Expired - Fee Related
-
2006
- 2006-07-03 US US11/478,629 patent/US20060249254A1/en not_active Abandoned
-
2009
- 2009-08-03 US US12/534,491 patent/US20090289035A1/en not_active Abandoned
-
2010
- 2010-02-22 US US12/709,641 patent/US20100140224A1/en not_active Abandoned
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4901667A (en) * | 1985-08-09 | 1990-02-20 | Hitachi, Ltd. | Surface treatment apparatus |
US6068784A (en) * | 1989-10-03 | 2000-05-30 | Applied Materials, Inc. | Process used in an RF coupled plasma reactor |
US5283414A (en) * | 1990-03-07 | 1994-02-01 | Siegfried Straemke | Plasma treatment apparatus |
US5356672A (en) * | 1990-05-09 | 1994-10-18 | Jet Process Corporation | Method for microwave plasma assisted supersonic gas jet deposition of thin films |
US5290381A (en) * | 1990-11-28 | 1994-03-01 | Tokyo Electron Limited | Plasma etching apparatus |
US5362361A (en) * | 1991-04-27 | 1994-11-08 | Sony Corporation | Dry etching method |
US5445709A (en) * | 1992-11-19 | 1995-08-29 | Hitachi, Ltd. | Anisotropic etching method and apparatus |
US5478429A (en) * | 1993-01-20 | 1995-12-26 | Tokyo Electron Limited | Plasma process apparatus |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
US5874012A (en) * | 1995-03-16 | 1999-02-23 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US6171438B1 (en) * | 1995-03-16 | 2001-01-09 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US5651826A (en) * | 1995-05-30 | 1997-07-29 | Anelva Corporation | Plasma processing apparatus |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7164571B2 (en) * | 2004-02-19 | 2007-01-16 | Anelva Corporation | Wafer stage with a magnet |
US20050185359A1 (en) * | 2004-02-19 | 2005-08-25 | Anelva Corporation | Wafer Stage |
KR101280184B1 (en) * | 2004-04-30 | 2013-07-01 | 램 리써치 코포레이션 | Gas distribution member supplying process gas and rf power for plasma processing |
US20050241766A1 (en) * | 2004-04-30 | 2005-11-03 | Rajinder Dhindsa | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
WO2005111267A3 (en) * | 2004-04-30 | 2007-03-29 | Lam Res Corp | Gas distribution member supplying process gas and rf power for plasma processing |
EP1769101A2 (en) * | 2004-04-30 | 2007-04-04 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (rf) power for plasma processing |
US8822345B2 (en) | 2004-04-30 | 2014-09-02 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US8317968B2 (en) | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
EP1769101A4 (en) * | 2004-04-30 | 2010-03-03 | Lam Res Corp | Apparatus including gas distribution member supplying process gas and radio frequency (rf) power for plasma processing |
US7558461B2 (en) | 2005-06-15 | 2009-07-07 | Corning Incorporated | High SBS threshold optical fiber with aluminum dopant |
US7130514B1 (en) | 2005-06-15 | 2006-10-31 | Corning Incorporated | High SBS threshold optical fiber |
US20070116416A1 (en) * | 2005-06-15 | 2007-05-24 | Xin Chen | High SBS threshold optical fiber with aluminium dopant |
EP3521878A1 (en) | 2005-06-15 | 2019-08-07 | Corning Incorporated | High sbs threshold optical fiber with aluminium dopant |
US20100288195A1 (en) * | 2006-05-31 | 2010-11-18 | Eiji Ikegami | Plasma processing method and apparatus |
US8900401B2 (en) * | 2006-05-31 | 2014-12-02 | Hitachi High-Technologies Corporation | Plasma processing method and apparatus |
US9230782B2 (en) | 2006-05-31 | 2016-01-05 | Hitachi High-Technologies Corporation | Plasma processing method and apparatus |
US20070281083A1 (en) * | 2006-06-05 | 2007-12-06 | Annamalai Lakshmanan | Elimination of first wafer effect for pecvd films |
US9157151B2 (en) * | 2006-06-05 | 2015-10-13 | Applied Materials, Inc. | Elimination of first wafer effect for PECVD films |
Also Published As
Publication number | Publication date |
---|---|
US6815365B2 (en) | 2004-11-09 |
US20050039683A1 (en) | 2005-02-24 |
US7565879B2 (en) | 2009-07-28 |
US20030024646A1 (en) | 2003-02-06 |
US7208422B2 (en) | 2007-04-24 |
US5874012A (en) | 1999-02-23 |
EP0732729A2 (en) | 1996-09-18 |
US20020042206A1 (en) | 2002-04-11 |
US20050064717A1 (en) | 2005-03-24 |
JP3257328B2 (en) | 2002-02-18 |
KR100303615B1 (en) | 2001-11-30 |
KR960035788A (en) | 1996-10-28 |
TW322202U (en) | 1997-12-01 |
US20020005252A1 (en) | 2002-01-17 |
US20090289035A1 (en) | 2009-11-26 |
US6171438B1 (en) | 2001-01-09 |
US20040016508A1 (en) | 2004-01-29 |
US20060249254A1 (en) | 2006-11-09 |
US20020119670A1 (en) | 2002-08-29 |
JPH08255783A (en) | 1996-10-01 |
US20100140224A1 (en) | 2010-06-10 |
US20020043338A1 (en) | 2002-04-18 |
US20040045675A1 (en) | 2004-03-11 |
EP0881662A1 (en) | 1998-12-02 |
US20030203640A1 (en) | 2003-10-30 |
EP0732729A3 (en) | 1997-03-26 |
SG52614A1 (en) | 1998-09-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6815365B2 (en) | Plasma etching apparatus and plasma etching method | |
US6506686B2 (en) | Plasma processing apparatus and plasma processing method | |
US20050236109A1 (en) | Plasma etching apparatus and plasma etching method | |
US8124539B2 (en) | Plasma processing apparatus, focus ring, and susceptor | |
US7754997B2 (en) | Apparatus and method to confine plasma and reduce flow resistance in a plasma | |
JP3689732B2 (en) | Monitoring device for plasma processing equipment | |
US6576860B2 (en) | Plasma processing method and apparatus for eliminating damages in a plasma process of a substrate | |
US20040173314A1 (en) | Plasma processing apparatus and method | |
KR100520421B1 (en) | Apparatus for treating substrate by plasma and method thereof | |
JP3712898B2 (en) | Plasma etching equipment | |
EP0749148B1 (en) | Plasma processing apparatus | |
JP4073235B2 (en) | Plate for plasma processing equipment | |
JP3993493B2 (en) | Plasma etching equipment | |
JP2000150487A (en) | Plasma treatment method | |
JP2956640B2 (en) | Plasma processing equipment |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |