US20040053172A1 - Acetylenic diol surfactant solutions and methods of using same - Google Patents

Acetylenic diol surfactant solutions and methods of using same Download PDF

Info

Publication number
US20040053172A1
US20040053172A1 US10/634,608 US63460803A US2004053172A1 US 20040053172 A1 US20040053172 A1 US 20040053172A1 US 63460803 A US63460803 A US 63460803A US 2004053172 A1 US2004053172 A1 US 2004053172A1
Authority
US
United States
Prior art keywords
resist
substrate
process solution
solution
ppm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/634,608
Inventor
Peng Zhang
Danielle Curzi
Eugene Karwacki
Leslie Barber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US10/634,608 priority Critical patent/US20040053172A1/en
Priority to KR1020030055635A priority patent/KR100630853B1/en
Priority to JP2003292443A priority patent/JP4041037B2/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CURZI, DANIELLE MEGAN KING, KARWACKI, EUGENE JOSEPH, JR., ZHANG, PENG, BARBER, LESLIE COX
Publication of US20040053172A1 publication Critical patent/US20040053172A1/en
Priority to US11/048,576 priority patent/US7348300B2/en
Priority to KR1020060023837A priority patent/KR100655816B1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B3/00Fastening knobs or handles to lock or latch parts
    • E05B3/003Fastening knobs or handles to hollow cylindrical spindles, e.g. of tubular locks
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K23/00Use of substances as emulsifying, wetting, dispersing, or foam-producing agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K23/00Use of substances as emulsifying, wetting, dispersing, or foam-producing agents
    • C09K23/42Ethers, e.g. polyglycol ethers of alcohols or phenols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05BLOCKS; ACCESSORIES THEREFOR; HANDCUFFS
    • E05B15/00Other details of locks; Parts for engagement by bolts of fastening devices

Definitions

  • the present invention relates generally to a method for the manufacture of semiconductor devices. More specifically, the present invention relates to aprocess solution for treating the surface of a substrate and a method for using same.
  • Lithography is a critical process for the manufacture of semiconductor components and integrated circuits (IC).
  • the typical lithography process involves coating a substrate with a positive or negative resist layer, exposing the substrate to a radiation source to provide an image, and developing the substrate to form a patterned resist layer on the substrate.
  • This patterned layer acts as a mask for subsequent substrate patterning processes such as etching, doping, and/or coating with metals, other semiconductor materials, or insulating materials.
  • One strategy for addressing the need for tighter CD control may be to improve the development process. This approach becomes increasingly important because the next generation of photoresists for 193 nm lithography will be more hydrophobic, and, thus, be more resistant to developer wetting. Poor wetting of the photoresist by the developer can lead to defects as well as a reduction in CD control. It is anticipated that these problems may be amplified with the move towards 300 mm processing because more surface area on the substrate will need to be simultaneously wetted.
  • DI deionized water
  • EP 0231028 B1 describes treating a photoresist film in a pre-dip bath containing an organic base and cationic solution and rinsing with DI water prior to developing the photoresist film in a developer solution containing an organic base and fluorochemical surfactant.
  • EP 0178495 B1 describes treating a photoresist film with a pre-dip solution containing an aqueous alkali metal base and a fluorochemical or carboxylated surfactant and rinsing with DI water prior to developing the photoresist film in a developer solution containing an aqueous alkali metal hydroxide and optionally a fluorochemical or carboxylated surfactant.
  • Both references employ a two-solution process that includes a DI water rinse step between the pre-dip and development steps. It may be desirable, however, to treat the surface of the substrate and achieve the benefits of improved wetting in fewer steps. It may also be desirable to treat the surface of the substrate with a dynamic rather than a static rinse.
  • Japanese patent application 2002/148821 describes coating a wafer having a fluorine and silicon-based polymer resist with a fluorosurfactant to improve the wettablity of the developer.
  • the present invention satisfies some, if not all, of the needs of the art by providing a process solution comprising one or more acetylenic diol type surfactants to prepare the surface of a substrate.
  • the process solution of the present invention may be used to modify the character of the substrate surface from a hydrophobic surface to a substantially more hydrophilic surface, or vice versa.
  • the substrate may exhibit improved wettability, enhanced development, improved CD control, reduced defects, and/or increased throughput by achieving faster developing speeds.
  • a method for improving the wettability of a substrate comprises the steps of contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and applying the aqueous developer solution to the substrate to dissolve at least a portion of the resist coating.
  • the contacting step may be performed prior to the applying step.
  • a method for improving the wettability of a substrate comprises the steps of contacting a substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula:
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and applying the aqueous developer solution to the substrate to dissolve at least a portion of the resist coating.
  • the contacting step is performed prior to the applying step.
  • a method for improving the wettability of a substrate by lowering the contact angle of an aqueous developer solution on the surface of the substrate comprises the steps of contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; contacting the resist-coated substrate with the process solution; and applying an aqueous developer solution to the substrate to dissolve at least a portion of the resist coating.
  • a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof and about 10 to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
  • a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 to about 10,000 ppm of a surfactant having the formula:
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
  • a method for improving the wettability of an aqueous developer solution on a surface of a resist-coated substrate comprising: providing a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; contacting the resist-coated substrate with the aqueous solution; and applying the aqueous developer solution to the resist-coated substrate wherein the contacting step is conducted prior to the applying step.
  • the present invention is directed to process solutions having at least one surfactant that is an acetylenic diol derivative and methods for using same.
  • the process solution of the present invention may be used to modify the character of the substrate surface from a hydrophobic surface to a substantially more hydrophilic surface, or vice versa.
  • the process solution of the present invention may be used to treat a surface of a substrate that be coated with a layer of resist coating prior to the development of the resist. In this manner, the wettability of the substrate surface may be improved.
  • aqueous describes a solvent or liquid dispersing medium, which comprises at least 80 weight percent, preferably 90 weight percent, and more preferably at least 95 weight percent water.
  • the preferred aqueous-based solvent is deionized water.
  • at least one formula I through VIII surfactant demonstrates a dynamic surface tension of less than 45 dynes/cm at a concentration of less than or equal to 5 weight percent in water at 23° C. and 1 bubble/second according to the maximum-bubble-pressure method of measuring surface tension described in Langmuir 1986, 2, 428-432, which is incorporated herein by reference in its entirety.
  • a non-aqueous solvent is used in addition to, or in place of an aqueous solvent such as water
  • the non-aqueous solvent selected will not react with the at least one surfactant contained therein, other additives within the process solution, or the substrate itself.
  • Suitable solvents include, but are not limited to, hydrocarbons (e.g. pentane or hexane); halocarbons (e.g. Freon 113); ethers (e.g. ethylether (Et 2 O), tetrahydrofuran (“THF”), ethylene glycol monomethyl ether, or 2-methoxyethyl ether (diglyme)); nitriles (e.g.
  • solvents include lactates, lactones, pyruvates, and diols. These solvents include, but are not limited to, acetone, 1,4-dioxane, 1,3-dioxolane, ethyl acetate, cyclohexanone, acetone, 1-methyl-2-pyrodidianone (NMP), ⁇ -butyrolactone, methyl ethyl ketone.
  • solvents include dimethylformamide, dimethylacetamide, N-methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerol and derivatives, naphthalene and substituted versions, acetic acid anyhydride, propionic acid and propionic acid anhydride, dimethyl sulfone, benzophenone, diphenyl sulfone, phenol, m-cresol, dimethyl sulfoxide, diphenyl ether, terphenyl, and the like.
  • Still further solvents include propylene glycol propyl ether (PGPE), methanol, ethanol, 3-heptanol, 2-methyl-1-pentanol, 5-methyl-2-hexanol, 3-hexanol, 2-heptano, 2-hexanol, 2,3-dimethyl-3-pentanol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol, isopropyl alcohol (IPA), n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propanol, 2-methyl-3-pentanol, 2-methoxyethyl acetate, 2-butoxyethanol, 2-ethoxyethyl acetoacetate, 1-pentanol, and propylene glycol methyl ether.
  • the non-aqueous solvents enumerated above may be used alone or in combination with one or more aqueous or non-aqueous solvents.
  • the process solution may contain at least one non-aqueous solvent that is miscible in an aqueous solvent or is water-miscible.
  • the amount of non-aqueous solvent within the process solution may range from about 1 to about 50% by weight with the balance of the solvent within the process solution comprising an aqueous solvent.
  • water-miscible non-aqueous solvents include methanol, ethanol, isopropyl alcohol, and THF.
  • the process solutions of the present invention contain one or more nonionic surfactants that are acetylenic diol derivatives.
  • the surfactants of the present invention may be represented by the following formula I or formula II:
  • R 1 and R 4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
  • the surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa., the assignee of the present invention, under the trade names SURFYNOL® and DYNOL®.
  • the acetylenic diol portion of the molecule of formulas I or II is 2,4,5,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol.
  • the acetylenic diol derived surfactants of the present invention may be prepared in a number of ways including the methods described, for example, in U.S. Pat. No. 6,313,182 and EP 1115035A1 which are assigned to the assignee of the present invention and incorporated herein by reference in their entirety.
  • the alkylene oxide moieties represented by (OC 2 H 4 ) are the (n+m) polymerized ethylene oxide (EO) molar units and the moieties represented by (OC 3 H 6 ) are the (p+q) polymerized propylene oxide (PO) molar units.
  • the value of (n+m) may range from 0 to 30, preferably from 1.3 to 15, and more preferably from 1.3 to 10.
  • the value of (p+q) may range from 0 to 30, preferably from 1 to 10, and more preferably from 1 to 2.
  • the process solution may contain a dispersant.
  • the amount of dispersant that is added to the process solution ranges from about 10 to about 10,000 ppm, preferably about 10 to about 5,000 ppm, and more preferably from about 10 to about 1,000 ppm.
  • the term dispersant describes compounds that enhance the dispersion of particles such as dust, processing residue, hydrocarbons, metal oxides, pigment or other contaminants within the process solution.
  • Dispersants suitable for the present invention preferably have a number average molecular weight that ranges from about 10 to about 10,000.
  • the dispersant may be an ionic or a nonionic compound.
  • the ionic or nonionic compound may further comprise a copolymer, an oligomer, or a surfactant, alone or in combination.
  • copolymer as used herein, relates to a polymer compound consisting of more than one polymeric compound such as block, star, or grafted copolymers.
  • nonionic copolymer dispersant include polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® L121, L123, L31, L81, L101 and P123 (BASF, Inc.).
  • oligomer as used herein, relates to a polymer compound consisting of only a few monomer units.
  • examples of ionic oligomer dispersants include SMA® 1440 and 2625 oligomers (Elf Alfochem).
  • the dispersent may further comprise a surfactant.
  • Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time.
  • Amphiphillic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water.
  • the surfactants may be ionic (i.e., anionic, cationic) or nonionic.
  • Further examples of surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants.
  • Suitable non-ionic surfactants for use in the process solution include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-102, X-45, X-15 and alcohol ethoxylates such as BRIJ® 56 (C 16 H 33 (OCH 2 CH 2 ) 10 OH) (ICI), BRIJ® 58 (C 16 H 33 (OCH 2 CH 2 ) 20 OH) (ICI).
  • Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamides, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants provided in the reference McCutcheon's Emulsifiers and Detergents , North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J.
  • additives may be optionally added to the process solution depending upon the application.
  • additives may include, but are not limited to, colorants, wetting agents, antifoamers, buffering agents, and other surfactants.
  • the amount of each of these additives would be about 0.0001 to 1, more preferably 0.0001 to 0.1 percent by weight, based upon the total weight of the process solution.
  • the surfactant may be any of the surfactants disclosed herein.
  • the process solution of the present invention may be prepared by mixing the acetylenic diol derivative surfactant with water and/or other solvents and any additional additives.
  • the mixing may be done at a temperature range of about 40 to 60° C. to affect dissolution of the ingredients contained therein.
  • the resulting process solution may optionally be filtered to remove any undissolved particles that could potentially harm the substrate.
  • the process solution of the present invention is applied to, or contacts, the surface of a substrate.
  • Suitable substrates include, but are not limited to, materials such as gallium arsenide (“GaAs”), silicon, tantalum, copper, ceramics, aluminum/copper alloys, polyimides, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ”), silicon nitride, doped silicon dioxide, and the like.
  • Further exemplary substrates include silicon, aluminum, or polymeric resins.
  • the surface of the substrate may be primed with a conventional adhesion promoter such as hexamethyldisilizane (HMDS) before a resist coating is applied.
  • HMDS hexamethyldisilizane
  • the process solution may be used to treat the surface of a substrate before and/or after the surface of the substrate has been coated with a resist coating.
  • the contacting step may occur in one or more steps either before and/or after the substrate has been coated with resist.
  • the process solution may improve the wetting of the resist coating to the substrate surface.
  • the process solution alters the character of the surface from a more hydrophilic nature to a more hydrophobic one, facilitating the subsequent coating of a hydrophobic organic material such as resist or low-k dielectrics.
  • the process solution may improve the wetting of the aqueous developer solution to the resist-coated surface.
  • the application of the process solution may alter the character of the surface from a more hydrophobic surface to a more hydrophilic surface.
  • the contacting step occurs after the substrate has been coated with a resist coating.
  • resist coatings include phenolic resists; resist coatings for 157 nm line widths; resist coatings for 193 nm line widths such as IBM COBRA 3000 (cyclic olefine) and JSR AT 5000 (COMA) having iodonium photo acid generators (PAGs); deep ultraviolet (DUV) resists such as Shipley ApexE (modified polyhydroxystyrene) and Shipley UV6 (copolymer of hydroxystyrene and t-butyl acrylate); and e-beam resist material such as poly(methylmethacrylate) (PMMA).
  • the substrate may be also coated with an antireflective coating (ARC). Examples of suitable ARC coatings used may be any of the coatings manufactured by Brewer Science and Shipley Co. of Marlborough, Mass.
  • the substrate may be baked to evaporate any solvent in the resist composition and to harden the coating onto the substrate.
  • the resist-coated substrate is then exposed to a radiation source to provide a design pattern that is imposed upon the exposed areas of the resist-coated surface.
  • suitable radiation sources include, but are not limited to, visible light, ultraviolet (UV) light, deep ultraviolet (DUV) light, 157 nm, extended ultraviolet (UV) light, electron beam, or X-ray radiant energy.
  • the radiation either increases or decreases its solubility in a subsequently applied, alkaline aqueous developer such as a solution containing tetramethylammonium hydroxide (TMAH).
  • TMAH tetramethylammonium hydroxide
  • the process solution may be used to treat substrates having a positive resist coating.
  • the process solution is preferably applied to the surface of the substrate as a prepared solution.
  • the process solution can be prepared within the rinse stream just prior to or during contact with the substrate surface.
  • a certain quantity of one or more acetylenic diol derived surfactants can be injected into a continuous stream of water or other solvent medium that optionally includes other additives thereby forming the process solution.
  • the at least one surfactant can be also deposited upon or comprise the material of a high surface area device such as a cartridge or filter (which may or may not include other additives). A stream or water and/or solvent then passes through the cartridge or filter thereby forming the process solution.
  • the process solution is prepared during the contacting step.
  • at least one surfactant is introduced via a dropper or other means to the surface of the substrate.
  • Water and/or other solvent medium is then introduced to the surface of the substrate and mixes with the at least one surfactant on the surface of the substrate thereby forming the process solution.
  • a concentrated composition is provided that may be diluted in water and/or other solvents to provide the process solution.
  • a concentrated composition of the invention, or “concentrate” allows one to dilute the concentrate to the desired strength and pH.
  • a concentrate also permits longer shelf life and easier shipping and storage of the product.
  • a variety of means can be employed in contacting the process solution with the substrate surface.
  • the actual conditions of the contacting step i.e., temperature, time, and the like
  • the contact step can be conducted in either a dynamic method such as, for example, a streamline process for applying the process solution over the surface of the substrate or in a static method such as, for example, a puddle rinse or immersing the substrate within a bath containing the process solution.
  • the process solution may also be sprayed onto the surface of the substrate in a dynamic method such as in a continuous process or sprayed onto the surface and allowed to remain there in a static method.
  • the contacting step is conducted in a dynamic method.
  • the duration of the conducting step, or time of contact of the process solution to the substrate surface can vary from a fraction of a second to hundreds of seconds. Preferably, the duration can range from 1 to 200 seconds, preferably from 1 to 150 seconds, and more preferably from 1 to 40 seconds.
  • the temperature range for the contacting step can vary from 10 to 100° C. and more preferably from 10 to 40° C.
  • the substrate may be dried to remove any residual water (and any solvent if added) used to deliver the acetylenic diol type surfactant to the substrate surface.
  • the residual water may be substantially removed via spinning, heating, air drying or other means, to leave a thin film of acetylenic diol surfactant(s) on the the substrate surface.
  • the substrate may be spun at a speed of 500 rpm to drive off the majority of water in the process solution.
  • the drying step may occur after each application of the process solution, i.e., prior to coating the substrate with resist and/or prior to applying the aqueous developer solution.
  • the wettability of a subsequently applied aqueous-based solution can be determined, for example, by measuring the contact angle.
  • the contact angle of the aqueous developer solution on the surface of a resist-coated substrate is measured at a time interval of 30 seconds.
  • the process solution of the present invention may be used in other applications in which a substrate surface needs to be treated to enhance wettability of a subsequently applied fluid.
  • the wettability of the process solution was measured on the DSA10 Kruss drop shape analyzer provided by Kruss USA of Charlotte, N.C. using the Sessile drop method.
  • the wetting properties of a localized region on the surface of a resist-coated substrate are estimated by measuring the contact angle between the baseline of a droplet of aqueous developer solution and the tangent at the droplet base.
  • a high-speed camera captured the spreading of the droplet at a speed of 2 frames per second for 2 minutes and the contact angle was measured.
  • a 100 mm silicon wafer provided by Wafernet Inc. of San Jose, Calif. was coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm.
  • Deionized water is dispensed via dropper onto the surface of the resist-coated wafer that is spinning at a speed of 200 rpm.
  • the resist-coated wafer was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angle of 0.26N TMAH developer on the resist-coated surface was measured. Table I provides the value of the contact angle at different drop ages expressed in seconds.
  • a 100 mm silicon wafer provided by Wafernet of San Jose, Calif. was coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm.
  • Deionized water is dispensed via dropper onto the wafer coated wafer that is spinning at a speed of 200 rpm.
  • the resist-coated surface was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angle of 0.26N TMAH developer on the resist surface was measured. Table II provides the value of the contact angle at different drop ages expressed in seconds.
  • Process solutions containing surfactant were prepared in the following manner. A volumetric flask was charged with varying amounts of surfactant. A certain amount of isopropyl alcohol was added to the volumetric flask to reach a level of 100 ml at room temperature. The mixture was agitated until the surfactant was dissolved therein to form the process solution. The amounts and types of surfactant in the process solutions of Examples 3a through 3c are provided in Table III. Comparative Example 3 contains no surfactant—only isopropyl alcohol.
  • Wafers coated with SiO 2 or Si 3 N 4 films were treated with hexamethyldisilazane (HMDS) vapor in an oven to improve the adhesion of the subsequent resist layer (e.g. polymethylglutarimide (PMGI) resist) for lift-off processing.
  • HMDS hexamethyldisilazane
  • PMGI polymethylglutarimide
  • the surface hydrophobicity of the wafers were modified by contacting them with a process solution of comp. ex. 3 or examples 3a through 3c prior to coating the wafers with a resist coating. About 3 mL of the process solution was dispensed on the wafer surface which was then spun at a speed of 500 rpm. After 5 seconds, the wafer was spun at 3000 rpm until dry. The contact angle of water was then measured on the wafer surface and the results were provided in Table III.

Abstract

Process solutions comprising one or more acetylenic diol type surfactants are used to improve the wettability of a substrate surface by lowering the contact angle of the aqueous developer solution are enclosed herein. In one embodiment, the process solution is used to prepare the surface of the substrate prior to the development of the resist coating layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 10/218,068, filed Aug. 12, 2002, the disclosure of which is incorporated herein by reference in its entirety.[0001]
  • BACKGROUND OF THE INVENTION
  • The present invention relates generally to a method for the manufacture of semiconductor devices. More specifically, the present invention relates to aprocess solution for treating the surface of a substrate and a method for using same. [0002]
  • By the year 2004, the newly updated International Technology Roadmap for Semiconductors (ITRS) states that critical features, as measured by the gate length of MPUs and the ½ pitch of Dynamic Random Access Memory (DRAM) devices, will break the 100 nm barrier. Critical Dimension (CD) is one process control lever that is closely monitored. At the 90 nm technology node, the CD control, as measured by the 3-sigma requirement, will approach 3.0 nm which is approximately the size of the polymer molecules that comprise the photoresist. Furthermore, the size of the wafers being processed is growing larger. As a result, this magnitude of control has to be reproduced on larger, 300 mm wafers. [0003]
  • Paralleling the reduction in line geometries is the need for tighter control over the lithographic process. Lithography is a critical process for the manufacture of semiconductor components and integrated circuits (IC). In brief, the typical lithography process involves coating a substrate with a positive or negative resist layer, exposing the substrate to a radiation source to provide an image, and developing the substrate to form a patterned resist layer on the substrate. This patterned layer acts as a mask for subsequent substrate patterning processes such as etching, doping, and/or coating with metals, other semiconductor materials, or insulating materials. [0004]
  • One strategy for addressing the need for tighter CD control may be to improve the development process. This approach becomes increasingly important because the next generation of photoresists for 193 nm lithography will be more hydrophobic, and, thus, be more resistant to developer wetting. Poor wetting of the photoresist by the developer can lead to defects as well as a reduction in CD control. It is anticipated that these problems may be amplified with the move towards 300 mm processing because more surface area on the substrate will need to be simultaneously wetted. The current method to improve the wetting on the resist surface prior to photoresist development uses deionized water (DI). This method, however, may not be adequate to prepare the surface of the substrate for the future generation of resists. [0005]
  • The ability to reduce the surface tension of water at the air and liquid interface is of great importance in a variety of applications because decreased surface tension generally relates to increased wetting of water on the substrate surface. Surface tension reduction in water-based systems is generally achieved through the addition of surfactants. Equilibrium surface tension performance is important when the system is at rest, though the ability to reduce surface tension under dynamic conditions is of great importance in applications where high surface creation rates are used, i.e., spin coating, rolling, spray coating, and the like. Dynamic surface tension provides a measure of the ability of the solution to lower surface tension and provide wetting under high speed application conditions. Further, in certain applications such as during spray application, it is advantageous that the surfactant reduces the surface tension of the formulation in a manner that minimizes bubble generation and foaming. [0006]
  • Surfactants have been added to pre-rinse solutions prior to the development step to improve the contrast in positive photoresist developing. For example, EP 0231028 B1 describes treating a photoresist film in a pre-dip bath containing an organic base and cationic solution and rinsing with DI water prior to developing the photoresist film in a developer solution containing an organic base and fluorochemical surfactant. Similarly, EP 0178495 B1 describes treating a photoresist film with a pre-dip solution containing an aqueous alkali metal base and a fluorochemical or carboxylated surfactant and rinsing with DI water prior to developing the photoresist film in a developer solution containing an aqueous alkali metal hydroxide and optionally a fluorochemical or carboxylated surfactant. Both references employ a two-solution process that includes a DI water rinse step between the pre-dip and development steps. It may be desirable, however, to treat the surface of the substrate and achieve the benefits of improved wetting in fewer steps. It may also be desirable to treat the surface of the substrate with a dynamic rather than a static rinse. [0007]
  • Japanese patent application 2002/148821 describes coating a wafer having a fluorine and silicon-based polymer resist with a fluorosurfactant to improve the wettablity of the developer. [0008]
  • Accordingly, there is a need in the art to provide a process solution to prepare the surface of a substrate prior to development or other steps within the lithography process. There is a further need to provide process solutions that improve the wettability of the surface, for example, by lowering the contact angle of a subsequently applied processing solution on the substrate. There is also a need in the art for process solutions comprising a surfactant that works effectively in high-speed applications without undesirable foaming or bubble generation. Further, there is a need in the art for a process solution that reduces the number of treatment steps. [0009]
  • All references cited herein are incorporated herein by reference in their entirety. [0010]
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention satisfies some, if not all, of the needs of the art by providing a process solution comprising one or more acetylenic diol type surfactants to prepare the surface of a substrate. The process solution of the present invention may be used to modify the character of the substrate surface from a hydrophobic surface to a substantially more hydrophilic surface, or vice versa. As a result of this treatment, the substrate may exhibit improved wettability, enhanced development, improved CD control, reduced defects, and/or increased throughput by achieving faster developing speeds. [0011]
  • Specifically, in one embodiment of the present invention, there is provided a method for improving the wettability of a substrate. The method comprises the steps of contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II): [0012]
    Figure US20040053172A1-20040318-C00001
  • wherein R[0013] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and applying the aqueous developer solution to the substrate to dissolve at least a portion of the resist coating. In certain embodiments, the contacting step may be performed prior to the applying step.
  • In a further embodiment of the present invention, there is provided a method for improving the wettability of a substrate. The method comprises the steps of contacting a substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula: [0014]
    Figure US20040053172A1-20040318-C00002
  • wherein R[0015] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and applying the aqueous developer solution to the substrate to dissolve at least a portion of the resist coating. In certain embodiments, the contacting step is performed prior to the applying step.
  • In yet another embodiment of the present invention, there is provided a method for improving the wettability of a substrate by lowering the contact angle of an aqueous developer solution on the surface of the substrate. The method comprises the steps of contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II): [0016]
    Figure US20040053172A1-20040318-C00003
  • wherein R[0017] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; coating the substrate with a resist coating to provide a resist-coated substrate; exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; contacting the resist-coated substrate with the process solution; and applying an aqueous developer solution to the substrate to dissolve at least a portion of the resist coating.
  • In yet another embodiment of the present invention, there is provided a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof and about 10 to about 10,000 ppm of at least one surfactant having the formula (I) or (II): [0018]
    Figure US20040053172A1-20040318-C00004
  • wherein R[0019] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
  • In a still further embodiment of the present invention, there is provided a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 to about 10,000 ppm of a surfactant having the formula: [0020]
    Figure US20040053172A1-20040318-C00005
  • wherein R[0021] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
  • In a yet another aspect of the present invention, there is provided a method for improving the wettability of an aqueous developer solution on a surface of a resist-coated substrate comprising: providing a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II): [0022]
    Figure US20040053172A1-20040318-C00006
  • wherein R[0023] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20; contacting the resist-coated substrate with the aqueous solution; and applying the aqueous developer solution to the resist-coated substrate wherein the contacting step is conducted prior to the applying step.
  • These and other aspects of the invention will become apparent from the following detailed description. [0024]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is directed to process solutions having at least one surfactant that is an acetylenic diol derivative and methods for using same. The process solution of the present invention may be used to modify the character of the substrate surface from a hydrophobic surface to a substantially more hydrophilic surface, or vice versa. For example, in certain embodiments, the process solution of the present invention may be used to treat a surface of a substrate that be coated with a layer of resist coating prior to the development of the resist. In this manner, the wettability of the substrate surface may be improved. [0025]
  • The term “aqueous” as used herein, describes a solvent or liquid dispersing medium, which comprises at least 80 weight percent, preferably 90 weight percent, and more preferably at least 95 weight percent water. The preferred aqueous-based solvent is deionized water. In embodiments wherein the process solution is aqueous-based, it is desirable that at least one formula I through VIII surfactant demonstrates a dynamic surface tension of less than 45 dynes/cm at a concentration of less than or equal to 5 weight percent in water at 23° C. and 1 bubble/second according to the maximum-bubble-pressure method of measuring surface tension described in [0026] Langmuir 1986, 2, 428-432, which is incorporated herein by reference in its entirety.
  • In embodiments where a non-aqueous solvent is used in addition to, or in place of an aqueous solvent such as water, the non-aqueous solvent selected will not react with the at least one surfactant contained therein, other additives within the process solution, or the substrate itself. Suitable solvents include, but are not limited to, hydrocarbons (e.g. pentane or hexane); halocarbons (e.g. Freon 113); ethers (e.g. ethylether (Et[0027] 2O), tetrahydrofuran (“THF”), ethylene glycol monomethyl ether, or 2-methoxyethyl ether (diglyme)); nitriles (e.g. CH3CN); or aromatic compounds (e.g. benzotrifluoride). Still further exemplary solvents include lactates, lactones, pyruvates, and diols. These solvents include, but are not limited to, acetone, 1,4-dioxane, 1,3-dioxolane, ethyl acetate, cyclohexanone, acetone, 1-methyl-2-pyrodidianone (NMP), γ-butyrolactone, methyl ethyl ketone. Other solvents include dimethylformamide, dimethylacetamide, N-methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerol and derivatives, naphthalene and substituted versions, acetic acid anyhydride, propionic acid and propionic acid anhydride, dimethyl sulfone, benzophenone, diphenyl sulfone, phenol, m-cresol, dimethyl sulfoxide, diphenyl ether, terphenyl, and the like. Still further solvents include propylene glycol propyl ether (PGPE), methanol, ethanol, 3-heptanol, 2-methyl-1-pentanol, 5-methyl-2-hexanol, 3-hexanol, 2-heptano, 2-hexanol, 2,3-dimethyl-3-pentanol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol, isopropyl alcohol (IPA), n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propanol, 2-methyl-3-pentanol, 2-methoxyethyl acetate, 2-butoxyethanol, 2-ethoxyethyl acetoacetate, 1-pentanol, and propylene glycol methyl ether. The non-aqueous solvents enumerated above may be used alone or in combination with one or more aqueous or non-aqueous solvents.
  • In certain embodiments, the process solution may contain at least one non-aqueous solvent that is miscible in an aqueous solvent or is water-miscible. In these embodiments, the amount of non-aqueous solvent within the process solution may range from about 1 to about 50% by weight with the balance of the solvent within the process solution comprising an aqueous solvent. Examples of water-miscible non-aqueous solvents include methanol, ethanol, isopropyl alcohol, and THF. [0028]
  • The process solutions of the present invention contain one or more nonionic surfactants that are acetylenic diol derivatives. The surfactants of the present invention may be represented by the following formula I or formula II: [0029]
    Figure US20040053172A1-20040318-C00007
  • wherein R[0030] 1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20. The surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa., the assignee of the present invention, under the trade names SURFYNOL® and DYNOL®. In certain preferred embodiments, the acetylenic diol portion of the molecule of formulas I or II is 2,4,5,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol. The acetylenic diol derived surfactants of the present invention may be prepared in a number of ways including the methods described, for example, in U.S. Pat. No. 6,313,182 and EP 1115035A1 which are assigned to the assignee of the present invention and incorporated herein by reference in their entirety.
  • In formula I and II, the alkylene oxide moieties represented by (OC[0031] 2H4) are the (n+m) polymerized ethylene oxide (EO) molar units and the moieties represented by (OC3H6) are the (p+q) polymerized propylene oxide (PO) molar units. The value of (n+m) may range from 0 to 30, preferably from 1.3 to 15, and more preferably from 1.3 to 10. The value of (p+q) may range from 0 to 30, preferably from 1 to 10, and more preferably from 1 to 2.
  • In certain embodiments, the process solution may contain a dispersant. The amount of dispersant that is added to the process solution ranges from about 10 to about 10,000 ppm, preferably about 10 to about 5,000 ppm, and more preferably from about 10 to about 1,000 ppm. The term dispersant, as used herein, describes compounds that enhance the dispersion of particles such as dust, processing residue, hydrocarbons, metal oxides, pigment or other contaminants within the process solution. Dispersants suitable for the present invention preferably have a number average molecular weight that ranges from about 10 to about 10,000. [0032]
  • In certain preferred embodiments, the dispersant may be an ionic or a nonionic compound. The ionic or nonionic compound may further comprise a copolymer, an oligomer, or a surfactant, alone or in combination. The term copolymer, as used herein, relates to a polymer compound consisting of more than one polymeric compound such as block, star, or grafted copolymers. Examples of a nonionic copolymer dispersant include polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® L121, L123, L31, L81, L101 and P123 (BASF, Inc.). The term oligomer, as used herein, relates to a polymer compound consisting of only a few monomer units. Examples of ionic oligomer dispersants include SMA® 1440 and 2625 oligomers (Elf Alfochem). [0033]
  • The dispersent may further comprise a surfactant. Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time. Amphiphillic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water. The surfactants may be ionic (i.e., anionic, cationic) or nonionic. Further examples of surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants. Suitable non-ionic surfactants for use in the process solution include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-102, X-45, X-15 and alcohol ethoxylates such as BRIJ® 56 (C[0034] 16H33(OCH2CH2)10OH) (ICI), BRIJ® 58 (C16H33(OCH2CH2)20OH) (ICI). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamides, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants provided in the reference McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J.
  • Various other additives may be optionally added to the process solution depending upon the application. These additives may include, but are not limited to, colorants, wetting agents, antifoamers, buffering agents, and other surfactants. Generally, the amount of each of these additives would be about 0.0001 to 1, more preferably 0.0001 to 0.1 percent by weight, based upon the total weight of the process solution. In embodiments where one or more additional surfactant is added to the process solution, the surfactant may be any of the surfactants disclosed herein. [0035]
  • The process solution of the present invention may be prepared by mixing the acetylenic diol derivative surfactant with water and/or other solvents and any additional additives. In certain embodiments, the mixing may be done at a temperature range of about 40 to 60° C. to affect dissolution of the ingredients contained therein. The resulting process solution may optionally be filtered to remove any undissolved particles that could potentially harm the substrate. [0036]
  • The process solution of the present invention is applied to, or contacts, the surface of a substrate. Suitable substrates include, but are not limited to, materials such as gallium arsenide (“GaAs”), silicon, tantalum, copper, ceramics, aluminum/copper alloys, polyimides, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO[0037] 2”), silicon nitride, doped silicon dioxide, and the like. Further exemplary substrates include silicon, aluminum, or polymeric resins. In certain embodiments, the surface of the substrate may be primed with a conventional adhesion promoter such as hexamethyldisilizane (HMDS) before a resist coating is applied. In certain preferred embodiments of the present invention, however, the treatment of the surface with the process solution of the present invention prior to resist coating eliminates the need for HMDS.
  • The process solution may be used to treat the surface of a substrate before and/or after the surface of the substrate has been coated with a resist coating. In other words, the contacting step may occur in one or more steps either before and/or after the substrate has been coated with resist. In embodiments wherein the contacting step occurs before coating the substrate with resist, the process solution may improve the wetting of the resist coating to the substrate surface. In this case, the process solution alters the character of the surface from a more hydrophilic nature to a more hydrophobic one, facilitating the subsequent coating of a hydrophobic organic material such as resist or low-k dielectrics. In embodiments wherein the contacting step occurs after coating the substrate with resist, the process solution may improve the wetting of the aqueous developer solution to the resist-coated surface. In this case, it is believed that the application of the process solution may alter the character of the surface from a more hydrophobic surface to a more hydrophilic surface. [0038]
  • In certain preferred embodiments, the contacting step occurs after the substrate has been coated with a resist coating. Some non-limiting examples of resist coatings include phenolic resists; resist coatings for 157 nm line widths; resist coatings for 193 nm line widths such as IBM COBRA 3000 (cyclic olefine) and JSR AT 5000 (COMA) having iodonium photo acid generators (PAGs); deep ultraviolet (DUV) resists such as Shipley ApexE (modified polyhydroxystyrene) and Shipley UV6 (copolymer of hydroxystyrene and t-butyl acrylate); and e-beam resist material such as poly(methylmethacrylate) (PMMA). In certain embodiments of the present invention, the substrate may be also coated with an antireflective coating (ARC). Examples of suitable ARC coatings used may be any of the coatings manufactured by Brewer Science and Shipley Co. of Marlborough, Mass. [0039]
  • After the resist-coating is applied, the substrate may be baked to evaporate any solvent in the resist composition and to harden the coating onto the substrate. The resist-coated substrate is then exposed to a radiation source to provide a design pattern that is imposed upon the exposed areas of the resist-coated surface. Examples of suitable radiation sources include, but are not limited to, visible light, ultraviolet (UV) light, deep ultraviolet (DUV) light, 157 nm, extended ultraviolet (UV) light, electron beam, or X-ray radiant energy. [0040]
  • Depending upon whether the resist coating is positive or negative, the radiation either increases or decreases its solubility in a subsequently applied, alkaline aqueous developer such as a solution containing tetramethylammonium hydroxide (TMAH). In a positive resist coating, the areas masked from radiation remain after development while the exposed areas are dissolved away. In a negative resist coating, the opposite occurs. In certain preferred embodiments of the present invention, the process solution may be used to treat substrates having a positive resist coating. [0041]
  • The process solution is preferably applied to the surface of the substrate as a prepared solution. In alternative embodiments, however, the process solution can be prepared within the rinse stream just prior to or during contact with the substrate surface. For example, a certain quantity of one or more acetylenic diol derived surfactants can be injected into a continuous stream of water or other solvent medium that optionally includes other additives thereby forming the process solution. In some embodiments of the present invention, the at least one surfactant can be also deposited upon or comprise the material of a high surface area device such as a cartridge or filter (which may or may not include other additives). A stream or water and/or solvent then passes through the cartridge or filter thereby forming the process solution. In still another embodiment of the present invention, the process solution is prepared during the contacting step. In this connection, at least one surfactant is introduced via a dropper or other means to the surface of the substrate. Water and/or other solvent medium is then introduced to the surface of the substrate and mixes with the at least one surfactant on the surface of the substrate thereby forming the process solution. [0042]
  • In an alternative embodiment of the invention, a concentrated composition is provided that may be diluted in water and/or other solvents to provide the process solution. A concentrated composition of the invention, or “concentrate” allows one to dilute the concentrate to the desired strength and pH. A concentrate also permits longer shelf life and easier shipping and storage of the product. [0043]
  • A variety of means can be employed in contacting the process solution with the substrate surface. The actual conditions of the contacting step (i.e., temperature, time, and the like) may vary over wide ranges and are generally dependent on a variety of factors such as, but not limited to, the nature and amount of residue on the surface of the substrate and the hydrophobicity or hydrophilicity of the substrate surface, etc. The contact step can be conducted in either a dynamic method such as, for example, a streamline process for applying the process solution over the surface of the substrate or in a static method such as, for example, a puddle rinse or immersing the substrate within a bath containing the process solution. The process solution may also be sprayed onto the surface of the substrate in a dynamic method such as in a continuous process or sprayed onto the surface and allowed to remain there in a static method. In certain preferred embodiments, the contacting step is conducted in a dynamic method. The duration of the conducting step, or time of contact of the process solution to the substrate surface, can vary from a fraction of a second to hundreds of seconds. Preferably, the duration can range from 1 to 200 seconds, preferably from 1 to 150 seconds, and more preferably from 1 to 40 seconds. The temperature range for the contacting step can vary from 10 to 100° C. and more preferably from 10 to 40° C. [0044]
  • In certain embodiments of the present invention, the substrate may be dried to remove any residual water (and any solvent if added) used to deliver the acetylenic diol type surfactant to the substrate surface. The residual water may be substantially removed via spinning, heating, air drying or other means, to leave a thin film of acetylenic diol surfactant(s) on the the substrate surface. For example, the substrate may be spun at a speed of 500 rpm to drive off the majority of water in the process solution. The drying step may occur after each application of the process solution, i.e., prior to coating the substrate with resist and/or prior to applying the aqueous developer solution. [0045]
  • The wettability of a subsequently applied aqueous-based solution, such as an alkaline aqueous developer solution, can be determined, for example, by measuring the contact angle. In certain preferred embodiments of the present invention, the contact angle of the aqueous developer solution on the surface of a resist-coated substrate is measured at a time interval of 30 seconds. [0046]
  • The invention will be illustrated in more detail with reference to the following examples, but it should be understood that the present invention is not deemed to be limited thereto. In this connection, the process solution of the present invention, and methods for using same, may be used in other applications in which a substrate surface needs to be treated to enhance wettability of a subsequently applied fluid. For the following examples, the wettability of the process solution was measured on the DSA10 Kruss drop shape analyzer provided by Kruss USA of Charlotte, N.C. using the Sessile drop method. In this method, the wetting properties of a localized region on the surface of a resist-coated substrate are estimated by measuring the contact angle between the baseline of a droplet of aqueous developer solution and the tangent at the droplet base. A high-speed camera captured the spreading of the droplet at a speed of 2 frames per second for 2 minutes and the contact angle was measured. [0047]
  • EXAMPLES Comparative Example 1
  • Pre-Treatment of a Resist-Coated Substrate Surface with Deionized Water (DI) [0048]
  • A 100 mm silicon wafer provided by Wafernet Inc. of San Jose, Calif. was coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm. Deionized water is dispensed via dropper onto the surface of the resist-coated wafer that is spinning at a speed of 200 rpm. The resist-coated wafer was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angle of 0.26N TMAH developer on the resist-coated surface was measured. Table I provides the value of the contact angle at different drop ages expressed in seconds. [0049]
  • Examples 1a Through 1d
  • Pre-Treatment of a Resist-Coated Substrate Surface with Process Solutions of the Present Invention [0050]
  • Process solutions of surfactant based on 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol (m+n=4, p+q=0), surfactant provided by Air Products and Chemicals, Inc. of Allentown, Pa., were prepared in the following manner. A volumetric flask was charged with varying amounts of the surfactant. A certain amount of DI water was added to the volumetric flask to reach a level of 100 ml at room temperature. The mixture was agitated until the surfactant was dissolved therein to form the process solution. The amounts of surfactant in the process solutions of Examples 1a through 1d are provided in Table I. [0051]
  • Four 100 mm silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm. The surfaces of resist-coated substrates were pre-wetted with Examples 1a through 1d process solutions. The resist-coated wafer was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angle of 0.26N TMAH on the resist-coated substrates was measured. Table I lists the contact angle values at different drop ages expressed in seconds. [0052]
  • As Table I illustrates, the contact angles of TMAH developer on the resist-coated substrate that were treated with the process solutions of the present invention are smaller than the ones for the resist-coated substrates treated with DI water only. This indicates that surfactants are better adsorbed onto resist-coated surfaces that are treated with the process solutions of the present invention thereby improving the wetting between the developer and resist. Further, higher amounts of surfactant within the process solution may lead to more surfactant adsorption and more improved wetting. [0053]
    TABLE I
    Contact Contact Contact Contact
    Angle (0 Angle (5 Angle (10 Angle (30
    Process Solution seconds) seconds) seconds) seconds)
    Comp. Ex. 1-DI 51.7 49.7 48.6 45.8
    water
    Ex. 1a-60 ppm 60.5 50.4 46.6 39.4
    surfactant
    Ex. 1b-125 ppm 59.4 45.7 41.4 33.7
    surfactant
    Ex. 1c-250 ppm 49.0 40.3 37.0 31.1
    surfactant
    Ex. 1d-400 ppm 47.3 37.4 34.5 29.1
    surfactant
  • Comparative Example 2
  • Pre-Treatment of a Resist-Coated Substrate Surface with DI Water [0054]
  • A 100 mm silicon wafer provided by Wafernet of San Jose, Calif. was coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm. Deionized water is dispensed via dropper onto the wafer coated wafer that is spinning at a speed of 200 rpm. The resist-coated surface was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angle of 0.26N TMAH developer on the resist surface was measured. Table II provides the value of the contact angle at different drop ages expressed in seconds. [0055]
  • Examples 2a Through 2d
  • Pre-Treatment of a Resist-Coated Substrate Surface with Process Solutions of the Present Invention [0056]
  • Process solutions of surfactant based on 2,4,7,9-tetramethyl-5-decyne-4,7-diol (m+n=5, p+q=2), provided by Air Products and Chemicals, Inc. of Allentown, Pa., were prepared in the following manner. A volumetric flask was charged with varying amounts of surfactant. A certain amount of DI water was added to the volumetric flask to reach a level of 100 ml at room temperature. The mixture was agitated until the surfactant was dissolved therein to form the process solution. The amounts of surfactant in the process solutions of Examples 2a through 2d are provided in Table II. [0057]
  • Four 100 mm silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with a SFR 510A phenolic resist coating provided by Shipley Inc. of Marlborough, Mass. using a spin coating process at a spin speed of 3200 rpm. The surfaces of resist-coated substrates were pre-wetted with Examples 2a through 2d process solutions. The resist-coated wafer was allowed to dry for a period of 20 seconds to remove excess water. Afterwards, the contact angles of 0.26N TMAH on the surface of the resist-coated substrates were measured. Table II lists the contact angle values at different drop ages expressed in seconds. [0058]
  • As Table II illustrates, the contact angles of TMAH developer on the resist-coated substrate that were treated with the process solutions of the present invention are smaller than the ones on the resist treated with DI water alone. This indicates that surfactants are better adsorbed onto the resist-coated surface after treating with the process solutions of the present invention thereby improving the wetting between the developer and resist. Further, higher amounts of surfactant within the process solution may lead to more surfactant adsorption and more improved wetting. [0059]
    TABLE II
    Contact Contact Contact Contact
    Angle (0 Angle (5 Angle (10 Angle (30
    Process Solution seconds) seconds) seconds) seconds)
    Comp. Ex. 2-DI 46.7 40.8 38.1 31.4
    water
    Ex. 2a-60 ppm 51.6 39.7 35.8 27.3
    surfactant
    Ex. 2b-125 ppm 52.1 37.2 33.3 25.0
    surfactant
    Ex. 2c-250 ppm 44.4 33.4 29.8 23.4
    surfactant
    Ex. 2d-400 ppm 43.2 33.3 29.7 23.0
    surfactant
  • Examples 3a Through 3c
  • Pre-Treatment of Substrate Surface with Process Solutions Containing Isopropyl Alcohol [0060]
  • Process solutions containing surfactant were prepared in the following manner. A volumetric flask was charged with varying amounts of surfactant. A certain amount of isopropyl alcohol was added to the volumetric flask to reach a level of 100 ml at room temperature. The mixture was agitated until the surfactant was dissolved therein to form the process solution. The amounts and types of surfactant in the process solutions of Examples 3a through 3c are provided in Table III. Comparative Example 3 contains no surfactant—only isopropyl alcohol. [0061]
  • Wafers coated with SiO[0062] 2 or Si3N4 films were treated with hexamethyldisilazane (HMDS) vapor in an oven to improve the adhesion of the subsequent resist layer (e.g. polymethylglutarimide (PMGI) resist) for lift-off processing. After priming with the HMDS vapor, the surface became very hydrophobic, indicated by the contact angle of water higher than 60°. As a result, the PMGI resist rolled off from the surface, making it difficult to obtain uniform film.
  • The surface hydrophobicity of the wafers were modified by contacting them with a process solution of comp. ex. 3 or examples 3a through 3c prior to coating the wafers with a resist coating. About 3 mL of the process solution was dispensed on the wafer surface which was then spun at a speed of 500 rpm. After 5 seconds, the wafer was spun at 3000 rpm until dry. The contact angle of water was then measured on the wafer surface and the results were provided in Table III. [0063]
  • As Table III illustrates, the wafer surface becomes more hydrophilic after treatment with process solutions containing surfactant and isopropyl alcohol solutions because the contact angle is lowered. Consequently, the PMGI solution may no longer rolls off the surface and a uniform resist coating can be obtained more easily. [0064]
    TABLE III
    Contact Contact Contact Contact
    Process angle (0 angle (5 angle (10 angle (30
    Solution Surfactant seconds) seconds) seconds) seconds)
    Comp Ex. 3 - 63.5 63.3 63.2 62.5
    No surfactant
    Ex. 3a - m + n = 10, 58.2 58 58.2 57.8
    0.02 wt % p + q = 0
    Ex. 3b - m + n = 30, 46 50.4 50.9 51.5
    0.1 wt % p + q = 0
    Ex. 3c - m + n = 30, 29.9 42 43.1 43.5
    1.2 wt % p + q = 0
  • While the invention has been described in detail and with reference to specific examples thereof, it will be apparent to one skilled in the art that various changes and modifications can be made therein without departing from the spirit and scope thereof. [0065]

Claims (29)

We claim:
1. A method for improving the wettability of a substrate, the method comprising:
contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
Figure US20040053172A1-20040318-C00008
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20;
coating the substrate with a resist coating to provide a resist-coated substrate;
exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and
applying an aqueous developer solution to the substrate to dissolve at least a portion of the resist coating.
2. The method of claim 1 further comprising the step of contacting the resist-coated substrate with the process solution.
3. The method of claim 1 wherein the process solution further comprises from about 10 to about 10,000 ppm of at least one dispersant.
4. The method of claim 3 wherein the at least one dispersant comprises an ionic compound.
5. The method of claim 3 wherein the at least one dispersant comprises a nonionic compound.
6. The method of claim 1 wherein the value of (n+m) ranges from 0 to 30.
7. The method of claim 6 wherein the value of (n+m) ranges from 1.3 to 15.
8. The method of claim 1 wherein the value of (p+q) ranges from 0 to 30.
9. The method of claim 8 wherein the value of (p+q) ranges from 1 to 10.
10. The method of claim 1 wherein the process solution is formed prior to the contacting step.
11. The method of claim 1 wherein the process solution is formed during the contacting step.
12. The method of claim 1 wherein the contacting step is performed before the coating step.
13. The method of claim 1 wherein the contacting step is performed after the coating step.
14. A method for improving the wettability of a substrate, the method comprising:
contacting a substrate with a process solution comprising a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula:
Figure US20040053172A1-20040318-C00009
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p and q are numbers that range from 0 to 20;
coating the substrate with a resist coating to provide a resist-coated substrate;
exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating; and
applying an aqueous developer solution to the substrate to dissolve at least a portion of the resist coating wherein the contacting step is conducted prior to the applying step.
15. A method for improving the wettability of a substrate by reducing a contact angle of an aqueous developer solution on the surface of the substrate, the method comprising:
contacting the substrate with a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
Figure US20040053172A1-20040318-C00010
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20;
coating the substrate with a resist coating to provide a resist-coated substrate;
exposing at least a portion of the resist-coated substrate to a radiation source for a time sufficient to provide a pattern on the resist coating;
contacting the resist-coated substrate with the process solution; and
applying the aqueous developer solution to the substrate to dissolve at least a portion of the resist coat wherein the first and second contacting steps are conducted prior to the applying step.
16. The method of claim 15 wherein contact angle of the aqueous developer solution on the surface of the resist-coated substrate is about 60° or less at 30 seconds.
17. The method of claim 16 wherein the contact angle of the aqueous developer solution on the surface of the resist-coated substrate is about 50° or less at 30 seconds.
18. The method of claim 17 wherein the contact angle of the aqueous developer solution on the surface of the resist-coated substrate is about 40° or less at 30 seconds.
19. A process solution, the solution comprising:
a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and
about 10 to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
Figure US20040053172A1-20040318-C00011
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
20. The process solution of claim 19 wherein the process solution further comprises from about 10 to about 10,000 ppm of at least one dispersant.
21. The process solution of claim 20 wherein the at least one dispersant comprises an ionic compound.
22. The process solution of claim 20 wherein the at least one dispersant comprises an nonionic compound.
23. The process solution of claim 19 wherein the value of (n+m) ranges from 0 to 30.
24. The process solution of claim 23 wherein the value of (n+m) ranges from 1.3 to 15.
25. The process solution of claim 19 wherein the value of (p+q) ranges from 0 to 30.
26. The process solution of claim 25 wherein the value of (p+q) ranges from 1 to 10.
27. A pre-development rinse comprising the process solution of claim 19.
28. A process solution, the solution comprising:
a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and
about 10 to about 10,000 ppm of at least one surfactant having the formula:
Figure US20040053172A1-20040318-C00012
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20.
29. A method for improving the wettability of an aqueous developer solution on a surface of a resist-coated substrate, the method comprising:
providing a process solution comprising: a solvent selected from the group consisting of an aqueous solvent, a non-aqueous solvent, and combinations thereof; and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I) or (II):
Figure US20040053172A1-20040318-C00013
 wherein R1 and R4 are a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are either H or an alkyl chain having from 1 to 5 carbon atoms; and m, n, p, and q are numbers that range from 0 to 20;
contacting the resist-coated substrate with the aqueous solution; and
applying the aqueous developer solution to the resist-coated substrate wherein the contacting step is conducted prior and/or during at least a portion of the applying step.
US10/634,608 1999-05-04 2003-08-04 Acetylenic diol surfactant solutions and methods of using same Abandoned US20040053172A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/634,608 US20040053172A1 (en) 2002-08-12 2003-08-04 Acetylenic diol surfactant solutions and methods of using same
KR1020030055635A KR100630853B1 (en) 2002-08-12 2003-08-12 Acetylenic diol surfactant solutions and methods of using same
JP2003292443A JP4041037B2 (en) 2002-08-12 2003-08-12 Acetylene diol surfactant solution and method of using the same
US11/048,576 US7348300B2 (en) 1999-05-04 2005-02-01 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
KR1020060023837A KR100655816B1 (en) 2002-08-12 2006-03-15 Acetylenic diol surfactant solutions and methods of using same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/218,068 US6641986B1 (en) 2002-08-12 2002-08-12 Acetylenic diol surfactant solutions and methods of using same
US10/634,608 US20040053172A1 (en) 2002-08-12 2003-08-04 Acetylenic diol surfactant solutions and methods of using same

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US09/304,607 Continuation-In-Part US6313182B1 (en) 1999-05-04 1999-05-04 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US10/218,068 Continuation-In-Part US6641986B1 (en) 2002-08-12 2002-08-12 Acetylenic diol surfactant solutions and methods of using same
US11/048,576 Continuation-In-Part US7348300B2 (en) 1999-05-04 2005-02-01 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/048,576 Continuation-In-Part US7348300B2 (en) 1999-05-04 2005-02-01 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture

Publications (1)

Publication Number Publication Date
US20040053172A1 true US20040053172A1 (en) 2004-03-18

Family

ID=29270182

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/218,068 Expired - Lifetime US6641986B1 (en) 2002-08-12 2002-08-12 Acetylenic diol surfactant solutions and methods of using same
US10/634,608 Abandoned US20040053172A1 (en) 1999-05-04 2003-08-04 Acetylenic diol surfactant solutions and methods of using same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/218,068 Expired - Lifetime US6641986B1 (en) 2002-08-12 2002-08-12 Acetylenic diol surfactant solutions and methods of using same

Country Status (6)

Country Link
US (2) US6641986B1 (en)
EP (1) EP1389745B1 (en)
JP (1) JP4041037B2 (en)
KR (2) KR100630853B1 (en)
ES (1) ES2534979T3 (en)
TW (1) TWI246637B (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050081885A1 (en) * 2003-10-20 2005-04-21 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20050176605A1 (en) * 1999-05-04 2005-08-11 Lassila Kevin R. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20060102803A1 (en) * 2004-09-30 2006-05-18 Wheaton James M Leading edge flap apparatuses and associated methods
US20070181035A1 (en) * 2005-06-29 2007-08-09 Wantling Steven J Wax formulations for lignocellulosic products, methods of their manufacture and products formed therefrom
US20070264666A1 (en) * 2003-09-19 2007-11-15 Applera Corporation High density sequence detection methods
US20080063984A1 (en) * 2002-08-12 2008-03-13 Air Products And Chemicals, Inc. Process Solutions Containing Surfactants
CN102787035A (en) * 2011-05-18 2012-11-21 奇美实业股份有限公司 Cleaning liquid composition and method for cleaning substrate
CN102839064A (en) * 2011-06-20 2012-12-26 奇美实业股份有限公司 Detergent composition
EP3299891A1 (en) 2012-07-10 2018-03-28 Basf Se Use of compositions comprising gemini additives for treating semiconductor substrates

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040229762A1 (en) * 2003-05-13 2004-11-18 Rohm And Haas Electronic Materials, L.L.C. Polymer remover
US7157386B2 (en) * 2004-11-05 2007-01-02 Texas Instruments Incorporated Photoresist application over hydrophobic surfaces
US7888302B2 (en) * 2005-02-03 2011-02-15 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7682458B2 (en) * 2005-02-03 2010-03-23 Air Products And Chemicals, Inc. Aqueous based residue removers comprising fluoride
US7771588B2 (en) * 2005-11-17 2010-08-10 General Electric Company Separatory and emulsion breaking processes
US7612117B2 (en) * 2005-11-17 2009-11-03 General Electric Company Emulsion breaking process
CN101314692A (en) * 2007-05-30 2008-12-03 3M创新有限公司 Aqueous coating compositions
JP4603572B2 (en) * 2007-11-14 2010-12-22 日東電工株式会社 Adhesive for polarizing plate, polarizing plate, method for producing the same, optical film, and image display device
JP5077026B2 (en) * 2008-04-02 2012-11-21 Jsr株式会社 Composition for forming resist underlayer film and method of forming dual damascene structure using the same
JP5464055B2 (en) * 2009-06-02 2014-04-09 日信化学工業株式会社 Water-based cutting fluid and water-based cutting agent
JP5498871B2 (en) * 2010-06-22 2014-05-21 三菱製紙株式会社 Method of thinning photocrosslinkable resin layer
CN102445862A (en) * 2010-09-30 2012-05-09 中芯国际集成电路制造(上海)有限公司 Improved wafer developing method
CN102486618A (en) * 2010-12-02 2012-06-06 中芯国际集成电路制造(北京)有限公司 Method for development of water-resistant surface coating-free immersed photoresist
KR101408944B1 (en) * 2012-03-27 2014-06-17 도레이첨단소재 주식회사 Anti-static polyester film of good wear resistance and manufacturing method thereof
AU2014388345B2 (en) 2014-03-28 2017-02-02 Halliburton Energy Services, Inc. Treatment fluids for reducing subterranean formation damage
US20180019457A1 (en) 2015-01-28 2018-01-18 Hercules Llc Ceramic binder composition for ceramic coated separator for lithium ion batteries, methods of producing same, and uses thereof
US9482957B1 (en) * 2015-06-15 2016-11-01 I-Shan Ke Solvent for reducing resist consumption and method using solvent for reducing resist consumption

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21489A (en) * 1858-09-14 danvers
US55660A (en) * 1866-06-19 Improvement in gang-plows
US77259A (en) * 1868-04-28 Improved apparatus foe disintegrating ores
US106589A (en) * 1870-08-23 Improved machine for repairing boiler-flues
US4374920A (en) * 1981-07-27 1983-02-22 American Hoechst Corporation Positive developer containing non-ionic surfactants
US4833067A (en) * 1985-08-06 1989-05-23 Tokyo Ohka Kogyo Co., Ltd. Developing solution for positive-working photoresist comprising tmah and non-ionic surfactant
US5064749A (en) * 1989-08-02 1991-11-12 Fuji Photo Film Co., Ltd. Dampening water composition for lithographic plate
US5098478A (en) * 1990-12-07 1992-03-24 Sun Chemical Corporation Water-based ink compositions
US5650543A (en) * 1993-11-29 1997-07-22 Air Products And Chemicals, Inc. Ethoxylated acetylenic glycols having low dynamic surface tension
US5756267A (en) * 1990-05-29 1998-05-26 Fuji Photo Film Co., Ltd. Developing solution for negative type photosensitive resin compositions
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6261745B1 (en) * 1998-06-05 2001-07-17 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating liquid compositions and a process for treatment therewith
US6284718B1 (en) * 1999-02-12 2001-09-04 L'oreal Composition for topical use containing an acetylenic diol, and use thereof for cleansing or removing make-up from the skin, mucous membranes and the hair
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6670107B2 (en) * 2000-02-26 2003-12-30 Shipley Company, L.L.C. Method of reducing defects

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613561A (en) 1984-10-17 1986-09-23 James Marvin Lewis Method of high contrast positive O-quinone diazide photoresist developing using pretreatment solution
US4710449A (en) 1986-01-29 1987-12-01 Petrarch Systems, Inc. High contrast low metal ion positive photoresist developing method using aqueous base solutions with surfactants
EP1009043A3 (en) * 1998-12-09 2002-07-03 Eastman Kodak Company Electroluminescent device with polyphenyl hydrocarbon hole transport layer
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
JP2001215690A (en) 2000-01-04 2001-08-10 Air Prod And Chem Inc Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresis developer
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP3835521B2 (en) 2000-11-14 2006-10-18 信越化学工業株式会社 Resist surface treatment agent composition

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US21489A (en) * 1858-09-14 danvers
US55660A (en) * 1866-06-19 Improvement in gang-plows
US77259A (en) * 1868-04-28 Improved apparatus foe disintegrating ores
US106589A (en) * 1870-08-23 Improved machine for repairing boiler-flues
US4374920A (en) * 1981-07-27 1983-02-22 American Hoechst Corporation Positive developer containing non-ionic surfactants
US4833067A (en) * 1985-08-06 1989-05-23 Tokyo Ohka Kogyo Co., Ltd. Developing solution for positive-working photoresist comprising tmah and non-ionic surfactant
US5064749A (en) * 1989-08-02 1991-11-12 Fuji Photo Film Co., Ltd. Dampening water composition for lithographic plate
US5756267A (en) * 1990-05-29 1998-05-26 Fuji Photo Film Co., Ltd. Developing solution for negative type photosensitive resin compositions
US5098478A (en) * 1990-12-07 1992-03-24 Sun Chemical Corporation Water-based ink compositions
US5650543A (en) * 1993-11-29 1997-07-22 Air Products And Chemicals, Inc. Ethoxylated acetylenic glycols having low dynamic surface tension
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6261745B1 (en) * 1998-06-05 2001-07-17 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating liquid compositions and a process for treatment therewith
US6284718B1 (en) * 1999-02-12 2001-09-04 L'oreal Composition for topical use containing an acetylenic diol, and use thereof for cleansing or removing make-up from the skin, mucous membranes and the hair
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6670107B2 (en) * 2000-02-26 2003-12-30 Shipley Company, L.L.C. Method of reducing defects

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050176605A1 (en) * 1999-05-04 2005-08-11 Lassila Kevin R. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7348300B2 (en) 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20080063984A1 (en) * 2002-08-12 2008-03-13 Air Products And Chemicals, Inc. Process Solutions Containing Surfactants
US20070264666A1 (en) * 2003-09-19 2007-11-15 Applera Corporation High density sequence detection methods
US20070006894A1 (en) * 2003-10-20 2007-01-11 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20050081885A1 (en) * 2003-10-20 2005-04-21 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7452426B2 (en) 2003-10-20 2008-11-18 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20060102803A1 (en) * 2004-09-30 2006-05-18 Wheaton James M Leading edge flap apparatuses and associated methods
US20070181035A1 (en) * 2005-06-29 2007-08-09 Wantling Steven J Wax formulations for lignocellulosic products, methods of their manufacture and products formed therefrom
US8241415B2 (en) 2005-06-29 2012-08-14 Momentive Specialty Chemicals Inc. Wax formulations for lignocellulosic products, methods of their manufacture and products formed therefrom
CN102787035A (en) * 2011-05-18 2012-11-21 奇美实业股份有限公司 Cleaning liquid composition and method for cleaning substrate
CN102839064A (en) * 2011-06-20 2012-12-26 奇美实业股份有限公司 Detergent composition
EP3299891A1 (en) 2012-07-10 2018-03-28 Basf Se Use of compositions comprising gemini additives for treating semiconductor substrates
US10385295B2 (en) 2012-07-10 2019-08-20 Basf Se Compositions for anti pattern collapse treatment comprising gemini additives

Also Published As

Publication number Publication date
KR20060037292A (en) 2006-05-03
JP4041037B2 (en) 2008-01-30
TW200402610A (en) 2004-02-16
US6641986B1 (en) 2003-11-04
JP2004094241A (en) 2004-03-25
EP1389745B1 (en) 2015-01-28
TWI246637B (en) 2006-01-01
ES2534979T3 (en) 2015-04-30
EP1389745A1 (en) 2004-02-18
KR20040030252A (en) 2004-04-09
KR100630853B1 (en) 2006-10-04
KR100655816B1 (en) 2006-12-13

Similar Documents

Publication Publication Date Title
US6641986B1 (en) Acetylenic diol surfactant solutions and methods of using same
US7591270B2 (en) Process solutions containing surfactants
EP1580607B1 (en) Process solutions containing surfactants
US20040029395A1 (en) Process solutions containing acetylenic diol surfactants
WO2007058443A1 (en) Thinner composition for removing photoresist

Legal Events

Date Code Title Description
AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHANG, PENG;CURZI, DANIELLE MEGAN KING;KARWACKI, EUGENE JOSEPH, JR.;AND OTHERS;REEL/FRAME:014695/0143;SIGNING DATES FROM 20030805 TO 20030811

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION