US20040092111A1 - Method of dry etching organic SOG film - Google Patents

Method of dry etching organic SOG film Download PDF

Info

Publication number
US20040092111A1
US20040092111A1 US10/698,546 US69854603A US2004092111A1 US 20040092111 A1 US20040092111 A1 US 20040092111A1 US 69854603 A US69854603 A US 69854603A US 2004092111 A1 US2004092111 A1 US 2004092111A1
Authority
US
United States
Prior art keywords
organic sog
film
dry etching
sog film
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/698,546
Inventor
Naokatsu Ikegami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/698,546 priority Critical patent/US20040092111A1/en
Publication of US20040092111A1 publication Critical patent/US20040092111A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass

Definitions

  • This invention relates to a method of dry etching an organic SOG film used as an interlayer dielectric having low-K (low dielectric constant), which is suitable for use in manufacture of a semiconductor integrated circuit device.
  • FIG. 1 shows one example of a step in which an organic SOG film formed as a low-K film is used as an interlayer dielectric.
  • TEOS (Tetraethoxy Silane) oxide film is formed over a lower layer metal interconnection 2 formed over a silicon substrate 1 , as a lower layer oxide film 3 , followed by deposition of an organic SOG film 4 .
  • an SOG material may be used, for example, one obtained by dissolving a low-K material composed of an oxide film (SiO2) added with an alkyl group in an organic solvent.
  • the surface of the organic SOG film is modified by an oxygen O2. plasma treatment. Thereafter, a plasma TEOS oxide film used as a capping oxide film 5 is deposited over the organic SOG film 4 .
  • the capping oxide film 5 is used to avoid a problem on peeling of a resist in a photolithography process at the subsequent formation of each via hole. Further, the capping oxide film 5 also acts as a cover film for chemical mechanical polishing (CMP) upon forming interconnections to be embedded into the via hole.
  • CMP chemical mechanical polishing
  • via hole 7 for bringing an upper layer metal interconnection 9 and a lower layer metal interconnection 2 into conduction are formed by using the normal photolithography and dry etching technology.
  • the resultant one is processed under a pressure of a few Pa through the use of a mixed gas of CHF3, CF4 and Ar employed as a general etching condition for an SiO2 film by using, for example, parallel plate reactive ion etching (RIE) equipment as a condition for dry etching.
  • RIE parallel plate reactive ion etching
  • the organic SOG film can normally be etched by using a gas plasma for etching the SiO2 film.
  • the organic SOG film is etched by plasma radiation under a pressure of about 1.5 Pa through the use of the CH3/CF4/Ar mixed gas by, for example, the parallel plate RIE equipment as described above.
  • the etch rate of the organic SOG film is compared with that of, for example, a thermally-grown SiO2 film, then the value thereof is very slow as in the order of 1 ⁇ 4.
  • an aspect ratio (hole depth/hole size) of each via hole increases, such an influence becomes pronounced, so that there may be cases in which a photoresist used as a mask material is not held up due to an increase in etching time in particular.
  • the second problem is a problem produced in a post-step subsequent to via hole etching. This is principally classified roughly into (a) a problem on a change in the quality of a film upon O2 plasma treatment and (b) a problem on reaction between a WF6 gas and an organic SOG film upon formation of buried tungsten (W).
  • the problem (a) will first be explained.
  • the above-described organic film has the property of becoming weak to heat treatment or annealing in an oxygen plasma atmosphere, and a change (changing into SiO2 form due to densification) in the quality of a film occurs upon O2 plasma treatment for removing the photoresist subsequent to via hole processing.
  • a nitride (TiN) contact layer is normally formed in each hole by a sputtering method and thereafter a W film is deposited thereon by a chemical vapor deposition (CVD) method.
  • the TiN also serves as a protective layer for preventing reaction between WF6 used as a deposition gas upon W burying and an organic SOG sidewall.
  • the side-wall modified layer also acts as a protective film for inhibiting reaction between the WF6 gas and organic SOG side-wall upon the subsequent formation of a buried W wiring layer, the corrosion and W peeling are prevented from occurring even if the TiN contact layer is not deposited within the aforementioned fine slit.
  • a first invention is constructed so that when each contact hole is formed in an insulating film composed of an organic SOG film by a mixed gas containing at least C4F8 and O2, the quantity of flow or flow rate of O2 at dry etching is set to 50% or less of the quantity of flow of C4F8+O2.
  • a second invention is constructed so that when each contact hole is formed in an insulating film composed of an organic SOG film by a mixed gas containing at least CF4, CHF3 and N2, the quantity of flow of N2 at dry etching is set to above 10% and below 80% of the total quantity of flow of CF4+CHF3+N2. As a result, the contact hole excellent in shape can be realized.
  • a third invention is constructed such that each contact hole is defined in an insulating film composed of an organic SOG film by a resist pattern, and plasma treatment for removing the resist pattern subsequent to the formation of the contact hole utilizes a mixed gas of O2+N2H2 or O2+N2+H2.
  • FIGS. 1A through 1C are respectively via hole dry-etching process diagrams for describing a prior art and an embodiment of the present invention
  • FIG. 2 is a diagram showing O2 partial-pressure dependency of an etch rate at the time that via hole dry etching is performed by a mixed gas containing O2 and C4F8 in an embodiment of the present invention.
  • FIG. 3 is a diagram illustrating N2 partial-pressure dependency of an etch rate at the time that via hole dry etching is carried out by a mixed gas of CF4, CHF3 and N2 in an embodiment of the present invention.
  • FIG. 2 shows changes in etch rate of an organic SOG film where etching is done while using a mixed gas of C4F8/O2/Ar, fixing the ratio of the quantity of flow or flow rate of Ar to the total quantity, of flow to 94% and changing a mixture ratio between O2 and C4F8+O2 (C4F8+O2 is represented as 100%).
  • Changes in etch rate of a plasma TEOS SiO2 film are also shown together in the drawing.
  • the shape of each via hole formed in the organic SOG film at the time is also illustrated together in the drawing.
  • a used apparatus is of a magnetron RIE system and has performed etching at a RF power of 1200 W under a pressure of 0.3 Pa.
  • the etch rate increases by leaps as the flow rate of a O2 gas in a mixed gas of C4F8+O2 increases, and the etch rate indicates a maximum value at an O2 flow rate of 50%. It is understood that if compared in the absence of O2 addition, then the etch rate at this time reaches about five times thereof.
  • the etch rate of the plasma TEOS SiO2 film shown for comparison decreases monotonously with an increase in a O2 mixture ratio (this is called simple dilution effect). From this point of view, the above-described O2 addition effect is considered to be a phenomenon peculiar to the organic SOG film and indicates that the O2 gas other than the C4F8 gas is useful as etching species of the organic SOG film.
  • the mechanism of increase of etch rate by O2 addition is considered to result from the fact that a CH3 group in the organic SOG film considered to inhibit an etching reaction is changed into an SiOH group or an Si—O—Si bond or the like due to attack of an O radical in a plasma, and the formation of these oxidation layers allows an easy progress to the etching reaction by subsequently produced attack of CFx series or the like.
  • a used apparatus is a parallel plate RIE system and has performed etching at a RF power of 1600 W under a pressure of 1.5 Pa. It is understood from FIG. 3 that the etch rate gradually increases with the amount of addition of N2. It is understood that the etch rate at the time that the amount of addition of N2 is 50 (sccm), reaches about twice the etch rate at the time that no N2 is added.
  • the etch rate of the plasma TEOS SiO2 film shown for comparison decreases monotonously with an increase in an N2 mixture ratio (this is called simple dilution effect).
  • the above-described N2 addition effect is considered to be a phenomenon peculiar to the organic SOG film in a manner similar to the addition of O2.
  • a phenomenon in which the etch rate is made faster, is considered to have suggested that an N2 gas other than a CF4/CHF3 gas also takes part in a surface reaction with the organic SOG film owing to the addition of N2 to a fluorocarbon gas in this way.
  • a third embodiment of the present invention will next be explained.
  • a resist is removed by plasma O2 plasma treatment.
  • a mixed gas of O2+N2H2 is used for the plasma treatment employed in the present embodiment, and an apparatus or system makes use of a low-pressure downflow type asher having a system configuration high in ion/radical incoming frequency ratio and wherein ions can be introduced with some degree of directivity and energy.
  • the plasma treatment is done under an plasma treatment pressure of, for example, 0.45 Pa at a temperature of 100° C.
  • a mixture ratio between O2 and N2H2 is set to, for example, 90%:10%.
  • the plasma treatment is done while a layer (corresponding to a layer which principally reacts with an alkyl group in a film) reacting with N is being formed on an organic SOG side-wall as a side-wall protective layer by using the O2/N2H2 mixed gas.
  • a problem can be solved that an oxygen radical enters into the film from a pattern side-wall, thereby causing changes in the quality of the film and the shape thereof.
  • the nitride layer (side-wall protective layer) serves so as to inhibit the reaction between a WF6 gas and the organic SOG side-wall at the subsequent formation of buried W interconnections, buried interconnections associated with borderless interconnections can be formed. Further, since the above-described reactive layer is formed only over an extremely surface layer of the organic SOG side-wall by reaction principally with an N radical in a plasma, it is excellent in uniformity as compared with the aforementioned O+ ion irradiation. Further, the change in shape by reaction with the side-wall as in the irradiation with the O+ ions does not occur. Even if a mixed gas of O2/N2/H2 is used, the result exactly similar to the above can be expected.
  • the etch rate can be speeded up while maintaining the vertical and satisfactory shape. It is therefore possible to avoid a problem on throughput at mass production and a problem on resist resistance.
  • the O2+N2H2 mixed gas is used for the plasma treatment subsequent to the etching for the formation of each via hole, it is possible to solve the problem that the layer (protective layer) reacting with N is formed on the side-wall upon plasma treatment and the oxygen radical enters into the film from the pattern side-wall to thereby cause the changes in film quality and shape.
  • the nitride layer (side-wall protective layer) has the function of inhibiting or controlling the reaction between the WF6 gas and the organic SOG side-wall upon the subsequent formation of the buried W interconnections.
  • the reactive layer is formed only over the extremely surface layer of the organic SOG side-wall by reaction principally with the N radical in the plasma, it is excellent in uniformity.

Abstract

Disclosed herein is a via hole dry etching method using an organic SOG film as an interlayer dielectric having low-K. In the dry etching method, a mixed gas containing at least C4F8 and O2 is used as an etching gas and an O2/(C4F8+O2) mixture ratio is set to 50% or less, thereby to carry out via hole dry etching. Further, the via hole dry etching is carried out by using a mixed gas containing at least CF4, CHF3 and N2 and setting the quantity of flow of N2 to above 10% and below 80% of the quantity of flow of CF4+CHF3+N2.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates to a method of dry etching an organic SOG film used as an interlayer dielectric having low-K (low dielectric constant), which is suitable for use in manufacture of a semiconductor integrated circuit device. [0002]
  • 2. Description of the Related Art [0003]
  • With high integration of a semiconductor device centering around recent system and logic LSIs, widths and intervals of metal wires or interconnections have been so narrowed, and interconnections themselves are also becoming so long in length. As a result, the resistance of each interconnection and the capacitance between adjacent interconnections are on the increase, and increases in wiring delay and power consumption due to these have become innegligible. As a method of lessening the influence exerted on device performance with such high integration, there has been proposed a method of introducing a low resistance material such as copper (Cu) as an alternative to the conventionally-used aluminum (Al) wiring material and bringing an insulating film provided between adjacent interconnections into low-K. A study thereof intended for practical use has been promoted. [0004]
  • As to the degree of contribution of a critical path for determining an operating speed (operating frequency) of a device in particular to a delay time, wiring capacitance rather than wiring resistance is expected to increase from the result of simulation. Further, if the wiring capacitance is lowered by a low-K film rather than a reduction in wiring resistance by a Cu interconnection, then the resultant performance is expected to increase by far. A study of an FSG film formed by adding fluorine to an SiO2 film by the conventional chemical vapor deposition (CVD) method, an organic SOG (Spin On Glass) film, an organic film, a porous film, etc. has been carried out actively. [0005]
  • FIG. 1 shows one example of a step in which an organic SOG film formed as a low-K film is used as an interlayer dielectric. In FIG. 1A, a plasma. TEOS (Tetraethoxy Silane) oxide film is formed over a lower layer metal interconnection [0006] 2 formed over a silicon substrate 1, as a lower layer oxide film 3, followed by deposition of an organic SOG film 4. As an SOG material, may be used, for example, one obtained by dissolving a low-K material composed of an oxide film (SiO2) added with an alkyl group in an organic solvent.
  • Next, the surface of the organic SOG film is modified by an oxygen O2. plasma treatment. Thereafter, a plasma TEOS oxide film used as a capping oxide film [0007] 5 is deposited over the organic SOG film 4. The capping oxide film 5 is used to avoid a problem on peeling of a resist in a photolithography process at the subsequent formation of each via hole. Further, the capping oxide film 5 also acts as a cover film for chemical mechanical polishing (CMP) upon forming interconnections to be embedded into the via hole. The formation of the surface modified layer by the O2 plasma is carried out to prevent film peeling developed between the capping film and the organic SOG film upon execution of the CMP.
  • Referring next to FIG. 1B, via hole [0008] 7 for bringing an upper layer metal interconnection 9 and a lower layer metal interconnection 2 into conduction are formed by using the normal photolithography and dry etching technology. The resultant one is processed under a pressure of a few Pa through the use of a mixed gas of CHF3, CF4 and Ar employed as a general etching condition for an SiO2 film by using, for example, parallel plate reactive ion etching (RIE) equipment as a condition for dry etching. Referring to FIG. 1C subsequently, tungsten plugs 8 each corresponding to a via hole embedding interconnection are formed and thereafter the upper layer interconnection 9 is formed.
  • However, problems shown below arise when the low-K film such as the aforementioned organic SOG film is introduced into the device. The first problem is that an etch rate at the via hole etching is very slow. The organic SOG film can normally be etched by using a gas plasma for etching the SiO2 film. The organic SOG film is etched by plasma radiation under a pressure of about 1.5 Pa through the use of the CH3/CF4/Ar mixed gas by, for example, the parallel plate RIE equipment as described above. [0009]
  • However, if the etch rate of the organic SOG film is compared with that of, for example, a thermally-grown SiO2 film, then the value thereof is very slow as in the order of ¼. As the device is highly integrated and an aspect ratio (hole depth/hole size) of each via hole increases, such an influence becomes pronounced, so that there may be cases in which a photoresist used as a mask material is not held up due to an increase in etching time in particular. [0010]
  • The second problem is a problem produced in a post-step subsequent to via hole etching. This is principally classified roughly into (a) a problem on a change in the quality of a film upon O2 plasma treatment and (b) a problem on reaction between a WF6 gas and an organic SOG film upon formation of buried tungsten (W). The problem (a) will first be explained. In general, the above-described organic film has the property of becoming weak to heat treatment or annealing in an oxygen plasma atmosphere, and a change (changing into SiO2 form due to densification) in the quality of a film occurs upon O2 plasma treatment for removing the photoresist subsequent to via hole processing. [0011]
  • This is considered to occur due to the fact that an oxygen radical (O*) in a plasma enters into a film from a pattern side-wall of an organic SOG film upon O2 plasma treatment and thereby reacts with an alkyl group (CH3 or the like) in the film under a high temperature. Since a hydrophilic Si—OH group and Si—H group are created in the film by this reaction, it absorbs large quantities of water when subsequently exposed to the air. [0012]
  • Upon introduction of the film into the device, such change in the quality of the film raises problems such as (i) an increase in dielectric constant, (ii) a decrease in film thickness due to degeneration of the film, and (iii) desorption of a large quantity of gases (principally atmospheric components such as H2O, etc.), a failure in embedding due to the desorption, a reduction in yield, etc. upon embedding of (W) into each via hole. [0013]
  • A description will next be made of the problem (b). In order to form a buried W layer after the formation of each via hole, a nitride (TiN) contact layer is normally formed in each hole by a sputtering method and thereafter a W film is deposited thereon by a chemical vapor deposition (CVD) method. The TiN also serves as a protective layer for preventing reaction between WF6 used as a deposition gas upon W burying and an organic SOG sidewall. [0014]
  • As, however, miniaturization or scale-down of a wiring width has progressed with high integration of LSI, a fundamental design rule for allowing each via hole called borderless interconnection to be formed with being deviated from over a bedding interconnection has come into use. In such borderless interconnections, a fine slit is formed between a side-wall of a bedding wiring pattern and a side-wall of each off-defined via hole, so that TiN is not sufficiently buried in the fine slit. [0015]
  • As a result, TiN does not act as the side-wall protective layer, and the WF6 and organic SOG side-wall vigorously react directly with each other upon the subsequent W embedding, thus causing corrosion and W peeling. As a method of solving the problems (a) and (b) referred to above, an approach for performing plasma treatment with an oxygen ion (O+) as a main part after the formation of each via hole is considered. [0016]
  • This is a method of preferentially bombarding a pattern side-wall with the oxygen ion (O+) upon O2 plasma treatment and densifying only an extremely surface layer of the side-wall (making a reform into SiO2), thereby inhibiting the entering of an oxygen radical into a film upon O2 plasma treatment. Since the side-wall modified layer also acts as a protective film for inhibiting reaction between the WF6 gas and organic SOG side-wall upon the subsequent formation of a buried W wiring layer, the corrosion and W peeling are prevented from occurring even if the TiN contact layer is not deposited within the aforementioned fine slit. [0017]
  • However, a problem arise in that when the shape of each pattern is bowed and a metal sputtering material extending from a bed is attached to a side-wall before the O2 plasma treatment (upon via hole etching), the subsequent formation of the side-wall modified layer by the O+ ion impact is made non-uniform so that a sufficient inhibition effect cannot be obtained. When bombarding energy for simply forming the modified layer is applied to the side-wall, a reaction between the O+ ion and the pattern side-wall proceeds to thereby newly bring each via hole into bowing form. Further, another problem arises in that the via hole changes in form due to O+ ion-based sputtering. [0018]
  • SUMMARY OF THE INVENTION
  • A first invention is constructed so that when each contact hole is formed in an insulating film composed of an organic SOG film by a mixed gas containing at least C4F8 and O2, the quantity of flow or flow rate of O2 at dry etching is set to 50% or less of the quantity of flow of C4F8+O2. As a result, a problem on the speeding up of an etch rate of the organic SOG film and the verticality of the shape, both of which are held in a trade-off relationship to each other, can be solved. [0019]
  • A second invention is constructed so that when each contact hole is formed in an insulating film composed of an organic SOG film by a mixed gas containing at least CF4, CHF3 and N2, the quantity of flow of N2 at dry etching is set to above 10% and below 80% of the total quantity of flow of CF4+CHF3+N2. As a result, the contact hole excellent in shape can be realized. [0020]
  • A third invention is constructed such that each contact hole is defined in an insulating film composed of an organic SOG film by a resist pattern, and plasma treatment for removing the resist pattern subsequent to the formation of the contact hole utilizes a mixed gas of O2+N2H2 or O2+N2+H2. Thus, a problem that an oxygen radical enters into a film from a pattern side-wall to thereby cause changes in film quality and shape, can be solved. [0021]
  • Typical ones of various inventions of the present application have been shown in brief. However, the various inventions of the present application and specific configurations of these inventions will be understood from the following description.[0022]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • While the specification concludes with claims particularly pointing out and distinctly claiming the subject matter which is regarded as the invention, it is believed that the invention, the objects and features of the invention and further objects, features and advantages thereof will be better understood from the following description taken in connection with the accompanying drawings in which: [0023]
  • FIGS. 1A through 1C are respectively via hole dry-etching process diagrams for describing a prior art and an embodiment of the present invention; [0024]
  • FIG. 2 is a diagram showing O2 partial-pressure dependency of an etch rate at the time that via hole dry etching is performed by a mixed gas containing O2 and C4F8 in an embodiment of the present invention; and [0025]
  • FIG. 3 is a diagram illustrating N2 partial-pressure dependency of an etch rate at the time that via hole dry etching is carried out by a mixed gas of CF4, CHF3 and N2 in an embodiment of the present invention.[0026]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Preferred embodiments of the present invention will hereinafter be described in detail with reference to the accompanying drawings. [0027]
  • First Embodiment
  • FIG. 2 shows changes in etch rate of an organic SOG film where etching is done while using a mixed gas of C4F8/O2/Ar, fixing the ratio of the quantity of flow or flow rate of Ar to the total quantity, of flow to 94% and changing a mixture ratio between O2 and C4F8+O2 (C4F8+O2 is represented as 100%). Changes in etch rate of a plasma TEOS SiO2 film are also shown together in the drawing. The shape of each via hole formed in the organic SOG film at the time is also illustrated together in the drawing. A used apparatus is of a magnetron RIE system and has performed etching at a RF power of 1200 W under a pressure of 0.3 Pa. [0028]
  • It is understood from FIG. 2 that the etch rate increases by leaps as the flow rate of a O2 gas in a mixed gas of C4F8+O2 increases, and the etch rate indicates a maximum value at an O2 flow rate of 50%. It is understood that if compared in the absence of O2 addition, then the etch rate at this time reaches about five times thereof. On the other hand, it is understood from the drawing that the etch rate of the plasma TEOS SiO2 film shown for comparison decreases monotonously with an increase in a O2 mixture ratio (this is called simple dilution effect). From this point of view, the above-described O2 addition effect is considered to be a phenomenon peculiar to the organic SOG film and indicates that the O2 gas other than the C4F8 gas is useful as etching species of the organic SOG film. [0029]
  • The mechanism of increase of etch rate by O2 addition is considered to result from the fact that a CH3 group in the organic SOG film considered to inhibit an etching reaction is changed into an SiOH group or an Si—O—Si bond or the like due to attack of an O radical in a plasma, and the formation of these oxidation layers allows an easy progress to the etching reaction by subsequently produced attack of CFx series or the like. [0030]
  • While, however, the increase of the etch rate is promoted by O2 addition, the shape of each via hole is gradually changed into such a shape that the difference between etch rates at the center of a hole called trenching and the end thereof increases (see FIG. 2). Thus, in the present process, a trade-off relationship is kept between the increase of the etch rate and the verticality of the shape. The optimum O2 gas mixture ratio for simultaneously satisfying the two exists in the present process. It was understood from the result of experiments that it was necessary to set the optimum flow rate of the O2 gas for satisfying the two to 50% or less. [0031]
  • Second Embodiment
  • FIG. 3 shows changes in etch rate of an organic SOG film where an N2 gas is added to a mixed gas of CF4/CHF3/Ar (=20/30/40 sccm). Changes in etch rate of a plasma TEOS SiO2 film are also shown together in the drawing. A used apparatus is a parallel plate RIE system and has performed etching at a RF power of 1600 W under a pressure of 1.5 Pa. It is understood from FIG. 3 that the etch rate gradually increases with the amount of addition of N2. It is understood that the etch rate at the time that the amount of addition of N2 is 50 (sccm), reaches about twice the etch rate at the time that no N2 is added. [0032]
  • On the other hand, it is understood from the drawing that the etch rate of the plasma TEOS SiO2 film shown for comparison decreases monotonously with an increase in an N2 mixture ratio (this is called simple dilution effect). From this point of view, the above-described N2 addition effect is considered to be a phenomenon peculiar to the organic SOG film in a manner similar to the addition of O2. A phenomenon in which the etch rate is made faster, is considered to have suggested that an N2 gas other than a CF4/CHF3 gas also takes part in a surface reaction with the organic SOG film owing to the addition of N2 to a fluorocarbon gas in this way. [0033]
  • On the other hand, it was understood that the shape of each via hole formed in the organic SOG film at the addition of N2 to the fluorocarbon gas would not become such a shape (trenching shape) observed upon the addition of O2 that its shape is extremely deteriorated. It was also understood from the result of experiments that the setting of the ratio (N2 flow rate/N2 flow rate+flow rate of fluorocarbon gas) of the N2 gas to the fluorocarbon gas to above 10% and below 80% would become effective. [0034]
  • Third Embodiment
  • A third embodiment of the present invention will next be explained. Referring to FIG. 1B, a resist is removed by plasma O2 plasma treatment. A mixed gas of O2+N2H2 is used for the plasma treatment employed in the present embodiment, and an apparatus or system makes use of a low-pressure downflow type asher having a system configuration high in ion/radical incoming frequency ratio and wherein ions can be introduced with some degree of directivity and energy. The plasma treatment is done under an plasma treatment pressure of, for example, 0.45 Pa at a temperature of 100° C. [0035]
  • A mixture ratio between O2 and N2H2 is set to, for example, 90%:10%. The plasma treatment is done while a layer (corresponding to a layer which principally reacts with an alkyl group in a film) reacting with N is being formed on an organic SOG side-wall as a side-wall protective layer by using the O2/N2H2 mixed gas. As a result, a problem can be solved that an oxygen radical enters into the film from a pattern side-wall, thereby causing changes in the quality of the film and the shape thereof. [0036]
  • Since the nitride layer (side-wall protective layer) serves so as to inhibit the reaction between a WF6 gas and the organic SOG side-wall at the subsequent formation of buried W interconnections, buried interconnections associated with borderless interconnections can be formed. Further, since the above-described reactive layer is formed only over an extremely surface layer of the organic SOG side-wall by reaction principally with an N radical in a plasma, it is excellent in uniformity as compared with the aforementioned O+ ion irradiation. Further, the change in shape by reaction with the side-wall as in the irradiation with the O+ ions does not occur. Even if a mixed gas of O2/N2/H2 is used, the result exactly similar to the above can be expected. [0037]
  • According to the first invention as has been described above, since the O2 gas is added to the fluorocarbon gas and the gas mixture ratio thereof is set to 50% or less, it was possible to simultaneously satisfy the speeding up of the etch rate and the verticality of the shape, both held in the trade-off relationship. It is thus possible to avoid a problem on throughput at mass production and a problem on resist resistance. [0038]
  • According to the second embodiment as well, since the N2 gas is added to the fluorocarbon gas and the gas mixture ratio thereof is set to above 10% and below 80%, the etch rate can be speeded up while maintaining the vertical and satisfactory shape. It is therefore possible to avoid a problem on throughput at mass production and a problem on resist resistance. [0039]
  • Further, according to the third invention, since the O2+N2H2 mixed gas is used for the plasma treatment subsequent to the etching for the formation of each via hole, it is possible to solve the problem that the layer (protective layer) reacting with N is formed on the side-wall upon plasma treatment and the oxygen radical enters into the film from the pattern side-wall to thereby cause the changes in film quality and shape. Further, the nitride layer (side-wall protective layer) has the function of inhibiting or controlling the reaction between the WF6 gas and the organic SOG side-wall upon the subsequent formation of the buried W interconnections. Furthermore, since the reactive layer is formed only over the extremely surface layer of the organic SOG side-wall by reaction principally with the N radical in the plasma, it is excellent in uniformity. [0040]
  • While the present invention has been described with reference to the illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to those skilled in the art on reference to this description. It is therefore contemplated that the appended claims will cover any such modifications or embodiments as fall within the true scope of the invention. [0041]

Claims (11)

What is claimed is:
1. A method of dry etching an insulating film composed of an organic SOG film by a mixed gas containing at least C4F8 and O2, comprising the following step of:
setting a flow rate of O2 to 50% or less of a flow rate of C4F8+O2.
2. The method according to claim 1, wherein said dry etching is done to form a contact hole.
3. The method according to claim 1, wherein said organic SOG film is formed by adding an alkyl group to oxide silicon.
4. A method of dry etching an insulating film composed of an organic SOG film by a mixed gas containing at least CF4, CHF3 and N2, comprising the following step of setting
a flow rate of N2 to above 10% and below 80% of a flow rate of CF4+CHF3+N2.
5. The method according to claim 4, wherein said dry etching is done to form a contact hole.
6. The method according to claim 4, wherein said organic SOG film is formed by adding an alkyl group to oxide silicon.
7. A dry etching method, comprising the following step of:
forming contact holes in an insulating film composed of an organic SOG film, and
wherein plasma treatment for removing a resist pattern used to form said each contact hole is done by using O2+N2H2.
8. The dry etching method according to claim 7, wherein said organic SOG film is formed by adding an alkyl group to oxide silicon.
9. A dry etching method, comprising the following step of:
forming contact holes in an insulating film composed of an organic SOG film, and
wherein plasma treatment for removing a resist pattern used to form said each contact hole is done by using O2+N2+H2.
10. The dry etching method according to claim 9, wherein said organic SOG film is formed by adding an alkyl group to oxide silicon.
11. A dry etching method, comprising the following step of:
forming contact hole in an insulating film composed of an organic SOG film; and
wherein plasma treatment for removing a resist pattern used to form said each contact hole is done by mixing an oxygen gas with a gas for nitriding the organic SOG film.
US10/698,546 1999-08-31 2003-11-03 Method of dry etching organic SOG film Abandoned US20040092111A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/698,546 US20040092111A1 (en) 1999-08-31 2003-11-03 Method of dry etching organic SOG film

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP24565699A JP2001077086A (en) 1999-08-31 1999-08-31 Dry etching method of semiconductor device
JP245656/99 1999-08-31
US09/519,575 US6355572B1 (en) 1999-08-31 2000-03-06 Method of dry etching organic SOG film
US10/029,170 US20020094695A1 (en) 1999-08-31 2001-12-28 Method of dry etching organic SOG film
US10/698,546 US20040092111A1 (en) 1999-08-31 2003-11-03 Method of dry etching organic SOG film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/029,170 Continuation US20020094695A1 (en) 1999-08-31 2001-12-28 Method of dry etching organic SOG film

Publications (1)

Publication Number Publication Date
US20040092111A1 true US20040092111A1 (en) 2004-05-13

Family

ID=17136879

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/519,575 Expired - Fee Related US6355572B1 (en) 1999-08-31 2000-03-06 Method of dry etching organic SOG film
US10/029,170 Abandoned US20020094695A1 (en) 1999-08-31 2001-12-28 Method of dry etching organic SOG film
US10/698,546 Abandoned US20040092111A1 (en) 1999-08-31 2003-11-03 Method of dry etching organic SOG film

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/519,575 Expired - Fee Related US6355572B1 (en) 1999-08-31 2000-03-06 Method of dry etching organic SOG film
US10/029,170 Abandoned US20020094695A1 (en) 1999-08-31 2001-12-28 Method of dry etching organic SOG film

Country Status (2)

Country Link
US (3) US6355572B1 (en)
JP (1) JP2001077086A (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001021919A (en) * 1999-07-07 2001-01-26 Matsushita Electric Ind Co Ltd Liquid crystal display device
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4381526B2 (en) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 Plasma etching method
JP2002110647A (en) * 2000-09-29 2002-04-12 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6465343B1 (en) * 2001-02-28 2002-10-15 Advanced Micro Devices, Inc. Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
JP2002270586A (en) * 2001-03-08 2002-09-20 Tokyo Electron Ltd Etching method of organic based insulating film and dual damascene process
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
US6835640B2 (en) 2002-12-06 2004-12-28 Taiwan Semiconductor Manufacturing Company Method of forming a novel composite insulator spacer
JP2004356178A (en) 2003-05-27 2004-12-16 Oki Electric Ind Co Ltd Method for etching and method of manufacturing semiconductor device
JP3992654B2 (en) * 2003-06-26 2007-10-17 沖電気工業株式会社 Manufacturing method of semiconductor device
JP2005277375A (en) * 2004-02-27 2005-10-06 Nec Electronics Corp Semiconductor device manufacturing method
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
JP2006128245A (en) * 2004-10-27 2006-05-18 Sony Corp Method of processing insulating film
JP2006196663A (en) * 2005-01-13 2006-07-27 Tokyo Electron Ltd Etching method, program, recording, computer-readable recording medium, and plasma processor
US7655570B2 (en) 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
JP4543976B2 (en) 2005-03-16 2010-09-15 ヤマハ株式会社 Connection hole formation method
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
JP4912907B2 (en) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
TWI405262B (en) * 2007-07-17 2013-08-11 Creator Technology Bv An electronic component and a method of manufacturing an electronic component

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5219792A (en) * 1991-01-14 1993-06-15 Samsung Electronics Co., Ltd. Method for forming multilevel interconnection in a semiconductor device
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
US5413963A (en) * 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5728630A (en) * 1993-10-07 1998-03-17 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5849637A (en) * 1996-06-10 1998-12-15 Wang; Chin-Kun Integration of spin-on gap filling dielectric with W-plug without outgassing
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5908319A (en) * 1996-04-24 1999-06-01 Ulvac Technologies, Inc. Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6124213A (en) * 1997-11-18 2000-09-26 Nec Corporation Process of fabricating semiconductor device having ashing step for photo-resist mask in plasma produced from Nx Hy gas
US6153511A (en) * 1998-10-14 2000-11-28 Fujitsu Limited Semiconductor device having a multilayered interconnection structure
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226056A (en) * 1989-01-10 1993-07-06 Nihon Shinku Gijutsu Kabushiki Kaisha Plasma ashing method and apparatus therefor
US5219792A (en) * 1991-01-14 1993-06-15 Samsung Electronics Co., Ltd. Method for forming multilevel interconnection in a semiconductor device
US5728630A (en) * 1993-10-07 1998-03-17 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device
US5413963A (en) * 1994-08-12 1995-05-09 United Microelectronics Corporation Method for depositing an insulating interlayer in a semiconductor metallurgy system
US5908319A (en) * 1996-04-24 1999-06-01 Ulvac Technologies, Inc. Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5849637A (en) * 1996-06-10 1998-12-15 Wang; Chin-Kun Integration of spin-on gap filling dielectric with W-plug without outgassing
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6082374A (en) * 1996-09-24 2000-07-04 Huffman; Maria Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US6124213A (en) * 1997-11-18 2000-09-26 Nec Corporation Process of fabricating semiconductor device having ashing step for photo-resist mask in plasma produced from Nx Hy gas
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method
US6153511A (en) * 1998-10-14 2000-11-28 Fujitsu Limited Semiconductor device having a multilayered interconnection structure
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process

Also Published As

Publication number Publication date
JP2001077086A (en) 2001-03-23
US6355572B1 (en) 2002-03-12
US20020094695A1 (en) 2002-07-18

Similar Documents

Publication Publication Date Title
US6355572B1 (en) Method of dry etching organic SOG film
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6479380B2 (en) Semiconductor device and manufacturing method thereof
US7105454B2 (en) Use of ammonia for etching organic low-k dielectrics
US6410437B1 (en) Method for etching dual damascene structures in organosilicate glass
US5607880A (en) Method of fabricating multilevel interconnections in a semiconductor integrated circuit
US20050153538A1 (en) Method for forming novel BARC open for precision critical dimension control
US20060286794A1 (en) Stacked structure for forming damascene structure, method of fabricating the stacked structure, and damascene process
US20010054765A1 (en) Semiconductor device and method and apparatus for manufacturing the same
US20060011579A1 (en) Gas compositions
US6019906A (en) Hard masking method for forming patterned oxygen containing plasma etchable layer
JP2006013190A (en) Method of manufacturing semiconductor device
US6605855B1 (en) CVD plasma process to fill contact hole in damascene process
JP2004096117A (en) Projecting spacers for self-aligning contact
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
KR100483594B1 (en) Method of forming metal line of semiconductor device
US7569481B2 (en) Method for forming via-hole in semiconductor device
KR20030027453A (en) Method of dry cleaning and photoresist strip after via contact etching
US6492276B1 (en) Hard masking method for forming residue free oxygen containing plasma etched layer
CN101231968B (en) Inlaying inner connecting line structure and double inlaying process
JP2000091308A (en) Manufacture of semiconductor device
US7172965B2 (en) Method for manufacturing semiconductor device
JP2005005697A (en) Manufacturing method of semiconductor device
KR100909175B1 (en) How to form a dual damascene pattern
JP2006032721A (en) Fabrication process of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION