US20040108217A1 - Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby - Google Patents

Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby Download PDF

Info

Publication number
US20040108217A1
US20040108217A1 US10/313,760 US31376002A US2004108217A1 US 20040108217 A1 US20040108217 A1 US 20040108217A1 US 31376002 A US31376002 A US 31376002A US 2004108217 A1 US2004108217 A1 US 2004108217A1
Authority
US
United States
Prior art keywords
layer
copper
copper alloy
noble metal
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/313,760
Inventor
Valery Dubin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/313,760 priority Critical patent/US20040108217A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DUBIN, VALERY M.
Priority to TW092130835A priority patent/TWI255873B/en
Priority to PCT/US2003/036064 priority patent/WO2004053202A1/en
Priority to EP03781909A priority patent/EP1567695A1/en
Priority to CN200380105242.7A priority patent/CN1720354A/en
Priority to AU2003287704A priority patent/AU2003287704A1/en
Publication of US20040108217A1 publication Critical patent/US20040108217A1/en
Priority to US11/152,269 priority patent/US20050230263A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/56Electroplating: Baths therefor from solutions of alloys
    • C25D3/58Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer

Definitions

  • the present invention relates to the field of microelectronic device processing, and more particularly to a method of forming a copper interconnect structure utilizing electroplating and/or electroless techniques and structures formed thereby.
  • Transistors as is well known in the art, are the building blocks of all integrated circuits. Modern integrated circuits interconnect literally millions of densely configured transistors that perform a wide variety of functions. To achieve such a dramatic increase in the density of circuit components has required microelectronic manufacturers to scale down the physical dimensions of the circuit elements, as well as to utilize multiple levels of interconnection structures used to connect the circuit elements into functional circuitry.
  • One such interconnection process is known as the damascene process (FIG. 5), in which dielectric layers 202 and 202 ′ are deposited over a substrate 200 . Vias 204 , 204 ′ and trenches 206 , 206 ′ are etched into the dielectric layers 202 , 202 ′. Metal layers 208 , 208 ′, such as copper or aluminum, is then formed over the vias 204 , 204 ′ and trenches 206 , 206 ′. This process can be repeated to achieve interconnection, through the trenches and vias, of multiple layers of metallization.
  • the utilization of copper metal in a damascene structure has many advantages, for example its lower electrical resistance as compared with previously used metals, such as aluminum.
  • One technique for depositing copper in a damascene structure is by electroless deposition, which is attractive because of its lower cost and high quality of deposition.
  • metal deposition occurs by a chemical reduction reaction in an aqueous solution which contains a reducing agent, wherein no external power supply is needed.
  • electroless deposition requires the activation of a nonconductive surface, for example by providing a seed layer, in order to electrolessly deposit the metal.
  • Copper interconnect structures must therefore be encapsulated by diffusion barrier layers, such as tantalum, tantalum nitride, titanium nitride (TiN) or titanium tungsten (TiW).
  • diffusion barrier layers such as tantalum, tantalum nitride, titanium nitride (TiN) or titanium tungsten (TiW).
  • the addition of the diffusion barrier layer can increase the effective dielectric constant of the copper interconnect structure, which results in an increase in the resistance-capacitance (RC) delay which degrades the electrical performance of the device.
  • RC resistance-capacitance
  • an hermetic encapsulating layer is generally employed in order to provide corrosion resistance for the copper layer, such encapsulating materials may include silicon carbide (SiC) and silicon nitride (SiN). This encapsulating layer may also serve as an etch stop, which prevents over-etching of the copper layer during subsequent processing steps. However, this encapsulating layer can also increase the effective dielectric constant of the copper interconnect structure.
  • FIGS. 1 a - 1 f represent cross-sections of structures that may be formed when carrying out an embodiment of the method of the present invention.
  • FIG. 2 represents a cross-section of a structure that may be formed when carrying out an embodiment of the method of the present invention.
  • FIG. 3 represents a cross-section of a structure that may be formed when carrying out yet another embodiment of the method of the present invention.
  • FIG. 4 is a process flow diagram according to an embodiment of the present invention.
  • FIG. 5 is a cross-sectional illustration of a damascene interconnect structure, as is known in the art.
  • a method for making a copper interconnect structure comprises forming an opening in a dielectric layer disposed on a substrate, forming a barrier layer over the opening, forming a seed layer over the metal layer, and forming a copper-noble metal alloy layer by electroplating and/or electroless deposition on the seed layer, wherein the copper-noble metal alloy layer improves the electrical characteristics and reliability of the copper interconnect structure. Either an etch stop layer or a cladding layer may then be formed on the copper alloy layer.
  • a dielectric layer 104 is formed on a substrate 102 (FIG. 1 a ).
  • the substrate 102 may comprise materials such as silicon, silicon-on insulator, germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Although several examples of materials from which the substrate 102 may be formed are described here, any material that may serve as a foundation upon which a microelectronic device may be built falls within the spirit and scope of the present invention.
  • the dielectric layer 104 is formed on the substrate 102 .
  • the dielectric layer 104 may also be formed from a variety of materials, thicknesses or multiple layers of material.
  • the dielectric layer 104 may include silicon dioxide (preferred), organic materials or inorganic materials. Although a few examples of materials that may be used to form the dielectric layer 104 are described here, that layer may be made from other materials that serve to separate and insulate the different metal layers.
  • the dielectric layer 104 may be formed on the substrate 102 using a conventional deposition method, e.g., a chemical vapor deposition (“CVD”), a low pressure CVD (“LPCVD”), a physical vapor deposition (“PVD”), or an atomic layer deposition (“ALD”).
  • a CVD process is used.
  • a metal oxide precursor e.g., a metal chloride
  • steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between the substrate 102 and the dielectric layer 104 .
  • the CVD reactor should be operated long enough to form the dielectric layer 104 with the desired thickness. In most applications, the dielectric layer 104 is about one micron thick, and more preferably between about 6,000 angstroms and about 8,000 angstroms thick.
  • the dielectric layer 104 may have at least one opening 105 formed in it (FIG. 1 b ), which comprises at least one via 106 , and at least one trench 107 , which may be used to connect to other metal layers in the microelectronic device (not shown), according to the conventional damascene technique as is known by those skilled in the art. As such steps are well known in to those skilled in the art, they will not be described in more detail here.
  • barrier layer 108 is deposited onto the opening 105 (FIG. 1 c ).
  • barrier layer 108 may be formed from a variety of materials, thicknesses or multiple layers of material.
  • the barrier layer 108 may be deposited using conventional techniques such as PVD, ALD, conventional CVD, low pressure CVD or other such methods known to those skilled in the art.
  • the barrier layer can include any one of the following materials: tantalum, tungsten, titanium, ruthenium, molybdenum, and their alloys with nitrogen, silicon and carbon.
  • the barrier layer 108 can range from about 10 angstroms to about 500 angstroms. A thinner barrier layer 108 is preferred (between about 10 angstroms and 50 angstoms), as a thin barrier layer makes less of a contribution to the overall resistance of the copper interconnect structure.
  • a seed layer 110 may then be optionally formed on the barrier layer 108 (FIG. 1 d ), and may comprise copper exclusively, or its alloys with tin, indium, cadmium, aluminum, magnesium, or its alloys with noble metals such as silver, palladium, platinum, rhodium, ruthenium, gold, iridium and osmium, or the seed layer 110 may comprise noble metals exclusively.
  • the seed layer 110 may be formed from a variety of materials, thicknesses or multiple layers of material. In a currently preferred embodiment, the seed layer 110 is between about 10 angstroms and 2,000 angstroms thick, and comprises a copper-noble metal alloy.
  • the atomic percentage of noble metal in the seed layer 110 is preferably about ten percent or less, and is most preferably between about 0.1 and 4 atomic percent.
  • the seed layer 110 may be formed on the barrier layer 108 using a conventional deposition method, e.g., a conventional CVD, low pressure CVD, PVD, ALD, or other such methods known to those skilled in the art. Although a few examples of materials that may be used to form the seed layer 110 are described here, the seed layer 110 may be made from other materials that serve to activate the surface of the diffusion barrier layer in order to prepare it for the electroless deposition or the electroplating of copper.
  • the copper deposition process may be performed using a conventional copper electroplating process, which is well known in the art, in which a single or dual damascene structure is filled with copper by using a direct current (DC) electroplating process (see FIG. 4).
  • a surface (either the barrier layer 108 or the seed layer 110 ) is provided for the electroplating of copper 118 .
  • the surface is exposed to an electroplating solution 119 .
  • a copper alloy layer 112 is formed on the surface 120 .
  • the seed layer 110 may be consumed by the electroplating process, so that the seed layer 110 may become continuous with the copper alloy layer 112 , as depicted in FIG. If.
  • the electroplating of copper may be formed directly on the barrier layer, since the seed layer is optional, and thus the seed layer may not be present in an embodiment of the invention (see FIG. 1 f ).
  • the electroplating solution may comprise copper ions, sulfuric acid, chloride ions, additives (such as suppressors i.e. polyethylene glycol, and anti-supressors i.e. di-sulfide), noble metal ions, noble metals and complexing agents (such as thiosulfate and peroxodisulfate).
  • additives such as suppressors i.e. polyethylene glycol, and anti-supressors i.e. di-sulfide
  • noble metal ions such as thiosulfate and peroxodisulfate
  • noble metal ions such as thiosulfate and peroxodisulfate
  • complexing agents such as thiosulfate and peroxodisulfate
  • the deposition of copper may be performed using an electroless deposition process, which includes any autocatalytic (i.e. no external power supply is applied) deposition of a film through the interaction of a metal salt and a chemical reducing agent.
  • an electroless deposition process which includes any autocatalytic (i.e. no external power supply is applied) deposition of a film through the interaction of a metal salt and a chemical reducing agent.
  • preparing or treating a surface such as the barrier layer 108 , is necessary in order to produce an activated surface, i.e. a surface that is susceptible to the electroless deposition process.
  • Methods for providing the activation of a surface for electroless deposition may include contact displacement, in which the surface is dipped or sprayed with a copper containing contact displacement solution, or the utilization of a seed layer, such as the seed layer 110 .
  • the seed layer 110 may serve as the activated surface upon which the electroless deposition forms.
  • the seed layer 110 acts as a region which controls the placement of the deposited metal from the electroless deposition process, because the metal from the electroless deposition solution only deposits on the seed layer 110 .
  • the inherent selectivity of the electroless deposition method results in a higher quality metallization film because it improves the uniformity and continuity of the electrolessly deposited metal layer.
  • the activated surface (the seed layer 110 in the current embodiment of the present invention) for electroless deposition
  • the activated surface is exposed to the electroless deposition solution, by methods including immersing the activated surface in an electroless deposition solution or spraying the electroless deposition solution onto the activated surface.
  • a metal such as the copper alloy layer 112 of the present invention (see FIG. 1 e ), is electrolessly deposited on the activated surface.
  • the copper alloy layer 112 may comprise the following alloys: copper silver, copper palladium, copper platinum, copper rhodium, copper ruthenium, copper gold, copper iridium and copper osmium.
  • the percentage of noble metal in the alloy is about four percent atomic weight, most preferably between about 0.1 and 4 percent atomic weight.
  • the incorporation of the noble metals in the copper alloy layer 112 increases copper corrosion resistance since the copper alloy layer 112 is less prone to oxidize than pure copper due to the un-reactive nature of the noble metal.
  • the copper alloy layer 112 is also more electromigration resistant than pure copper because the low solubility of the noble metals facilitates the stuffing of the grain boundaries of the copper alloy layer 112 by the noble metals, as well as stuffing the interfaces the copper layer 112 makes with the barrier layer 108 and an etch stop layer 114 (which may be deposited in a later step, see FIG. 2). This prevents the occurrence of a major failure path (shorts, etc.) for electromigration, which would otherwise occur along the grain boundaries and interfaces.
  • the noble metal resistance to oxidation prevents failure paths through the cracked or porous copper oxide that may form on the top surface of copper alloy layer 112 , as well as at the barrier layer 108 dielectric layer 104 interface.
  • a method of forming a copper interconnect structure 113 has been disclosed (FIGS. 1 e and 1 f ).
  • etch stop layers 114 , 114 ′ may be formed above the copper alloy layers 112 , 112 ′ (FIG. 2).
  • the etch stop layers 114 , 114 ′ may comprise silicon carbide, silicon nitride, silicon carbon nitride, and other such materials as are known in the art.
  • the etch stop layers 114 , 114 ′ may be formed from a variety of materials, thicknesses or multiple layers of material.
  • the etch stop layers 114 , 114 ′ may be made from other materials that serve to stop the etching of copper alloy layer 112 during subsequent process steps, such as during subsequent lithographic, etching and cleaning processing steps. Since such processing steps are well known in the art, they will not be described in detail here.
  • the etch stop layers 114 , 114 ′ may be deposited using conventional techniques such as PVD, ALD, conventional CVD, low pressure CVD or other such methods known to those skilled in the art.
  • the etch stop layer 114 , 114 ′ can range from about 100 angstroms to about 1000 angstroms.
  • a thinner etch stop layer 114 , 114 ′ is preferred, as a thinner layer makes less of a contribution to the overall dielectric constant of the copper interconnect structure.
  • cladding layers 116 , 116 ′ can be electrolessly deposited over the copper alloy layers 112 , 112 ′ instead of the etch stop layers 114 , 114 ′ (FIG. 3).
  • the cladding layers 116 , 116 ′ may comprise noble metals or their alloys with refractory metals, for example silver tungsten, palladium tungsten.
  • cladding layers 116 , 116 ′ may comprise electrolessly deposited cobalt nickel alloys with refractory metals and/or their metalloids (i.e. boron or phosphorous).
  • the use of the cladding layers allows for the elimination of the etch stop layer altogether, since no etch stop function is needed due to the high corrosion resistance of the copper alloy layer 112 , 112 ′ and the cladding layers 116 , 116 ′.
  • the elimination of the etch stop layer reduces the effective dielectric constant of the copper alloy layer, which improves the electrical performance and speed of the transistor device.
  • the use of an electrolessly deposited noble metal-copper alloy metallization structure increases copper corrosion resistance and oxidation resistance, increases electromigration resistance, and decreases the effective dielectric constant of the copper interconnect structure.
  • the reliability and speed of the microelectronic device are greatly enhanced. It is understood that the present invention includes both single and dual damascene structures, as well as multilevel metallization structures.

Abstract

A method of forming a copper interconnect, comprising forming an opening in a dielectric layer disposed on a substrate, forming a barrier layer over the opening, forming a seed layer over the metal layer, and forming a copper-noble metal alloy layer by electroplating and/or electroless deposition on the seed layer. The copper-noble metal alloy improves the electrical characteristics and reliability of the copper interconnect.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the field of microelectronic device processing, and more particularly to a method of forming a copper interconnect structure utilizing electroplating and/or electroless techniques and structures formed thereby. [0001]
  • BACKGROUND OF THE INVENTION
  • Transistors, as is well known in the art, are the building blocks of all integrated circuits. Modern integrated circuits interconnect literally millions of densely configured transistors that perform a wide variety of functions. To achieve such a dramatic increase in the density of circuit components has required microelectronic manufacturers to scale down the physical dimensions of the circuit elements, as well as to utilize multiple levels of interconnection structures used to connect the circuit elements into functional circuitry. [0002]
  • One such interconnection process is known as the damascene process (FIG. 5), in which [0003] dielectric layers 202 and 202′ are deposited over a substrate 200. Vias 204, 204′ and trenches 206, 206′ are etched into the dielectric layers 202, 202′. Metal layers 208, 208′, such as copper or aluminum, is then formed over the vias 204, 204′ and trenches 206, 206′. This process can be repeated to achieve interconnection, through the trenches and vias, of multiple layers of metallization.
  • The utilization of copper metal in a damascene structure has many advantages, for example its lower electrical resistance as compared with previously used metals, such as aluminum. One technique for depositing copper in a damascene structure is by electroless deposition, which is attractive because of its lower cost and high quality of deposition. In electroless plating, metal deposition occurs by a chemical reduction reaction in an aqueous solution which contains a reducing agent, wherein no external power supply is needed. However, electroless deposition requires the activation of a nonconductive surface, for example by providing a seed layer, in order to electrolessly deposit the metal. [0004]
  • However, there are problems associated with the use of copper as an interconnect metal in a damascene structure. One such problem is that copper diffuses or drifts easily into the [0005] dielectric layers 202, 202′ (referring again to FIG. 5), thus forming shorts between adjacent circuit elements. Copper interconnect structures must therefore be encapsulated by diffusion barrier layers, such as tantalum, tantalum nitride, titanium nitride (TiN) or titanium tungsten (TiW). Unfortunately, the addition of the diffusion barrier layer can increase the effective dielectric constant of the copper interconnect structure, which results in an increase in the resistance-capacitance (RC) delay which degrades the electrical performance of the device.
  • Another problem associated with copper metallization is that copper is readily oxidized, especially during subsequent processing steps. The oxidized copper degrades the electrical and mechanical properties of the copper interconnect. Accordingly, an hermetic encapsulating layer is generally employed in order to provide corrosion resistance for the copper layer, such encapsulating materials may include silicon carbide (SiC) and silicon nitride (SiN). This encapsulating layer may also serve as an etch stop, which prevents over-etching of the copper layer during subsequent processing steps. However, this encapsulating layer can also increase the effective dielectric constant of the copper interconnect structure. [0006]
  • Yet another problem encountered with copper metallization is the electromigration of copper atoms at high current densities, which can result in voids in the metal interconnect structure. One method of reducing the amount of electromigration is to alloy the copper metal with aluminum, tin, indium or silicon; however, this may increase the copper resistance significantly. [0007]
  • Accordingly, there is a need for an improved copper interconnect fabrication process and structure that increases copper corrosion resistance and/or oxidation resistance, increases electromigration resistance, and/or decreases the effective dielectric constant of the copper interconnect structure.[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • While the specification concludes with claims particularly pointing out and distinctly claiming that which is regarded as the present invention, the advantages of this invention can be more readily ascertained from the following description of the invention when read in conjunction with the accompanying drawings in which: [0009]
  • FIGS. 1[0010] a-1 f represent cross-sections of structures that may be formed when carrying out an embodiment of the method of the present invention.
  • FIG. 2 represents a cross-section of a structure that may be formed when carrying out an embodiment of the method of the present invention. [0011]
  • FIG. 3 represents a cross-section of a structure that may be formed when carrying out yet another embodiment of the method of the present invention. [0012]
  • FIG. 4 is a process flow diagram according to an embodiment of the present invention. [0013]
  • FIG. 5 is a cross-sectional illustration of a damascene interconnect structure, as is known in the art.[0014]
  • DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • In the following detailed description, reference is made to the accompanying drawings that show, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. It is to be understood that the various embodiments of the invention, although different, are not necessarily mutually exclusive. For example, a particular feature, structure, or characteristic described herein, in connection with one embodiment, may be implemented within other embodiments without departing from the spirit and scope of the invention. In addition, it is to be understood that the location or arrangement of individual elements within each disclosed embodiment may be modified without departing from the spirit and scope of the invention. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, appropriately interpreted, along with the full range of equivalents to which the claims are entitled. In the drawings, like numerals refer to the same or similar functionality throughout the several views. [0015]
  • A method for making a copper interconnect structure is described. That method comprises forming an opening in a dielectric layer disposed on a substrate, forming a barrier layer over the opening, forming a seed layer over the metal layer, and forming a copper-noble metal alloy layer by electroplating and/or electroless deposition on the seed layer, wherein the copper-noble metal alloy layer improves the electrical characteristics and reliability of the copper interconnect structure. Either an etch stop layer or a cladding layer may then be formed on the copper alloy layer. [0016]
  • In an embodiment of the method of the present invention, as illustrated by FIGS. 1[0017] a-1 f, a dielectric layer 104 is formed on a substrate 102 (FIG. 1a). The substrate 102 may comprise materials such as silicon, silicon-on insulator, germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Although several examples of materials from which the substrate 102 may be formed are described here, any material that may serve as a foundation upon which a microelectronic device may be built falls within the spirit and scope of the present invention.
  • The [0018] dielectric layer 104 is formed on the substrate 102. Those skilled in the art will appreciate that the dielectric layer 104 may also be formed from a variety of materials, thicknesses or multiple layers of material. By way of illustration and not limitation, the dielectric layer 104 may include silicon dioxide (preferred), organic materials or inorganic materials. Although a few examples of materials that may be used to form the dielectric layer 104 are described here, that layer may be made from other materials that serve to separate and insulate the different metal layers.
  • The [0019] dielectric layer 104 may be formed on the substrate 102 using a conventional deposition method, e.g., a chemical vapor deposition (“CVD”), a low pressure CVD (“LPCVD”), a physical vapor deposition (“PVD”), or an atomic layer deposition (“ALD”). Preferably, a CVD process is used. In such a process, a metal oxide precursor (e.g., a metal chloride) and steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between the substrate 102 and the dielectric layer 104. The CVD reactor should be operated long enough to form the dielectric layer 104 with the desired thickness. In most applications, the dielectric layer 104 is about one micron thick, and more preferably between about 6,000 angstroms and about 8,000 angstroms thick.
  • The [0020] dielectric layer 104 may have at least one opening 105 formed in it (FIG. 1b), which comprises at least one via 106, and at least one trench 107, which may be used to connect to other metal layers in the microelectronic device (not shown), according to the conventional damascene technique as is known by those skilled in the art. As such steps are well known in to those skilled in the art, they will not be described in more detail here.
  • Following the formation of the [0021] opening 105, a barrier layer 108 is deposited onto the opening 105 (FIG. 1c). Those skilled in the art will appreciate that barrier layer 108 may be formed from a variety of materials, thicknesses or multiple layers of material. By way of illustration and not limitation, the barrier layer 108 may be deposited using conventional techniques such as PVD, ALD, conventional CVD, low pressure CVD or other such methods known to those skilled in the art. In a currently preferred embodiment, the barrier layer can include any one of the following materials: tantalum, tungsten, titanium, ruthenium, molybdenum, and their alloys with nitrogen, silicon and carbon. Although a few examples of materials that may be used to form the barrier layer 108 are described here, that layer may be made from other materials that serve to prevent the diffusion of a metal across the barrier layer 108. The barrier layer 108 can range from about 10 angstroms to about 500 angstroms. A thinner barrier layer 108 is preferred (between about 10 angstroms and 50 angstoms), as a thin barrier layer makes less of a contribution to the overall resistance of the copper interconnect structure.
  • A [0022] seed layer 110 may then be optionally formed on the barrier layer 108 (FIG. 1d), and may comprise copper exclusively, or its alloys with tin, indium, cadmium, aluminum, magnesium, or its alloys with noble metals such as silver, palladium, platinum, rhodium, ruthenium, gold, iridium and osmium, or the seed layer 110 may comprise noble metals exclusively. Those skilled in the art will appreciate that the seed layer 110 may be formed from a variety of materials, thicknesses or multiple layers of material. In a currently preferred embodiment, the seed layer 110 is between about 10 angstroms and 2,000 angstroms thick, and comprises a copper-noble metal alloy. The atomic percentage of noble metal in the seed layer 110 is preferably about ten percent or less, and is most preferably between about 0.1 and 4 atomic percent. The seed layer 110 may be formed on the barrier layer 108 using a conventional deposition method, e.g., a conventional CVD, low pressure CVD, PVD, ALD, or other such methods known to those skilled in the art. Although a few examples of materials that may be used to form the seed layer 110 are described here, the seed layer 110 may be made from other materials that serve to activate the surface of the diffusion barrier layer in order to prepare it for the electroless deposition or the electroplating of copper.
  • In a preferred embodiment, the copper deposition process may be performed using a conventional copper electroplating process, which is well known in the art, in which a single or dual damascene structure is filled with copper by using a direct current (DC) electroplating process (see FIG. 4). First, a surface (either the [0023] barrier layer 108 or the seed layer 110) is provided for the electroplating of copper 118. Next, the surface is exposed to an electroplating solution 119. Then, a copper alloy layer 112 is formed on the surface 120. In addition, it is well known in the art that if the surface is the seed layer 110, the seed layer 110, may be consumed by the electroplating process, so that the seed layer 110 may become continuous with the copper alloy layer 112, as depicted in FIG. If. In addition, it is to be understood that the electroplating of copper may be formed directly on the barrier layer, since the seed layer is optional, and thus the seed layer may not be present in an embodiment of the invention (see FIG. 1f).
  • In a currently preferred embodiment, the electroplating solution may comprise copper ions, sulfuric acid, chloride ions, additives (such as suppressors i.e. polyethylene glycol, and anti-supressors i.e. di-sulfide), noble metal ions, noble metals and complexing agents (such as thiosulfate and peroxodisulfate). Although a few examples of materials that may comprise the electroplating solution are described here, that solution may comprise other materials that serve to deposit noble metal alloys of copper onto a surface, such as the [0024] barrier layer 108 or the seed layer 110 (FIG. 1e and 1 f).
  • Alternatively, the deposition of copper may be performed using an electroless deposition process, which includes any autocatalytic (i.e. no external power supply is applied) deposition of a film through the interaction of a metal salt and a chemical reducing agent. First, as is known in the art, preparing or treating a surface, such as the [0025] barrier layer 108, is necessary in order to produce an activated surface, i.e. a surface that is susceptible to the electroless deposition process. Methods for providing the activation of a surface for electroless deposition may include contact displacement, in which the surface is dipped or sprayed with a copper containing contact displacement solution, or the utilization of a seed layer, such as the seed layer 110. During the electroless deposition, the seed layer 110 (see FIG. 1c) may serve as the activated surface upon which the electroless deposition forms. The seed layer 110 acts as a region which controls the placement of the deposited metal from the electroless deposition process, because the metal from the electroless deposition solution only deposits on the seed layer 110. The inherent selectivity of the electroless deposition method results in a higher quality metallization film because it improves the uniformity and continuity of the electrolessly deposited metal layer.
  • Next, after the activated surface (the [0026] seed layer 110 in the current embodiment of the present invention) for electroless deposition has been provided, the activated surface is exposed to the electroless deposition solution, by methods including immersing the activated surface in an electroless deposition solution or spraying the electroless deposition solution onto the activated surface. Finally, a metal, such as the copper alloy layer 112 of the present invention (see FIG. 1e), is electrolessly deposited on the activated surface.
  • The [0027] copper alloy layer 112 may comprise the following alloys: copper silver, copper palladium, copper platinum, copper rhodium, copper ruthenium, copper gold, copper iridium and copper osmium. The percentage of noble metal in the alloy is about four percent atomic weight, most preferably between about 0.1 and 4 percent atomic weight. The incorporation of the noble metals in the copper alloy layer 112 increases copper corrosion resistance since the copper alloy layer 112 is less prone to oxidize than pure copper due to the un-reactive nature of the noble metal. The copper alloy layer 112 is also more electromigration resistant than pure copper because the low solubility of the noble metals facilitates the stuffing of the grain boundaries of the copper alloy layer 112 by the noble metals, as well as stuffing the interfaces the copper layer 112 makes with the barrier layer 108 and an etch stop layer 114 (which may be deposited in a later step, see FIG. 2). This prevents the occurrence of a major failure path (shorts, etc.) for electromigration, which would otherwise occur along the grain boundaries and interfaces. In addition, the noble metal resistance to oxidation prevents failure paths through the cracked or porous copper oxide that may form on the top surface of copper alloy layer 112, as well as at the barrier layer 108 dielectric layer 104 interface. Thus, a method of forming a copper interconnect structure 113 has been disclosed (FIGS. 1e and 1 f).
  • It is to be appreciated that multiple layers of metallization may be deposited on top of the [0028] copper interconnect structure 113, according to the method of the present invention, as shown in FIGS. 2 and 3. After the copper alloy layers 112, 112′ are formed as previously described herein, etch stop layers 114, 114′ may be formed above the copper alloy layers 112, 112′ (FIG. 2). The etch stop layers 114, 114′ may comprise silicon carbide, silicon nitride, silicon carbon nitride, and other such materials as are known in the art. Those skilled in the art will appreciate that the etch stop layers 114, 114′ may be formed from a variety of materials, thicknesses or multiple layers of material. Although a few examples of materials that may be used to form the etch stop layers 114, 114′ are described here, that layer may be made from other materials that serve to stop the etching of copper alloy layer 112 during subsequent process steps, such as during subsequent lithographic, etching and cleaning processing steps. Since such processing steps are well known in the art, they will not be described in detail here. By way of illustration and not limitation, the etch stop layers 114, 114′ may be deposited using conventional techniques such as PVD, ALD, conventional CVD, low pressure CVD or other such methods known to those skilled in the art. The etch stop layer 114, 114′ can range from about 100 angstroms to about 1000 angstroms. A thinner etch stop layer 114, 114′ is preferred, as a thinner layer makes less of a contribution to the overall dielectric constant of the copper interconnect structure.
  • In another embodiment, cladding layers [0029] 116, 116′ can be electrolessly deposited over the copper alloy layers 112, 112′ instead of the etch stop layers 114, 114′ (FIG. 3). The cladding layers 116, 116′ may comprise noble metals or their alloys with refractory metals, for example silver tungsten, palladium tungsten. In addition, cladding layers 116, 116′ may comprise electrolessly deposited cobalt nickel alloys with refractory metals and/or their metalloids (i.e. boron or phosphorous). The use of the cladding layers allows for the elimination of the etch stop layer altogether, since no etch stop function is needed due to the high corrosion resistance of the copper alloy layer 112, 112′ and the cladding layers 116, 116′. The elimination of the etch stop layer reduces the effective dielectric constant of the copper alloy layer, which improves the electrical performance and speed of the transistor device.
  • As described above, the use of an electrolessly deposited noble metal-copper alloy metallization structure increases copper corrosion resistance and oxidation resistance, increases electromigration resistance, and decreases the effective dielectric constant of the copper interconnect structure. Thus the reliability and speed of the microelectronic device are greatly enhanced. It is understood that the present invention includes both single and dual damascene structures, as well as multilevel metallization structures. [0030]
  • Although the foregoing description has specified certain steps and materials that may be used in the method of the present invention, those skilled in the art will appreciate that many modifications and substitutions may be made. Accordingly, it is intended that all such modifications, alterations, substitutions and additions be considered to fall within the spirit and scope of the invention as defined by the appended claims. In addition, it is appreciated that the fabrication of a multiple metal layer structure atop a substrate, such as a silicon substrate, to manufacture a silicon device is well known in the art. Therefore, it is appreciated that the Figures provided herein illustrate only portions of an exemplary microelectronic device that pertains to the practice of the present invention. Thus the present invention is not limited to the structures described herein. [0031]

Claims (29)

What is claimed is:
1. A method of plating copper, comprising:
plating a copper alloy layer on a surface by electroplating, wherein the copper alloy layer substantially comprises copper and a noble metal.
2. The method of claim 1 wherein the copper alloy layer is formed by electroplating.
3. The method of claim 1 wherein the copper alloy layer is formed by electroless deposition.
4. The method of claim 1 wherein the surface comprises either a seed layer or a barrier layer.
5. The method of claim 2 wherein the seed layer comprises less than about 10% atomic weight of the noble metal.
6. The method of claim 1 wherein the noble metal comprises less than about 4% of the atomic weight of the copper alloy layer.
7. The method of claim 1, wherein the noble metal substantially comprises a material selected from the group consisting of silver, palladium, platinum, rhodium, ruthenium, gold, iridium, osmium, and combinations thereof.
8. A method of forming a copper interconnect, comprising:
forming an opening in a dielectric layer disposed on a substrate;
forming a barrier layer over the opening;
forming a seed layer over the barrier layer; and
forming a copper alloy layer on the seed layer, wherein the copper alloy comprises copper and a noble metal.
9. The method of claim 8 wherein the copper alloy layer is formed by electroplating.
10. The method of claim 8 wherein the copper alloy layer is formed by electroless depostion.
11. The method of claim 8 wherein the noble metal comprises less than about 4% of the atomic weight of the copper alloy layer.
12. The method of claim 8 wherein the seed layer comprises less than about 10% atomic weight of noble metals.
13. The method of claim 8 wherein the noble metal substantially comprises a material selected from the group consisting of silver, palladium, platinum, rhodium, ruthenium, gold, iridium and osmium and combinations thereof.
14. The method of claim 8 wherein the seed layer substantially comprises a material selected from the group consisting of copper, tin, aluminum, magnesium, silver, palladium, platinum, rhodium, ruthenium, gold, iridium, osmium and combinations thereof.
15. The method of claim 8 wherein the opening in the dielectric layer is a damascene structure.
16. The method of claim 8 wherein the barrier layer substantially comprises a material selected from the group consisting of tantalum, tungsten, titanium, ruthenium, tantalum nitride, tungsten nitride, titanium nitride, ruthenium nitride, tantalum silicide, tungsten silicide, titanium silicide, ruthenium silicide, tantalum carbide, tungsten carbide, titanium carbide, ruthenium carbide, and combinations thereof.
17. The method of claim 8 further including forming an etch stop layer.
18. The method of claim 17 wherein the etch stop layer substantially comprises a material selected from the group consisting of silicon carbide, silicon nitride, and combinations thereof.
19. The method of claim 18 wherein the etch stop layer is formed by chemical vapor deposition and is less than about 1000 angstroms thick.
20. The method of claim 8 further including forming a cladding layer.
21. The method of claim 20 wherein the cladding layer substantially comprises a material selected from the group consisting of silver, palladium, platinum, rhodium, ruthenium, gold, iridium, osmium, tungsten and combinations thereof.
22. The method of claim 21 wherein the cladding layer is formed by electroless deposition.
23. The method of claim 22, wherein the cladding layer substantially comprises a material selected from the group consisting of cobalt, nickel, tungsten, titanium, tantalum, molybdenum, zirconium, rhenium, boron, phosphorus, and combinations thereof.
24. A copper interconnect, comprising:
a dielectric layer having an opening;
a barrier layer on the opening; and
a copper alloy layer on the barrier layer wherein the copper alloy layer substantially comprises copper and a noble metal.
25. The method of claim 24 wherein the noble metal comprises less than about 4% of the atomic weight of the copper alloy layer.
26. The copper interconnect of claim 25, further including forming a cladding layer on the copper alloy layer.
27. The copper interconnect of claim 26 wherein the cladding layer substantially comprises a material selected from the group consisting of silver, palladium, platinum, rhodium, ruthenium, gold, iridium, osmium, tungsten and combinations thereof.
28. The copper interconnect of claim 24, further including forming an etch stop layer on the copper alloy layer.
29. The copper interconnect of claim 28 wherein the etch stop layer substantially comprises a material selected from the group consisting of silicon carbide, silicon nitride, and combinations thereof.
US10/313,760 2002-12-05 2002-12-05 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby Abandoned US20040108217A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/313,760 US20040108217A1 (en) 2002-12-05 2002-12-05 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
TW092130835A TWI255873B (en) 2002-12-05 2003-11-04 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
PCT/US2003/036064 WO2004053202A1 (en) 2002-12-05 2003-11-06 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
EP03781909A EP1567695A1 (en) 2002-12-05 2003-11-06 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
CN200380105242.7A CN1720354A (en) 2002-12-05 2003-11-06 Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby
AU2003287704A AU2003287704A1 (en) 2002-12-05 2003-11-06 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US11/152,269 US20050230263A1 (en) 2002-12-05 2005-06-13 Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/313,760 US20040108217A1 (en) 2002-12-05 2002-12-05 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/152,269 Division US20050230263A1 (en) 2002-12-05 2005-06-13 Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby

Publications (1)

Publication Number Publication Date
US20040108217A1 true US20040108217A1 (en) 2004-06-10

Family

ID=32468337

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/313,760 Abandoned US20040108217A1 (en) 2002-12-05 2002-12-05 Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US11/152,269 Abandoned US20050230263A1 (en) 2002-12-05 2005-06-13 Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/152,269 Abandoned US20050230263A1 (en) 2002-12-05 2005-06-13 Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby

Country Status (6)

Country Link
US (2) US20040108217A1 (en)
EP (1) EP1567695A1 (en)
CN (1) CN1720354A (en)
AU (1) AU2003287704A1 (en)
TW (1) TWI255873B (en)
WO (1) WO2004053202A1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040262764A1 (en) * 2003-06-23 2004-12-30 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20060063375A1 (en) * 2004-09-20 2006-03-23 Lsi Logic Corporation Integrated barrier and seed layer for copper interconnect technology
US20060153973A1 (en) * 2002-06-04 2006-07-13 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20060157857A1 (en) * 2004-07-09 2006-07-20 International Business Machines Corporation Metal capped copper interconnect
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US20060254503A1 (en) * 2005-05-13 2006-11-16 Cambrios Technologies Corporation Seed layers, cap layers, and thin films and methods of making thereof
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20070072415A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
WO2007081434A1 (en) * 2006-01-07 2007-07-19 Aviza Technology, Inc. Apparatus and method for the deposition of ruthenium containing films
US7300860B2 (en) 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20080164613A1 (en) * 2007-01-10 2008-07-10 International Business Machines Corporation ULTRA-THIN Cu ALLOY SEED FOR INTERCONNECT APPLICATION
US20080274279A1 (en) * 2002-06-04 2008-11-06 Mei Chang Noble metal layer formation for copper film deposition
US20100038784A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US20100059893A1 (en) * 2007-12-18 2010-03-11 Hui-Lin Chang Synergy Effect of Alloying Materials in Interconnect Structures
US20100164108A1 (en) * 2006-06-30 2010-07-01 Johnston Steven W Integrating a bottomless via to promote adsorption of antisuppressor on exposed copper surface and enhance electroplating superfill on noble metals
US20130207267A1 (en) * 2012-02-13 2013-08-15 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
US9281235B2 (en) 2011-07-18 2016-03-08 Samsung Electronics Co., Ltd. Semiconductor packages and methods of forming the same
US20180350765A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure with conductive line and method for forming the same
US10304735B2 (en) * 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
US10406774B2 (en) 2016-10-17 2019-09-10 U.S. Department Of Energy Diffusion bonding of silicon carbide using iridium and hermetic silicon carbide-iridium bonds
US10580696B1 (en) 2018-08-21 2020-03-03 Globalfoundries Inc. Interconnects formed by a metal displacement reaction

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100702797B1 (en) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 Method of fabricating the copper interconnection layer in semiconductor device
US7598163B2 (en) * 2007-02-15 2009-10-06 John Callahan Post-seed deposition process
US20090038947A1 (en) * 2007-08-07 2009-02-12 Emat Technology, Llc. Electroplating aqueous solution and method of making and using same
US7905994B2 (en) 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
CN102044427B (en) * 2009-10-09 2012-06-06 中芯国际集成电路制造(上海)有限公司 Forming method of copper interconnecting wire and electroplating device
JP5436259B2 (en) * 2010-02-16 2014-03-05 日本特殊陶業株式会社 Multilayer wiring board manufacturing method and multilayer wiring board
JP5996244B2 (en) * 2011-04-19 2016-09-21 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Copper plating on semiconductors
US9165895B2 (en) * 2011-11-07 2015-10-20 Infineon Technologies Ag Method for separating a plurality of dies and a processing device for separating a plurality of dies
US20140008234A1 (en) * 2012-07-09 2014-01-09 Rohm And Haas Electronic Materials Llc Method of metal plating semiconductors
US8749060B2 (en) * 2012-09-21 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
AU2017374799B2 (en) * 2016-12-16 2023-06-22 Haldor Topsøe A/S Deposition of a coating on an interconnect for solid oxide cell stacks
TWI647342B (en) * 2017-08-03 2019-01-11 國家中山科學研究院 Copper-silver two-component metal plating liquid for semiconductor wires and plating method

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5549808A (en) * 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5616422A (en) * 1994-02-28 1997-04-01 International Business Machines Corporation Metallized substrate
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6326305B1 (en) * 2000-12-05 2001-12-04 Advanced Micro Devices, Inc. Ceria removal in chemical-mechanical polishing of integrated circuits
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US20020050459A1 (en) * 2000-11-02 2002-05-02 Kabushiki Kaisha Toshiba Electronic device manufacturing method
US20020084529A1 (en) * 2000-12-28 2002-07-04 Dubin Valery M. Interconnect structures and a method of electroless introduction of interconnect structures
US6503828B1 (en) * 2001-06-14 2003-01-07 Lsi Logic Corporation Process for selective polishing of metal-filled trenches of integrated circuit structures
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3040745B2 (en) * 1998-01-12 2000-05-15 松下電子工業株式会社 Semiconductor device and manufacturing method thereof
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6455409B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
JP2003293193A (en) * 2002-04-02 2003-10-15 Nec Electronics Corp Method for forming fine circuit wiring and apparatus used for the same
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5616422A (en) * 1994-02-28 1997-04-01 International Business Machines Corporation Metallized substrate
US5549808A (en) * 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
US20020050459A1 (en) * 2000-11-02 2002-05-02 Kabushiki Kaisha Toshiba Electronic device manufacturing method
US6326305B1 (en) * 2000-12-05 2001-12-04 Advanced Micro Devices, Inc. Ceria removal in chemical-mechanical polishing of integrated circuits
US20020084529A1 (en) * 2000-12-28 2002-07-04 Dubin Valery M. Interconnect structures and a method of electroless introduction of interconnect structures
US6977224B2 (en) * 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6503828B1 (en) * 2001-06-14 2003-01-07 Lsi Logic Corporation Process for selective polishing of metal-filled trenches of integrated circuit structures
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241321A1 (en) * 2002-06-04 2004-12-02 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20060153973A1 (en) * 2002-06-04 2006-07-13 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20080274279A1 (en) * 2002-06-04 2008-11-06 Mei Chang Noble metal layer formation for copper film deposition
US7658970B2 (en) 2002-06-04 2010-02-09 Mei Chang Noble metal layer formation for copper film deposition
US20050245068A1 (en) * 2003-06-23 2005-11-03 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US6958540B2 (en) * 2003-06-23 2005-10-25 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US20040262764A1 (en) * 2003-06-23 2004-12-30 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US7704876B2 (en) 2003-06-23 2010-04-27 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US20080026566A1 (en) * 2003-06-23 2008-01-31 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US7300867B2 (en) 2003-06-23 2007-11-27 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US20090120799A1 (en) * 2003-07-08 2009-05-14 Zhi-Wen Sun Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7300860B2 (en) 2004-03-30 2007-11-27 Intel Corporation Integrated circuit with metal layer having carbon nanotubes and methods of making same
US20060157857A1 (en) * 2004-07-09 2006-07-20 International Business Machines Corporation Metal capped copper interconnect
US7495338B2 (en) * 2004-07-09 2009-02-24 International Business Machines Corporation Metal capped copper interconnect
US7300869B2 (en) * 2004-09-20 2007-11-27 Lsi Corporation Integrated barrier and seed layer for copper interconnect technology
US20060063375A1 (en) * 2004-09-20 2006-03-23 Lsi Logic Corporation Integrated barrier and seed layer for copper interconnect technology
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
WO2006121604A2 (en) * 2005-05-05 2006-11-16 Applied Materials, Inc. Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
JP2008541428A (en) * 2005-05-05 2008-11-20 アプライド マテリアルズ インコーポレイテッド Conductive barrier layers, especially ruthenium and tantalum alloys and their sputter deposition
WO2006121604A3 (en) * 2005-05-05 2007-09-13 Applied Materials Inc Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7695981B2 (en) * 2005-05-13 2010-04-13 Siluria Technologies, Inc. Seed layers, cap layers, and thin films and methods of making thereof
US20060254503A1 (en) * 2005-05-13 2006-11-16 Cambrios Technologies Corporation Seed layers, cap layers, and thin films and methods of making thereof
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US20070072415A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
WO2007081434A1 (en) * 2006-01-07 2007-07-19 Aviza Technology, Inc. Apparatus and method for the deposition of ruthenium containing films
US20100164108A1 (en) * 2006-06-30 2010-07-01 Johnston Steven W Integrating a bottomless via to promote adsorption of antisuppressor on exposed copper surface and enhance electroplating superfill on noble metals
WO2008027186A3 (en) * 2006-08-29 2008-10-16 Applied Materials Inc Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
WO2008027186A2 (en) * 2006-08-29 2008-03-06 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US20080164613A1 (en) * 2007-01-10 2008-07-10 International Business Machines Corporation ULTRA-THIN Cu ALLOY SEED FOR INTERCONNECT APPLICATION
US20100059893A1 (en) * 2007-12-18 2010-03-11 Hui-Lin Chang Synergy Effect of Alloying Materials in Interconnect Structures
US8264046B2 (en) 2007-12-18 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Synergy effect of alloying materials in interconnect structures
US20100038784A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US9281235B2 (en) 2011-07-18 2016-03-08 Samsung Electronics Co., Ltd. Semiconductor packages and methods of forming the same
US20130207267A1 (en) * 2012-02-13 2013-08-15 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
US10406774B2 (en) 2016-10-17 2019-09-10 U.S. Department Of Energy Diffusion bonding of silicon carbide using iridium and hermetic silicon carbide-iridium bonds
US20180350765A1 (en) * 2017-05-31 2018-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure with conductive line and method for forming the same
US10515923B2 (en) * 2017-05-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor package structure with twinned copper layer
US11114405B2 (en) 2017-05-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure with twinned copper
US10304735B2 (en) * 2017-06-22 2019-05-28 Globalfoundries Inc. Mechanically stable cobalt contacts
US10699949B2 (en) 2017-06-22 2020-06-30 Globalfoundries Inc. Mechanically stable cobalt contacts
US10580696B1 (en) 2018-08-21 2020-03-03 Globalfoundries Inc. Interconnects formed by a metal displacement reaction

Also Published As

Publication number Publication date
TWI255873B (en) 2006-06-01
CN1720354A (en) 2006-01-11
AU2003287704A1 (en) 2004-06-30
WO2004053202A1 (en) 2004-06-24
US20050230263A1 (en) 2005-10-20
EP1567695A1 (en) 2005-08-31
TW200422440A (en) 2004-11-01

Similar Documents

Publication Publication Date Title
US20050230263A1 (en) Methods for forming interconnect structures by co-plating of noble metals and structures formed thereby
US5969422A (en) Plated copper interconnect structure
US6249055B1 (en) Self-encapsulated copper metallization
US7694413B2 (en) Method of making a bottomless via
US5913147A (en) Method for fabricating copper-aluminum metallization
TWI406361B (en) Structure and method for creating reliable via contacts for interconnect applications
US6958547B2 (en) Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs
US6022808A (en) Copper interconnect methodology for enhanced electromigration resistance
EP1346408B1 (en) Method of electroless introduction of interconnect structures
US20060163739A1 (en) Semiconductor device and method for production thereof
US20040026786A1 (en) Semiconductor device using an interconnect
CN100517621C (en) Interconnect structures with encasing cap and methods of making thereof
US20050014359A1 (en) Semiconductor device manufacturing method
JP2000183160A (en) Manufacture of semiconductor device
JP2010505239A (en) Metal layer on insulator patterned by wet chemical deposition including electroless phase and current supply phase
US20040028882A1 (en) Structure comprising an interlayer of palladium and/or platinum and method for fabrication thereof
US20020092673A1 (en) Tungsten encapsulated copper interconnections using electroplating
US20060068181A1 (en) Deep via seed repair using electroless plating chemistry
JP2000156406A (en) Semiconductor device and its manufacture
US6875260B2 (en) Copper activator solution and method for semiconductor seed layer enhancement
KR100451767B1 (en) Method for forming interconnect structures of semiconductor device
JP2007027177A (en) Method of manufacturing semiconductor device
KR100451766B1 (en) Method for forming interconnect structures of semiconductor device
KR100720400B1 (en) Method for forming interconnect structures of semiconductor device
JP2008118063A (en) Semiconductor device, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DUBIN, VALERY M.;REEL/FRAME:013670/0241

Effective date: 20030108

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION