US20040115936A1 - Remote ICP torch for semiconductor processing - Google Patents

Remote ICP torch for semiconductor processing Download PDF

Info

Publication number
US20040115936A1
US20040115936A1 US10/404,216 US40421603A US2004115936A1 US 20040115936 A1 US20040115936 A1 US 20040115936A1 US 40421603 A US40421603 A US 40421603A US 2004115936 A1 US2004115936 A1 US 2004115936A1
Authority
US
United States
Prior art keywords
chamber
tube
coil
inlet
precursor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/404,216
Inventor
Al DePetrillo
Craig Heden
Mickey McGuire
Ronny Bar-Gadda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/404,216 priority Critical patent/US20040115936A1/en
Publication of US20040115936A1 publication Critical patent/US20040115936A1/en
Priority to US11/193,819 priority patent/US20060124588A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B17/00Sulfur; Compounds thereof
    • C01B17/69Sulfur trioxide; Sulfuric acid
    • C01B17/74Preparation
    • C01B17/76Preparation by contact processes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/20Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
    • C01B21/24Nitric oxide (NO)
    • C01B21/26Preparation by catalytic or non-catalytic oxidation of ammonia
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/20Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
    • C01B21/24Nitric oxide (NO)
    • C01B21/30Preparation by oxidation of nitrogen
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/01Chlorine; Hydrogen chloride
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/19Fluorine; Hydrogen fluoride
    • C01B7/191Hydrogen fluoride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Definitions

  • This invention pertains generally to the fabrication of semiconductor devices and, more particularly, to a method and apparatus for generating important chemical species in the deposition, etching, cleaning, and growth of various materials and layers.
  • Another object of the invention is to provide a chemical generator and method of the above character which are particularly suitable for generating chemical species for use in the fabrication of semiconductor devices.
  • a chemical generator and method for generating a chemical species at or near a point of use such as the chamber of a reactor in which a workpiece such as a semiconductor wafer is to be processed.
  • the species is generated by creating free radicals, and combining the free radicals, alone or with other materials, to form the chemical species.
  • FIG. 1 is a diagrammatic view of a chemical generator incorporating aspects of-the invention.
  • FIG. 2 is a cross-sectional view taken along line 2 - 2 of FIG. 1.
  • FIG. 3 is a diagrammatic view of another version of the chemical generator incorporating aspects of the invention.
  • FIG. 4 is a diagrammatic view of a remote ICP torch incorporating aspects of the invention.
  • a chemical generator includes a free radical source 11 which has one or more chambers in which free radicals are created and delivered for recombination into stable species.
  • the source has three chambers which are formed by elongated, concentric tubes 12 - 14 .
  • Those chambers include a first annular chamber 16 between the outermost tube 12 and the middle tube 13 , a second annular chamber 17 between middle tube 13 and the innermost tube 14 , and a third chamber 18 inside the innermost tube 14 .
  • the tubes are fabricated of a material such as ceramic or quartz.
  • the number of tubes which are required in the generator is dependent upon the chemical species being generated and the reaction by which it is formed, with a separate chamber usually, but not necessarily, being provided for each type of free radical to be used in the process.
  • Gases or other precursor compounds from which the free radicals are formed are introduced into the chambers from sources 21 - 23 or by other suitable means.
  • Such precursors can be in gaseous, liquid and/or solid form, or a combination thereof.
  • a separate chamber may be used for providing each type of free radicals
  • gases or other precursor compounds from which the more than one type of free radicals are formed are introduced into the single chamber from corresponding sources.
  • a plasma is formed within the one or more chambers to create the free radicals, and in the embodiment illustrated, the means for generating the plasma includes an induction coil 26 disposed concentrically about the one or more tubes, a radio frequency (RF) power generator 27 connected to the coil by a matching network 28 , and a Tesla coil 29 for striking an arc to ignite the plasma.
  • RF radio frequency
  • the plasma can, however, be formed by any other suitable means such as RF electrodes or microwaves.
  • the free radicals are recombined to form the desired species downstream of the tubes.
  • recombination takes place in a chamber 31 which is part of a reactor 32 in which a semiconductor wafer 33 is being processed.
  • Recombination can be promoted by any suitable means such as by cooling 36 and/or by the use of a catalyst 37 .
  • Cooling can be effected in a number of ways, including the circulation of a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water through tubes or other suitable means in heat exchange relationship with the reacting gases.
  • a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water
  • tubes or other suitable means in heat exchange relationship with the reacting gases.
  • a catalyst can be placed either in the cooling zone or downstream of it. It can, for example, be in the form of a thin film deposited on the wall of a chamber or tube through which the reacting gases pass, a gauze placed in the stream of gas, or a packed bed. The important thing is that the catalyst is situated in such a way that all of the gas is able to contact its surface and react with it.
  • monitoring equipment such as an optical emission spectrometer can be provided for monitoring parameters such as species profile and steam generation.
  • the chemical generator is integrated with the reactor, and the species produced is formed in close proximity to the wafer being processed. That is the preferred application of the generator, although it can also be used in stand-alone applications as well. It can be added to existing process reactors as well as being constructed as an integral part of new reactors, or as a stand-alone system.
  • FIG. 3 illustrates another version of the chemical generator.
  • a chemical generator includes a free radical source 300 which has two chambers in which free radicals are created and delivered for recombination into stable species.
  • the source has two chambers which are respectively formed within elongated tubes 312 and 313 .
  • Those chambers include a first chamber inside tube 312 and a second chamber inside tube 313 .
  • the tubes are preferably fabricated of a material such as quartz or ceramic.
  • a separate chamber is provided to generate each type of free radical to be used in the process. This approach ensures that the free radicals will not recombine to form the desired chemical species until after they are introduced into the reactor 331 .
  • more than one type of free radical may be generated in the tube. In this latter approach, recombination of free radicals to form the desired chemical species may occur within the tube as well.
  • the free radicals are generated from precursor materials which are introduced into the chambers of tubes 312 and 313 respectively from, for example, first and second precursor sources 322 and 323 .
  • the precursor materials can be in gaseous, liquid and/or solid form, or a combination thereof.
  • Plasmas are formed within the chambers to create the free radicals, and in the embodiment illustrated, the means for generating the plasmas includes an induction coil 332 disposed concentrically about tube 312 , another induction coil 333 disposed concentrically about tube 313 , and a radio frequency (RF) power generator 327 connected to the coils 332 and 333 by a matching network 328 .
  • RF radio frequency
  • this embodiment shows the coils 332 and 333 sharing the same RF power generator 327 and matching network 328
  • an alternative embodiment fully contemplated but not shown herein to avoid unnecessary duplication or straightforward elaboration of details, includes each of the coils 332 and 333 having its own RF power generator and/or matching network.
  • a Tesla coil (not shown) for striking an arc to ignite each of the plasmas may also be included if useful. Although shown as being generated through RF energized induction coils, the plasmas can also be formed by any other suitable means such as RF electrodes or microwaves.
  • Insulation housings 342 and 343 conventionally protect adjacent computer and other circuitry from electromagnetic fields induced by energized coils 332 and 333 , as well as preventing such induced electromagnetic fields from interfering or otherwise interacting with each other or the plasmas generated therefrom.
  • the free radicals are recombined to form the desired species downstream of the tubes.
  • recombination takes place in a chamber 331 which is part of a reactor 332 in which a semiconductor wafer 333 is being processed.
  • Recombination can be promoted, if necessary, by any suitable means such as by cooling (not shown) and/or by the use of a catalyst (not shown).
  • the formation of steam H 2 O
  • the first precursor source 322 provides H 2 gas which is admitted into the chamber of tube 312
  • the second precursor source 323 provides O 2 gas which is admitted into the chamber of tube 313 .
  • Plasmas are created in both chambers, and as a result, hydrogen and oxygen free radicals are respectively generated and provided to the chamber 331 .
  • these free radicals recombine to form steam (H 2 O), which in turn, may be used, for example, to produce SiO 2 on the exposed surface of the semiconductor wafer 333 .
  • a remote inductively coupled plasma (ICP) source (or “torch”) includes a free radical source 400 having a tube 401 with a closed end 411 and an open end 412 .
  • the open end (or outlet port) 412 is to be fluidically connected to a reactor chamber for processing semiconductors.
  • the torch is referred to as being “remote” in this case, because it creates a plasma that is outside of the reactor chamber.
  • the tube is preferably made of ultra-pure quartz (such as GE 214), or alternatively, of some other material commonly used for such purposes, such as ceramic.
  • a coil 430 is disposed concentrically about the tube 401 and aligned such that the high voltage or “hot” side of the coil 430 is closest to the closed end 411 of the torch, and the grounded end of the coil 430 is closest to the open end 412 of the torch.
  • the coil 430 is depicted as a 4-turn coil made of suitable material such as gold-plated copper tubing.
  • a radio frequency (RF) power generator 480 is connected to the coil 430 by a matching network 481 .
  • the matching network 481 is used to adjust the overall impedance of the torch and coil assembly to couple (i.e., resonate in phase) with the 50 ohm output impedance of the RF power generator 480 .
  • the RF power generator 480 delivers, as an example, up to 5 kW of forward power to the matching network 481 at a fixed frequency of approximately 27.12 MHz.
  • Inlet ports 440 and 450 made from similar material as the tube 401 are fused into the tube's inner chamber walls between its closed end 411 and the “hot” side of the coil 430 , and inlet ports 460 and 470 also made from similar material as the tube 401 are fused into the tube's inner chamber walls between its open end 412 and the grounded end of the coil 430 .
  • Connectors 441 , 451 , 561 , and 471 made of, for examples, Teflon, PFA, or ceramic, are clamped to the ends of respective inlet ports 440 , 450 , 460 , and 470 to serve as connectors for respective delivery hose lines 443 , 453 , 463 , and 473 .
  • the connectors 441 , 451 , 461 , and 471 cause turbulence in the flow of precursor materials passing through them as the flow of molecules collide with and scatter from the inner walls 442 , 452 , 462 , and 472 of their L-shaped bends.
  • the density of the precursor materials flowing into and through the chamber of tube 401 has high uniformity, which is useful for controlling plasma generation in the tube 401 .
  • the connectors 441 , 451 , 461 , and 471 depict 90 degree bends, it is to be appreciated that the angle of the bend may be other values as long as the molecules in the flow of precursor material strike at least one wall in the connector/inlet port combination so as to increase the turbulence in the flow before entering the chamber of the tube 401 .
  • Precursor and/or other materials are provided to one or more of the inlet ports 440 , 450 , 460 , and 470 by corresponding of the sources 444 , 454 , 464 , and 474 through corresponding delivery hose lines and connectors.
  • the type or types of materials to be provided and the inlet ports through which they are to be provided generally depend upon the reaction used to generate a desired chemical species.
  • steam (H 2 O) can be generated in the chemical generator (or further down the line of flow towards or in the reactor chamber) by providing O 2 gas at inlet port 440 and H 2 gas at inlet port 450 , with no materials provided to inlet ports 460 and 470 .
  • hydrogen and oxygen free radicals are generated by the induced plasmas respectively from the H 2 and O 2 gases, and then recombined to form the desired chemical species of steam (H 2 O).
  • steam (H 2 O) can also be generated in the chemical generator (or further down the line of flow towards or in the reactor chamber) by providing O 2 gas at inlet port 440 (and optionally, also at inlet port 450 to improve uniformity of the gas density in the tube 401 ) and H 2 gas at inlet port 460 (and optionally, also at inlet port 470 ).
  • oxygen free radicals are generated by the induced plasma from the O 2 gas, and then combined with the H 2 gas molecules provided just outside the induced plasma to form the desired chemical species of steam (H 2 O).
  • a ground strap 490 is mounted in direct contact with the tube 401 at a strategic position between the grounded end of the coil and the open end of the tube 401 to inhibit plasma generation in the chamber beyond the ground strap 490 and preferably restrict plasma generation to the immediate or near vicinity of the coil 430 .
  • the ground strap 490 is preferably made of copper or other highly conductive material.
  • [0038] can be generated in accordance with the invention by admitting H 2 and O 2 into one of the plasma generating chambers. When the plasma is energized, the H 2 and O 2 react to form steam in close proximity to the silicon wafer. If desired, oxygen admitted alone or with N 2 and/or Ar can be used to produce ozone (O 3 ) to lower the temperature for oxidation and/or improve device characteristics.
  • NO is supplied to the reactor chamber from a source such as a cylinder, and since NO is toxic, special precautions must be taken to avoid leaks in the gas lines which connect the source to the reactor. Also, the purity of the NO gas is a significant factor in the final quality of the interface formed between the silicon and the silicon oxide, but it is difficult to produce extremely pure NO.
  • NO can also be produced by other reactions such as the cracking of a molecule containing only nitrogen and oxygen, such as N 2 O.
  • the NO is produced by admitting N 2 O to the plasma chamber by itself or with O 2 .
  • a gas such as Ar can be used as a carrier gas in order to facilitate formation of the plasma.
  • N 2 O can also be cracked either by itself or with a small amount of O 2 to form NO 2 , which then dissociates to NO and O 2 .
  • NO 2 In rapid thermal processing chambers and diffusion furnaces where temperatures are higher than the temperature for complete dissociation of NO 2 to NO and O 2 (620° C.), the addition of NO 2 will assist in the oxidation of silicon for gate applications where it has been found that nitrogen assists as a barrier for boron diffusion.
  • a catalyst can be used to promote the conversion of NO 2 to NO and O 2 .
  • nitric acid can be generated by adding water vapor or additional H 2 and O 2 in the proper proportions.
  • NH 3 and O 2 can be combined in the plasma chamber to produce NO and steam at the point of use through the reaction
  • Decomposition of various organic chlorides with oxygen at elevated temperatures provides chlorine and oxygen-containing reagents for subsequent reactions in, e.g., silicon processing.
  • Such decomposition is generally of the form
  • x and y are typically 2, 3 or 4.
  • the invention can also be employed in the cleaning of quartz tubes for furnaces or in the selective etching or stripping of nitride or polysilicon films from a quartz or silicon oxide layer. This is accomplished by admitting a reactant containing fluorine and chlorine such as a freon gas or liquid, i.e. C x H y F z Cl q , where
  • the amount of fluorine is equal to or greater than the amount of chlorine. It is also possible to use a mixture of fluorinated gases (e.g., CHF 3 , CF 4 , etc.) and chlorinated liquids (e.g., CHCl 3 , CCl 4 , etc.) in a ratio which provides effective stripping of the nitride or polysilicon layer.
  • fluorinated gases e.g., CHF 3 , CF 4 , etc.
  • chlorinated liquids e.g., CHCl 3 , CCl 4 , etc.
  • dielectric films can be formed from appropriate precursor gases.
  • Polysilicon can be formed using SiH 4 and H 2 , or silane alone.
  • the silane may be introduced downstream of the generator to avoid nucleation and particle formation.
  • Silicon nitride can be formed by using NH 3 or N 2 with silane (SiH 4 ) or one of the higher silanes, e.g. Si 2 H 6 .
  • the silane can be introduced downstream of the generator to avoid nucleation and particle formation.
  • the chemical generator is also capable of using liquids and solids as starting materials, so that precursors such as TEOS can be used in the formation of conformal coatings.
  • precursors such as TEOS
  • Ozone and TEOS have been found to be an effective mixture for the deposition of uniform layers.
  • Metal and metal oxide films can be deposited via various precursors in accordance with the invention.
  • Ta 2 O 5 films which are used extensively in memory devices can be formed by generating a precursor such as TaCl 5 via reduction of TaCl 5 , followed by oxidation of the TaCl 5 to form Ta 2 O 5 .
  • the precursor from which the Ta 2 O 5 is generated can be expressed as T a X m , where x is a halogen species, and m is the stoichiometric number.
  • Copper can be deposited as a film or an oxide through the reaction
  • organic residue from previous process steps can be effectively removed by using O 2 to form ozone which is quite effective in the removal of organic contaminants.
  • reacting H 2 with an excess of O 2 will produce steam and O 2 as well as other oxygen radicals, all of which are effective in eliminating organic residue.
  • the temperature in the chamber should be below about 700° C. if a wafer is present, in order to prevent oxide formation during the cleaning process.
  • Sulfuric acid, nitric acid and hydrofluoric acid for use in general wafer cleaning are also effectively produced with the invention.
  • Sulfuric acid (H 2 SO 4 ) is generated by reacting either S, SO or SO 2 with H 2 and O 2 in accordance with reactions such as the following:
  • Nitric acid is generated by reacting NH 3 with H 2 and O 2 , or by a reaction such as the following:
  • Hydrofluoric acid is generated by co-reacting H 2 and O 2 with a compound containing fluorine such as NF 3 or C x H y F z , where
  • H 2 O or H 2 and O 2 can be co-injected to form steam so that the solvating action of water will disperse in solution in the products.
  • the temperature of the water must be cool enough so that a thin film of water will condense on the wafer surface. Raising the temperature of the water will evaporate the water solution, and spinning the wafer will further assist in the removal process.
  • the native oxide which is ever present when a silicon wafer is exposed to the atmosphere can be selectively eliminated by a combination of HF and steam formed by adding a fluorine source such as NF 3 or CF 4 to the reagent gases H 2 and O 2 .
  • a fluorine source such as NF 3 or CF 4
  • the reaction chamber should be maintained at a pressure below one atmosphere.
  • H 2 and O 2 can also be reacted to form steam for use in the stripping of photoresist which is commonly used in patterning of silicon wafers in the manufacture of integrated circuits.
  • other components such as HF, H 2 SO 4 and HNO 3 which are also generated with the invention can be used in varying combinations with the steam to effectively remove photoresist from the wafer surface.
  • Hard implanted photoresist as well as residues in vias can also be removed with steam in combination with these acids.
  • SO 3 for use in the stripping of organic photoresist can be generated by adding O 2 to SO 2 .
  • N 2 O can be converted to NO 2 , a strong oxidizing agent which can also be used in the stripping of photoresist.
  • Hydrofluoric acid for use in the stripping of photoresist can be generated in situ in accordance with any of the following reactions:

Abstract

Chemical generators and methods are described for generating a desired chemical species at or near a point of use such as the chamber of a reactor in which a workpiece such as a semiconductor wafer is to be processed. The chemical species is generated by dissociating precursor materials to create free radicals, and combining the free radicals, alone or in combination with other materials, to form the chemical species. An inductively coupled plasma preferably performs such dissociation.

Description

  • This application is a continuation-in-part of commonly-owned U.S. patent application Ser. No. 09/225,922, filed Jan. 5, 1999, which is incorporated herein by this reference to the extent consistent herewith.[0001]
  • This invention pertains generally to the fabrication of semiconductor devices and, more particularly, to a method and apparatus for generating important chemical species in the deposition, etching, cleaning, and growth of various materials and layers. [0002]
  • It is in general an object of the invention to provide a new and improved chemical generator and method for generating chemical species at or near the location where they are to be used. [0003]
  • Another object of the invention is to provide a chemical generator and method of the above character which are particularly suitable for generating chemical species for use in the fabrication of semiconductor devices. [0004]
  • These and other objects are achieved in accordance with the invention by providing a chemical generator and method for generating a chemical species at or near a point of use such as the chamber of a reactor in which a workpiece such as a semiconductor wafer is to be processed. The species is generated by creating free radicals, and combining the free radicals, alone or with other materials, to form the chemical species.[0005]
  • FIG. 1 is a diagrammatic view of a chemical generator incorporating aspects of-the invention. [0006]
  • FIG. 2 is a cross-sectional view taken along line [0007] 2-2 of FIG. 1.
  • FIG. 3 is a diagrammatic view of another version of the chemical generator incorporating aspects of the invention. [0008]
  • FIG. 4 is a diagrammatic view of a remote ICP torch incorporating aspects of the invention.[0009]
  • As illustrated in FIG. 1, a chemical generator includes a free [0010] radical source 11 which has one or more chambers in which free radicals are created and delivered for recombination into stable species. In the embodiment illustrated, the source has three chambers which are formed by elongated, concentric tubes 12-14. Those chambers include a first annular chamber 16 between the outermost tube 12 and the middle tube 13, a second annular chamber 17 between middle tube 13 and the innermost tube 14, and a third chamber 18 inside the innermost tube 14. The tubes are fabricated of a material such as ceramic or quartz.
  • The number of tubes which are required in the generator is dependent upon the chemical species being generated and the reaction by which it is formed, with a separate chamber usually, but not necessarily, being provided for each type of free radical to be used in the process. [0011]
  • Gases or other precursor compounds from which the free radicals are formed are introduced into the chambers from sources [0012] 21-23 or by other suitable means. Such precursors can be in gaseous, liquid and/or solid form, or a combination thereof.
  • As previously explained, although a separate chamber may be used for providing each type of free radicals, it is also contemplated for certain chemical reactions such as described below that a single chamber may also be used for providing more than one type of free radicals. In such a case, gases or other precursor compounds from which the more than one type of free radicals are formed are introduced into the single chamber from corresponding sources. [0013]
  • A plasma is formed within the one or more chambers to create the free radicals, and in the embodiment illustrated, the means for generating the plasma includes an [0014] induction coil 26 disposed concentrically about the one or more tubes, a radio frequency (RF) power generator 27 connected to the coil by a matching network 28, and a Tesla coil 29 for striking an arc to ignite the plasma. The plasma can, however, be formed by any other suitable means such as RF electrodes or microwaves.
  • In the embodiment illustrated, the free radicals are recombined to form the desired species downstream of the tubes. In this case, recombination takes place in a [0015] chamber 31 which is part of a reactor 32 in which a semiconductor wafer 33 is being processed. Recombination can be promoted by any suitable means such as by cooling 36 and/or by the use of a catalyst 37.
  • Cooling can be effected in a number of ways, including the circulation of a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water through tubes or other suitable means in heat exchange relationship with the reacting gases. [0016]
  • A catalyst can be placed either in the cooling zone or downstream of it. It can, for example, be in the form of a thin film deposited on the wall of a chamber or tube through which the reacting gases pass, a gauze placed in the stream of gas, or a packed bed. The important thing is that the catalyst is situated in such a way that all of the gas is able to contact its surface and react with it. [0017]
  • If desired, monitoring equipment such as an optical emission spectrometer can be provided for monitoring parameters such as species profile and steam generation. [0018]
  • In the embodiment illustrated in FIG. 1, the chemical generator is integrated with the reactor, and the species produced is formed in close proximity to the wafer being processed. That is the preferred application of the generator, although it can also be used in stand-alone applications as well. It can be added to existing process reactors as well as being constructed as an integral part of new reactors, or as a stand-alone system. [0019]
  • FIG. 3 illustrates another version of the chemical generator. In the embodiment illustrated, a chemical generator includes a free [0020] radical source 300 which has two chambers in which free radicals are created and delivered for recombination into stable species. In the embodiment illustrated, the source has two chambers which are respectively formed within elongated tubes 312 and 313. Those chambers include a first chamber inside tube 312 and a second chamber inside tube 313. The tubes are preferably fabricated of a material such as quartz or ceramic.
  • In the tubes depicted in FIG. 3, a separate chamber is provided to generate each type of free radical to be used in the process. This approach ensures that the free radicals will not recombine to form the desired chemical species until after they are introduced into the [0021] reactor 331. In the tube depicted in FIG. 4, however, more than one type of free radical may be generated in the tube. In this latter approach, recombination of free radicals to form the desired chemical species may occur within the tube as well.
  • The free radicals are generated from precursor materials which are introduced into the chambers of [0022] tubes 312 and 313 respectively from, for example, first and second precursor sources 322 and 323. The precursor materials can be in gaseous, liquid and/or solid form, or a combination thereof.
  • Plasmas are formed within the chambers to create the free radicals, and in the embodiment illustrated, the means for generating the plasmas includes an [0023] induction coil 332 disposed concentrically about tube 312, another induction coil 333 disposed concentrically about tube 313, and a radio frequency (RF) power generator 327 connected to the coils 332 and 333 by a matching network 328. Although this embodiment shows the coils 332 and 333 sharing the same RF power generator 327 and matching network 328, an alternative embodiment, fully contemplated but not shown herein to avoid unnecessary duplication or straightforward elaboration of details, includes each of the coils 332 and 333 having its own RF power generator and/or matching network. A Tesla coil (not shown) for striking an arc to ignite each of the plasmas may also be included if useful. Although shown as being generated through RF energized induction coils, the plasmas can also be formed by any other suitable means such as RF electrodes or microwaves.
  • [0024] Insulation housings 342 and 343 conventionally protect adjacent computer and other circuitry from electromagnetic fields induced by energized coils 332 and 333, as well as preventing such induced electromagnetic fields from interfering or otherwise interacting with each other or the plasmas generated therefrom.
  • In the embodiment illustrated, the free radicals are recombined to form the desired species downstream of the tubes. In this case, recombination takes place in a [0025] chamber 331 which is part of a reactor 332 in which a semiconductor wafer 333 is being processed. Recombination can be promoted, if necessary, by any suitable means such as by cooling (not shown) and/or by the use of a catalyst (not shown).
  • As an example of the use of this embodiment of a chemical generator, the formation of steam (H[0026] 2O) is described. In this example, the first precursor source 322 provides H2 gas which is admitted into the chamber of tube 312 and the second precursor source 323 provides O2 gas which is admitted into the chamber of tube 313. Plasmas are created in both chambers, and as a result, hydrogen and oxygen free radicals are respectively generated and provided to the chamber 331. Within the reactor chamber 331, these free radicals recombine to form steam (H2O), which in turn, may be used, for example, to produce SiO2 on the exposed surface of the semiconductor wafer 333.
  • As illustrated in FIG. 4, a remote inductively coupled plasma (ICP) source (or “torch”) includes a free [0027] radical source 400 having a tube 401 with a closed end 411 and an open end 412. The open end (or outlet port) 412 is to be fluidically connected to a reactor chamber for processing semiconductors. The torch is referred to as being “remote” in this case, because it creates a plasma that is outside of the reactor chamber. The tube is preferably made of ultra-pure quartz (such as GE 214), or alternatively, of some other material commonly used for such purposes, such as ceramic.
  • A [0028] coil 430 is disposed concentrically about the tube 401 and aligned such that the high voltage or “hot” side of the coil 430 is closest to the closed end 411 of the torch, and the grounded end of the coil 430 is closest to the open end 412 of the torch. In this example, the coil 430 is depicted as a 4-turn coil made of suitable material such as gold-plated copper tubing.
  • A radio frequency (RF) [0029] power generator 480 is connected to the coil 430 by a matching network 481. The matching network 481 is used to adjust the overall impedance of the torch and coil assembly to couple (i.e., resonate in phase) with the 50 ohm output impedance of the RF power generator 480. The RF power generator 480 delivers, as an example, up to 5 kW of forward power to the matching network 481 at a fixed frequency of approximately 27.12 MHz.
  • [0030] Inlet ports 440 and 450 made from similar material as the tube 401 are fused into the tube's inner chamber walls between its closed end 411 and the “hot” side of the coil 430, and inlet ports 460 and 470 also made from similar material as the tube 401 are fused into the tube's inner chamber walls between its open end 412 and the grounded end of the coil 430. Connectors 441, 451, 561, and 471 made of, for examples, Teflon, PFA, or ceramic, are clamped to the ends of respective inlet ports 440, 450, 460, and 470 to serve as connectors for respective delivery hose lines 443, 453, 463, and 473.
  • The [0031] connectors 441, 451, 461, and 471 cause turbulence in the flow of precursor materials passing through them as the flow of molecules collide with and scatter from the inner walls 442, 452, 462, and 472 of their L-shaped bends. As a result of such turbulence, the density of the precursor materials flowing into and through the chamber of tube 401 has high uniformity, which is useful for controlling plasma generation in the tube 401. Although the connectors 441, 451, 461, and 471 depict 90 degree bends, it is to be appreciated that the angle of the bend may be other values as long as the molecules in the flow of precursor material strike at least one wall in the connector/inlet port combination so as to increase the turbulence in the flow before entering the chamber of the tube 401.
  • Precursor and/or other materials are provided to one or more of the [0032] inlet ports 440, 450, 460, and 470 by corresponding of the sources 444, 454, 464, and 474 through corresponding delivery hose lines and connectors. The type or types of materials to be provided and the inlet ports through which they are to be provided generally depend upon the reaction used to generate a desired chemical species.
  • As one example, steam (H[0033] 2O) can be generated in the chemical generator (or further down the line of flow towards or in the reactor chamber) by providing O2 gas at inlet port 440 and H2 gas at inlet port 450, with no materials provided to inlet ports 460 and 470. In this case, hydrogen and oxygen free radicals are generated by the induced plasmas respectively from the H2 and O2 gases, and then recombined to form the desired chemical species of steam (H2O).
  • As another example, steam (H[0034] 2O) can also be generated in the chemical generator (or further down the line of flow towards or in the reactor chamber) by providing O2 gas at inlet port 440 (and optionally, also at inlet port 450 to improve uniformity of the gas density in the tube 401) and H2 gas at inlet port 460 (and optionally, also at inlet port 470). In this case, oxygen free radicals are generated by the induced plasma from the O2 gas, and then combined with the H2 gas molecules provided just outside the induced plasma to form the desired chemical species of steam (H2O).
  • A [0035] ground strap 490 is mounted in direct contact with the tube 401 at a strategic position between the grounded end of the coil and the open end of the tube 401 to inhibit plasma generation in the chamber beyond the ground strap 490 and preferably restrict plasma generation to the immediate or near vicinity of the coil 430. The ground strap 490 is preferably made of copper or other highly conductive material.
  • The chemical generators described herein can be employed in a wide variety of applications for generating different species for use in the fabrication of semiconductor devices, some examples of which are given below. [0036]
  • Oxidation
  • Steam for use in a wet oxidation process for producing SiO[0037] 2 according to the reaction
  • Si+H2O→SiO2+H2
  • can be generated in accordance with the invention by admitting H[0038] 2 and O2 into one of the plasma generating chambers. When the plasma is energized, the H2 and O2 react to form steam in close proximity to the silicon wafer. If desired, oxygen admitted alone or with N2 and/or Ar can be used to produce ozone (O3) to lower the temperature for oxidation and/or improve device characteristics.
  • It is known that the use of NO in the oxidation of silicon with O[0039] 2 can improve the device characteristics of a transistor by improving the interface between silicon and silicon oxide which functions as a barrier to boron.
  • Conventionally, NO is supplied to the reactor chamber from a source such as a cylinder, and since NO is toxic, special precautions must be taken to avoid leaks in the gas lines which connect the source to the reactor. Also, the purity of the NO gas is a significant factor in the final quality of the interface formed between the silicon and the silicon oxide, but it is difficult to produce extremely pure NO. [0040]
  • With the invention, highly pure NO can be produced at the point of use through the reaction [0041]
  • N2+O2→2NO
  • by admitting N[0042] 2 and O2 to one of the chambers and striking a plasma. When the plasma is struck, the N2 and O2 combine to form NO in close proximity to the wafer. Thus, NO can be produced only when it is needed, and right at the point of use, thereby eliminating the need for expensive and potentially hazardous gas lines.
  • NO can also be produced by other reactions such as the cracking of a molecule containing only nitrogen and oxygen, such as N[0043] 2O. The NO is produced by admitting N2O to the plasma chamber by itself or with O2. If desired, a gas such as Ar can be used as a carrier gas in order to facilitate formation of the plasma.
  • N[0044] 2O can also be cracked either by itself or with a small amount of O2 to form NO2, which then dissociates to NO and O2. In rapid thermal processing chambers and diffusion furnaces where temperatures are higher than the temperature for complete dissociation of NO2 to NO and O2 (620° C.), the addition of NO2 will assist in the oxidation of silicon for gate applications where it has been found that nitrogen assists as a barrier for boron diffusion. At temperatures below 650° C., a catalyst can be used to promote the conversion of NO2 to NO and O2. If desired, nitric acid can be generated by adding water vapor or additional H2 and O2in the proper proportions.
  • Similarly, NH[0045] 3 and O2 can be combined in the plasma chamber to produce NO and steam at the point of use through the reaction
  • NH3+O2→NO+H2O
  • By using these two reagent gases, the efficacy of NO in the wet oxidation process can be mimicked. [0046]
  • It is often desired to include chlorine in an oxidation process because it has been found to enhance oxidation as well as gettering unwanted foreign contaminants. Using any chlorine source such as TCA or DCE, complete combustion can be achieved in the presence of O[0047] 2, yielding HCl+H2O+CO2. Using chlorine alone with H2 and O2 will also yield HCl and H2O.
  • When TCA or DCE is used in oxidation processes, it is completely oxidized at temperatures above 700° C. to form HCl and carbon dioxide in reactions such as the following: [0048]
  • C2H3Cl3+2O2→2CO2+3HCl C2H2Cl2+2O2→2CO2+2HCl
  • The HCl is further oxidized in an equilibrium reaction: [0049]
  • 4HCl=O2→2H2O+Cl2
  • Decomposition of various organic chlorides with oxygen at elevated temperatures provides chlorine and oxygen-containing reagents for subsequent reactions in, e.g., silicon processing. Such decomposition is generally of the form [0050]
  • CxHyCly+xO2→xCO2+yHCl
  • where x and y are typically 2, 3 or 4. [0051]
  • All of the foregoing reactions can be run under either atmospheric or subatmospheric conditions, and the products can be generated with or without a catalyst such as platinum. [0052]
  • The invention can also be employed in the cleaning of quartz tubes for furnaces or in the selective etching or stripping of nitride or polysilicon films from a quartz or silicon oxide layer. This is accomplished by admitting a reactant containing fluorine and chlorine such as a freon gas or liquid, i.e. C[0053] xHyFzClq, where
  • X=1, 2, . . . Y=0, 1, . . . Z=0, 1, . . . Q=0, 1, . . .
  • and the amount of fluorine is equal to or greater than the amount of chlorine. It is also possible to use a mixture of fluorinated gases (e.g., CHF[0054] 3, CF4, etc.) and chlorinated liquids (e.g., CHCl3, CCl4, etc.) in a ratio which provides effective stripping of the nitride or polysilicon layer.
  • Dielectric Films
  • Other dielectric films can be formed from appropriate precursor gases. Polysilicon can be formed using SiH[0055] 4 and H2, or silane alone. The silane may be introduced downstream of the generator to avoid nucleation and particle formation.
  • Silicon nitride can be formed by using NH[0056] 3 or N2 with silane (SiH4) or one of the higher silanes, e.g. Si2H6. The silane can be introduced downstream of the generator to avoid nucleation and particle formation.
  • In addition to gases, the chemical generator is also capable of using liquids and solids as starting materials, so that precursors such as TEOS can be used in the formation of conformal coatings. Ozone and TEOS have been found to be an effective mixture for the deposition of uniform layers. [0057]
  • Metal and Metal Oxide Films
  • Metal and metal oxide films can be deposited via various precursors in accordance with the invention. For example, Ta[0058] 2O5 films which are used extensively in memory devices can be formed by generating a precursor such as TaCl5 via reduction of TaCl5, followed by oxidation of the TaCl5 to form Ta2O5. In a more general sense, the precursor from which the Ta2O5 is generated can be expressed as TaXm, where x is a halogen species, and m is the stoichiometric number.
  • Copper can be deposited as a film or an oxide through the reaction [0059]
  • CuCl2+H2→Cu+HCl
  • and other metals can be formed in the same way. Instead of a gaseous precursor, a solid precursor such as Cu or another metal can also be used. [0060]
  • Wafer and Chamber Cleaning
  • With the invention, organic residue from previous process steps can be effectively removed by using O[0061] 2 to form ozone which is quite effective in the removal of organic contaminants. In addition, reacting H2 with an excess of O2 will produce steam and O2 as well as other oxygen radicals, all of which are effective in eliminating organic residue. The temperature in the chamber should be below about 700° C. if a wafer is present, in order to prevent oxide formation during the cleaning process.
  • Sulfuric acid, nitric acid and hydrofluoric acid for use in general wafer cleaning are also effectively produced with the invention. Sulfuric acid (H[0062] 2SO4) is generated by reacting either S, SO or SO2 with H2 and O2 in accordance with reactions such as the following:
  • S+2.5O2+2H2→H2SO4+H2O SO+1.5O2+H2→H2SO4 SO2+1.5O2+2H2→H2SO4+H2O
  • then quickly quenching the free radicals thus formed with or without a catalyst. [0063]
  • Nitric acid (HNO[0064] 3) is generated by reacting NH3 with H2 and O2, or by a reaction such as the following:
  • N2+3.5O2+H2→2HNO3+H2O NH3+2O2→2HNO3+H2O
  • Hydrofluoric acid is generated by co-reacting H[0065] 2 and O2 with a compound containing fluorine such as NF3 or CxHyFz, where
  • X=1, 2, Y=0, 1, Z=1, 2,
  • Mixed acids can be generated from a single precursor by reactions such as the following: [0066]
  • SF6+4H2+2O2→H2SO4+6HF NH2+H2+1.5O2→HNO3+HF 2NHF+H2+3O2→2HNO3+2HF NF3O+2H2+O2→HNO3+3HF NF2Cl+2H2+1.5O2→HNO3+2HF+HCl N2F4+3H2+3O2→2HNO3+4HF N2F4+2H2+3O2→2HNO3+2HF NF3+2H2+1.5O2→HNO3+3HF NF2+1.5H2+1.5O2→HNO3+2HF NF+H2+1.5O2→HNO3+HF NS+1.5H2+3.5O2→HNO3+H2SO4 2N2OF+2H2+O2→2HNO3+2HF NOF3+2H2+O2→HNO3+3HF NOF+H2+O2→HNO3+HF NOCl+H2+O2→HNO3+HCl NOBr+H2+O2→HNO3+HBr NO2Cl+2H2+O2→2HNO3+HCl S2F1O+7H2+4O2→H2SO4+10HF S2F2+3H2+4O2→H2SO4+2HF SF+1.5H2+2O2→H2SO4+HF SF2+2H2+2O2→H2SO4+2HF SF3+2.5H2+2O2→H2SO4+3HF SF4+3H2+2O2→H2SO4+4HF SF5+3.5H2+2O2→H2SO4+5HF SF6+4H2+2O2→H2SO4+6HF SBrF5+4H2+2O2→H2SO4+5HF+HBr S2Br2+3H2+4O2→2H2SO4+2HBr SBr2+2H2+2O2→H2SO4+2HBr SO2F2+2H2+O2→H2SO4+2HF SOF4+3H2+1.5O2→H2SO4+4HF SOF2+2H2+1.5O2→H2SO4+2HF SOF+1.5H2+1.5O2→H2SO4+HF SO2ClF+2H2+O2→H2SO4+HF+HCl SOCl2+2H2+1.5O2→H2SO4+2HCl SOCl+1.5H2+1.5O2→H2SO4+HCl SOBr2+2H2+1.5O2→H2SO4+2HBrCl SF2Cl+2.5H2+2O2→H2SO4+2HF+HCl SClF5+4H2+2O2→H2SO4+5HF+HCl SO2Cl2+2H2+O2→H2SO4+2HCl S2Cl+2.5H2+4O2→2H2SO4+HCl SCl2+2H2+2O2→H2SO4+2HCl
  • These are but a few examples of the many reactions by which mixed acids can be generated in accordance with the invention. Including more H[0067] 2 and O2 in the reactions will allow steam to be generated in addition to the mixtures of acids.
  • In order to devolitize the various resultant products of the reaction of HCl, HF, H[0068] 2SO4 or HNO3, either H2O or H2 and O2 can be co-injected to form steam so that the solvating action of water will disperse in solution in the products. The temperature of the water must be cool enough so that a thin film of water will condense on the wafer surface. Raising the temperature of the water will evaporate the water solution, and spinning the wafer will further assist in the removal process.
  • Native Oxide Removal
  • The native oxide which is ever present when a silicon wafer is exposed to the atmosphere can be selectively eliminated by a combination of HF and steam formed by adding a fluorine source such as NF[0069] 3 or CF4 to the reagent gases H2 and O2. In order for the native oxide elimination to be most effective, the reaction chamber should be maintained at a pressure below one atmosphere.
  • Photoresist Stripping
  • H[0070] 2 and O2 can also be reacted to form steam for use in the stripping of photoresist which is commonly used in patterning of silicon wafers in the manufacture of integrated circuits. In addition, other components such as HF, H2SO4 and HNO3 which are also generated with the invention can be used in varying combinations with the steam to effectively remove photoresist from the wafer surface. Hard implanted photoresist as well as residues in vias can also be removed with steam in combination with these acids.
  • SO[0071] 3 for use in the stripping of organic photoresist can be generated by adding O2 to SO2. Similarly, as discussed above, N2O can be converted to NO2, a strong oxidizing agent which can also be used in the stripping of photoresist.
  • Hydrofluoric acid for use in the stripping of photoresist can be generated in situ in accordance with any of the following reactions: [0072]
  • CF4+2H2+O2→+CO2+4HF 4CF4+3H2+1.5O2CO2+4HF+H2O NF3+5H2+O2→N2+6HF+2H2O
  • It is apparent from the foregoing that a new and improved chemical generator and method have been provided. While only certain presently preferred embodiments have been described in detail, as will be apparent to those familiar with the art, certain changes and modifications can be made without departing from the scope of the invention as defined by the following claims. [0073]

Claims (29)

1. An apparatus for semiconductor processing comprising: a tube having a chamber, a first inlet providing access to the chamber, and an outlet providing fluidic connectivity between the chamber and a reactor chamber for semiconductor processing; and a connector configured to provide turbulence in a flow of a first precursor material being admitted into the chamber through the first inlet so as to be uniformly distributed while flowing through the chamber.
2. The apparatus according to claim 1 further comprising a coil disposed concentrically around the tube so as to generate an inductively coupled plasma in the chamber from the precursor material when energized.
3. The apparatus according to claim 2 wherein the coil is energized by radio frequency power.
4. The apparatus according to claim 3 wherein the radio frequency power oscillates at approximately 27.12 MHz.
5. The apparatus according to claim 2 wherein the tube further has a second inlet providing access to the chamber, and the coil is aligned with the tube such that the first inlet is on a high voltage side of the coil and the second inlet is on a grounded side of the coil so as to result in free radicals generated from the first precursor material flowing through the first inlet to combine with molecules of a second material flowing through the second inlet to form a desired chemical species.
6. The apparatus according to claim 5 wherein the first precursor material is oxygen, the second material is hydrogen, and the desired chemical species is steam H2O.
7. The apparatus according to claim 1 wherein the connector provides fluidic connectivity between a source of the first precursor material and the first inlet.
8. The apparatus according to claim 7 wherein the connector is fluidically connected to the source of the first precursor material through a delivery hose line.
9. The apparatus according to claim 1 wherein the connector has an inner wall against which all molecules of the first precursor material collide before being admitted into the chamber through the first inlet.
10. The apparatus according to claim 9 wherein the connector is L-shaped.
11. The apparatus according to claim 1 further comprising a ground strap contacting the tube so as to inhibit plasma generation in the chamber beyond the ground strap.
12. An apparatus for semiconductor processing comprising: a tube having a chamber, first and second inlet ports providing access to the chamber, and an open end providing access from the chamber to a reactor chamber for semiconductor processing; and a coil disposed concentrically around the tube and aligned such that the first inlet port is on a high voltage side of the coil and the second inlet port is on a low voltage side of the coil so as to generate an inductively coupled plasma in the chamber when energized that results in free radicals generated from a first precursor material flowing through the first inlet port to combine with molecules of a second material flowing through the second inlet port to form a desired chemical species.
13. The apparatus according to claim 12 wherein the inductively coupled plasma is generated such that it does not extend to the second inlet port.
14. The apparatus according to claim 12 wherein the first precursor material is oxygen, the second material is hydrogen, and the desired chemical species is steam.
15. The apparatus according to claim 12 further comprising a third inlet port providing access to the chamber on a side of the tube approximately opposite from the first inlet port on the high voltage side of the coil so as to admit additional of the first precursor material into the chamber.
16. The apparatus according to claim 12 further comprising a fourth inlet port providing access to the chamber on a side of the tube approximately opposite from the second inlet port on the ground side of the'coil so as to admit additional of the second material into the chamber.
17. The apparatus according to claim 12 further comprising a ground strap contacting the tube so as to inhibit plasma generation in the chamber beyond the ground strap.
18. An apparatus for semiconductor processing comprising: a tube having a chamber, an inlet providing access to the chamber, and an outlet providing fluidic connectivity to a reactor chamber for processing semiconductors; means for generating a plasma in the chamber; and a ground strap contacting the tube so as to inhibit plasma generation in the chamber beyond the ground strap.
19. The apparatus according to claim 18 further comprising a coil disposed concentrically around the tube so as to generate an inductively coupled plasma when energized.
20. The apparatus according to claim 19 wherein~the coil is energized by a radio frequency generator through a matching network.
21. The apparatus according to claim 19 wherein the ground strap is positioned on the tube on a ground side of the coil.
22. The apparatus according to claim 21 wherein the ground strap includes copper material.
23. An apparatus for semiconductor processing comprising: a first free radical source for generating a first type of free radicals from a first precursor material and providing the first type of free radicals to a reactor chamber, and a second free source for generating a second type of free radicals from a second precursor material and providing the second type of free radicals to the reactor chamber for combination with the first type of free radicals to form a desired chemical species for processing semiconductors in the reactor chamber.
24. The apparatus according to claim 23 wherein the first free radical source comprises: a first tube having a first chamber; and a first coil disposed concentrically around the first tube so as to generate a first inductively coupled plasma generating the first type of free radicals from the first precursor material when energized.
25. The apparatus according to claim 24 wherein the second free radical source comprises: a second tube having a second chamber; and a second coil disposed concentrically around the second tube so as to generate a second inductively coupled plasma generating the second type of free radicals from the second precursor material when energized.
26. The apparatus according to claim 24 wherein the first coil is coupled to a first radio frequency generator through a first matching network.
27. The apparatus according to claim 26 wherein the second coil is coupled to the first radio frequency generator through the first matching network.
28. The apparatus according to claim 26 wherein the second coil is coupled to a second radio frequency generator through a second matching network.
29. The apparatus according to claim 26 wherein the second coil is coupled to the first radio frequency generator through a third matching network.
US10/404,216 1999-01-05 2003-03-31 Remote ICP torch for semiconductor processing Abandoned US20040115936A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/404,216 US20040115936A1 (en) 1999-01-05 2003-03-31 Remote ICP torch for semiconductor processing
US11/193,819 US20060124588A1 (en) 1999-01-05 2005-07-28 System and method for reducing metal oxides with hydrogen radicals

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/225,922 US6579805B1 (en) 1999-01-05 1999-01-05 In situ chemical generator and method
US10/404,216 US20040115936A1 (en) 1999-01-05 2003-03-31 Remote ICP torch for semiconductor processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/225,922 Continuation-In-Part US6579805B1 (en) 1999-01-05 1999-01-05 In situ chemical generator and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/193,819 Continuation-In-Part US20060124588A1 (en) 1999-01-05 2005-07-28 System and method for reducing metal oxides with hydrogen radicals

Publications (1)

Publication Number Publication Date
US20040115936A1 true US20040115936A1 (en) 2004-06-17

Family

ID=22846826

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/225,922 Expired - Fee Related US6579805B1 (en) 1999-01-05 1999-01-05 In situ chemical generator and method
US10/336,483 Expired - Fee Related US6800559B2 (en) 1999-01-05 2003-01-03 Method and apparatus for generating H20 to be used in a wet oxidation process to form SiO2 on a silicon surface
US10/373,895 Expired - Fee Related US7033952B2 (en) 1999-01-05 2003-02-25 Apparatus and method using a remote RF energized plasma for processing semiconductor wafers
US10/404,216 Abandoned US20040115936A1 (en) 1999-01-05 2003-03-31 Remote ICP torch for semiconductor processing

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/225,922 Expired - Fee Related US6579805B1 (en) 1999-01-05 1999-01-05 In situ chemical generator and method
US10/336,483 Expired - Fee Related US6800559B2 (en) 1999-01-05 2003-01-03 Method and apparatus for generating H20 to be used in a wet oxidation process to form SiO2 on a silicon surface
US10/373,895 Expired - Fee Related US7033952B2 (en) 1999-01-05 2003-02-25 Apparatus and method using a remote RF energized plasma for processing semiconductor wafers

Country Status (8)

Country Link
US (4) US6579805B1 (en)
EP (1) EP1155164B1 (en)
JP (1) JP4832643B2 (en)
KR (1) KR100755122B1 (en)
AT (1) ATE475726T1 (en)
DE (1) DE60044739D1 (en)
TW (1) TW439105B (en)
WO (1) WO2000040776A1 (en)

Cited By (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020100751A1 (en) * 2001-01-30 2002-08-01 Carr Jeffrey W. Apparatus and method for atmospheric pressure reactive atom plasma processing for surface modification
US20040173580A1 (en) * 2003-03-07 2004-09-09 Carr Jeffrey W Apparatus for non-contact cleaning of a surface
US20040215768A1 (en) * 2002-10-02 2004-10-28 Yossi Oulu System and methods for monitoring application server performance
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US20070072438A1 (en) * 2005-09-29 2007-03-29 Tokyo Electron Limited Method of forming an oxide layer
US20080009126A1 (en) * 2006-07-07 2008-01-10 Aslami Mohd A Plasma deposition apparatus and method for making polycrystalline silicon
US20080029485A1 (en) * 2003-08-14 2008-02-07 Rapt Industries, Inc. Systems and Methods for Precision Plasma Processing
US20080035612A1 (en) * 2003-08-14 2008-02-14 Rapt Industries, Inc. Systems and Methods Utilizing an Aperture with a Reactive Atom Plasma Torch
US20080099441A1 (en) * 2001-11-07 2008-05-01 Rapt Industries, Inc. Apparatus and method for reactive atom plasma processing for material deposition
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
JP4546675B2 (en) * 2001-08-17 2010-09-15 積水化学工業株式会社 Multistage discharge plasma processing method and apparatus
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
JP3874716B2 (en) * 2002-11-14 2007-01-31 株式会社東芝 Manufacturing method of semiconductor device
KR100484900B1 (en) * 2002-12-30 2005-04-22 동부아남반도체 주식회사 Plasma ignition method in a semiconductor manufacturing system
US6869582B2 (en) * 2003-01-24 2005-03-22 Air Products And Chemicals, Inc. Process for the synthesis of BrSF5
US7375035B2 (en) * 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US20080202564A1 (en) * 2007-02-27 2008-08-28 Dana Scranton Processing system with in-situ chemical solution generation
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
WO2011006018A2 (en) 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
CN101891161A (en) * 2010-06-29 2010-11-24 上海华谊微电子材料有限公司 Preparation method of ultra-pure sulfuric acid
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8765232B2 (en) 2011-01-10 2014-07-01 Plasmasi, Inc. Apparatus and method for dielectric deposition
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9299956B2 (en) 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US10526708B2 (en) 2012-06-19 2020-01-07 Aixtron Se Methods for forming thin protective and optical layers on substrates
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11488796B2 (en) * 2019-04-24 2022-11-01 Applied Materials, Inc. Thermal break for high-frequency antennae
CN110095555B (en) * 2019-04-26 2021-02-26 海南电网有限责任公司电力科学研究院 SF based on analysis6Chromatographic detection system and detection method

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4351810A (en) * 1981-07-09 1982-09-28 The United States Of America As Represented By The Secretary Of Commerce Method for removing sulfur dioxide from a gas stream
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
US4771015A (en) * 1985-12-28 1988-09-13 Canon Kabushiki Kaisha Method for producing an electronic device having a multi-layer structure
US4849192A (en) * 1987-04-08 1989-07-18 Energy And Environmental Research Corp. Methods for preventing formation of sulfuric acid and related products in combustion effluents
US4883570A (en) * 1987-06-08 1989-11-28 Research-Cottrell, Inc. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves
US5154135A (en) * 1984-07-16 1992-10-13 Canon Kabushiki Kaisha Apparatus for forming a deposited film
US5403630A (en) * 1992-10-27 1995-04-04 Kabushiki Kaisha Toshiba Vapor-phase growth method for forming S2 O2 films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5770099A (en) * 1993-10-15 1998-06-23 Applied Materials, Inc. Plasma etch apparatus with heated scavenging surfaces
US6007879A (en) * 1995-04-07 1999-12-28 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
US6046546A (en) * 1992-04-16 2000-04-04 Advanced Energy Industries, Inc. Stabilizer for switch-mode powered RF plasma
US6053123A (en) * 1998-04-29 2000-04-25 Ball Semiconductor, Inc. Plasma-assisted metallic film deposition
US6066568A (en) * 1997-05-14 2000-05-23 Tokyo Electron Limited Plasma treatment method and system
US6097157A (en) * 1998-04-09 2000-08-01 Board Of Regents, The University Of Texas System System for ion energy control during plasma processing
US6156667A (en) * 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6163006A (en) * 1998-02-06 2000-12-19 Astex-Plasmaquest, Inc. Permanent magnet ECR plasma source with magnetic field optimization
US6183605B1 (en) * 1997-05-28 2001-02-06 Advanced Energy Industries, Inc. AC powered system for continuous deposition of a cathode material
US6194036B1 (en) * 1997-10-20 2001-02-27 The Regents Of The University Of California Deposition of coatings using an atmospheric pressure plasma jet
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6217717B1 (en) * 1992-12-30 2001-04-17 Advanced Energy Industries, Inc. Periodically clearing thin film plasma processing system
US6222321B1 (en) * 1996-05-08 2001-04-24 Advanced Energy Industries, Inc. Plasma generator pulsed direct current supply in a bridge configuration
US6225592B1 (en) * 1998-09-15 2001-05-01 Astex-Plasmaquest, Inc. Method and apparatus for launching microwave energy into a plasma processing chamber
US6238514B1 (en) * 1999-02-18 2001-05-29 Mks Instruments, Inc. Apparatus and method for removing condensable aluminum vapor from aluminum etch effluent
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6291938B1 (en) * 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6384540B1 (en) * 1997-02-24 2002-05-07 Advanced Energy Industries, Inc. System for high power RF plasma processing
US6410880B1 (en) * 2000-01-10 2002-06-25 Archimedes Technology Group, Inc. Induction plasma torch liquid waste injector
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US20020134244A1 (en) * 2001-03-23 2002-09-26 Mks Instruments, Inc. Trap apparatus and method for condensable by-products of deposition reactions
US6494957B1 (en) * 1999-06-18 2002-12-17 Mks Japan, Inc. Vaporizing apparatus
US6521792B2 (en) * 1999-06-22 2003-02-18 Gruenenthal Gmbh Process for separating the diastereomeric bases of 2-[(dimethylamino)methyl]-1-(3-methoxyphenyl)-cylohexanol
US6544896B1 (en) * 1999-03-05 2003-04-08 Applied Materials Inc. Method for enhancing etching of TiSix
US20030077402A1 (en) * 1999-04-17 2003-04-24 Advanced Energy Industries, Inc. System for deposition of diamond like carbon
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6633017B1 (en) * 1997-10-14 2003-10-14 Advanced Energy Industries, Inc. System for plasma ignition by fast voltage rise

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3657107A (en) 1966-03-11 1972-04-18 British Titan Ltd Apparatus for oxidizing a metal halide
GB1194415A (en) 1967-07-03 1970-06-10 United States Borax Chem High Temperature Chemical Reaction and Apparatus therefor
US3622493A (en) 1968-01-08 1971-11-23 Francois A Crusco Use of plasma torch to promote chemical reactions
CH525705A (en) 1968-12-24 1972-07-31 Lonza Ag Use of vortex-stabilized plasma torches to carry out chemical reactions
US3652434A (en) 1970-10-02 1972-03-28 Cornell Research Foundations I Pressure wave synthesis of aminocarboxylic acids
US3938988A (en) 1971-01-04 1976-02-17 Othmer Donald F Method for producing aluminum metal from its salts
SE366057B (en) 1972-10-06 1974-04-08 Aga Ab
US3954954A (en) 1973-03-19 1976-05-04 Plasmachem, Inc. Plasma method and apparatus for carrying out high temperature chemical reactions
US3919397A (en) 1973-11-30 1975-11-11 Aerochem Research Labs Inc Catalytic preparation of NO
US4145403A (en) 1977-09-29 1979-03-20 Fey Maurice G Arc heater method for producing metal oxides
US4266113A (en) 1979-07-02 1981-05-05 The United States Of America As Represented By The Secretary Of The Navy Dismountable inductively-coupled plasma torch apparatus
JPS56102577A (en) * 1980-01-18 1981-08-17 Mitsubishi Electric Corp Method and device for forming thin film
US4390405A (en) * 1980-02-20 1983-06-28 Curators Of The University Of Missouri Oxygen electrode and method for preparation thereof
US4482525A (en) 1981-08-11 1984-11-13 The United States Of America As Represented By The United States Department Of Energy Nitrogen fixation apparatus
JPS6016424A (en) * 1983-07-08 1985-01-28 Fujitsu Ltd Microwave plasma processing method and apparatus thereof
US4794230A (en) 1984-02-16 1988-12-27 The United States Of America As Represented By The United States Department Of Energy Low-pressure water-cooled inductively coupled plasma torch
EP0226487B1 (en) 1985-11-08 1990-01-10 Institut Français du Pétrole Process for the thermal conversion of methane into hydrocarbons with a higher molecular weight
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPS6353259A (en) 1986-08-22 1988-03-07 Mitsubishi Electric Corp Method for forming thin film
US4739147A (en) 1987-01-30 1988-04-19 The Dow Chemical Company Pre-aligned demountable plasma torch
US4766287A (en) 1987-03-06 1988-08-23 The Perkin-Elmer Corporation Inductively coupled plasma torch with adjustable sample injector
US4898748A (en) 1988-08-31 1990-02-06 The Board Of Trustees Of Leland Stanford Junior University Method for enhancing chemical reactivity in thermal plasma processes
US5026464A (en) 1988-08-31 1991-06-25 Agency Of Industrial Science And Technology Method and apparatus for decomposing halogenated organic compound
US4973773A (en) 1988-11-29 1990-11-27 E. I. Du Pont De Nemours And Company Production of tetrafluoroethylene
US5051557A (en) 1989-06-07 1991-09-24 The United States Of America As Represented By The Secretary Of The Department Of Health And Human Services Microwave induced plasma torch with tantalum injector probe
US5012065A (en) 1989-11-20 1991-04-30 New Mexico State University Technology Transfer Corporation Inductively coupled plasma torch with laminar flow cooling
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
JP3038950B2 (en) * 1991-02-12 2000-05-08 ソニー株式会社 Dry etching method
US5200595A (en) 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
JP3084497B2 (en) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP3319014B2 (en) * 1992-04-03 2002-08-26 ソニー株式会社 Film forming method, film forming apparatus, and semiconductor device manufacturing method
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5756402A (en) * 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5427669A (en) * 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5331973A (en) * 1993-03-15 1994-07-26 Fiedler Paul N Method for obtaining stool samples for gastrointestinal cancer testing
EP0689618B1 (en) 1993-03-24 2003-02-26 Georgia Tech Research Corporation Method and apparatus for the combustion chemical vapor deposition of films and coatings
JP3380922B2 (en) * 1993-09-29 2003-02-24 ソニー株式会社 Method of forming silicon oxide film
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH08957A (en) 1994-02-18 1996-01-09 Babcock & Wilcox Co:The Production of nox reductive precursor for generating plasma from mixture of molecule nitrogen and hydrocarbon
JP3328416B2 (en) 1994-03-18 2002-09-24 富士通株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US5560844A (en) 1994-05-26 1996-10-01 Universite De Sherbrooke Liquid film stabilized induction plasma torch
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5535906A (en) * 1995-01-30 1996-07-16 Advanced Energy Industries, Inc. Multi-phase DC plasma processing system
US5599425A (en) 1995-02-06 1997-02-04 Air Products And Chemicals, Inc. Predecomposition of organic chlorides for silicon processing
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5980999A (en) * 1995-08-24 1999-11-09 Nagoya University Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods
US5684581A (en) 1995-12-11 1997-11-04 Mds Health Group Limited Torch for inductively coupled plasma spectrometry
JP3028927B2 (en) 1996-02-16 2000-04-04 日本電気株式会社 Dry etching method for refractory metal film
JPH1098038A (en) * 1996-09-19 1998-04-14 Sony Corp Formation of silicon oxide film in semiconductor device
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5827370A (en) * 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US5877471A (en) 1997-06-11 1999-03-02 The Regents Of The University Of California Plasma torch having a cooled shield assembly
US5908566A (en) 1997-09-17 1999-06-01 The United States Of America As Represented By The Secretary Of The Navy Modified plasma torch design for introducing sample air into inductively coupled plasma

Patent Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4351810A (en) * 1981-07-09 1982-09-28 The United States Of America As Represented By The Secretary Of Commerce Method for removing sulfur dioxide from a gas stream
US5154135A (en) * 1984-07-16 1992-10-13 Canon Kabushiki Kaisha Apparatus for forming a deposited film
US4695327A (en) * 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
US4771015A (en) * 1985-12-28 1988-09-13 Canon Kabushiki Kaisha Method for producing an electronic device having a multi-layer structure
US4849192A (en) * 1987-04-08 1989-07-18 Energy And Environmental Research Corp. Methods for preventing formation of sulfuric acid and related products in combustion effluents
US4883570A (en) * 1987-06-08 1989-11-28 Research-Cottrell, Inc. Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6046546A (en) * 1992-04-16 2000-04-04 Advanced Energy Industries, Inc. Stabilizer for switch-mode powered RF plasma
US5403630A (en) * 1992-10-27 1995-04-04 Kabushiki Kaisha Toshiba Vapor-phase growth method for forming S2 O2 films
US6521099B1 (en) * 1992-12-30 2003-02-18 Advanced Energy Industries, Inc. Periodically clearing thin film plasma processing system
US6217717B1 (en) * 1992-12-30 2001-04-17 Advanced Energy Industries, Inc. Periodically clearing thin film plasma processing system
US5770099A (en) * 1993-10-15 1998-06-23 Applied Materials, Inc. Plasma etch apparatus with heated scavenging surfaces
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6007879A (en) * 1995-04-07 1999-12-28 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
US6368477B1 (en) * 1995-04-07 2002-04-09 Advanced Energy Industries, Inc. Adjustable energy quantum thin film plasma processing system
US6222321B1 (en) * 1996-05-08 2001-04-24 Advanced Energy Industries, Inc. Plasma generator pulsed direct current supply in a bridge configuration
US6384540B1 (en) * 1997-02-24 2002-05-07 Advanced Energy Industries, Inc. System for high power RF plasma processing
US6066568A (en) * 1997-05-14 2000-05-23 Tokyo Electron Limited Plasma treatment method and system
US6183605B1 (en) * 1997-05-28 2001-02-06 Advanced Energy Industries, Inc. AC powered system for continuous deposition of a cathode material
US6633017B1 (en) * 1997-10-14 2003-10-14 Advanced Energy Industries, Inc. System for plasma ignition by fast voltage rise
US6194036B1 (en) * 1997-10-20 2001-02-27 The Regents Of The University Of California Deposition of coatings using an atmospheric pressure plasma jet
US6163006A (en) * 1998-02-06 2000-12-19 Astex-Plasmaquest, Inc. Permanent magnet ECR plasma source with magnetic field optimization
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6097157A (en) * 1998-04-09 2000-08-01 Board Of Regents, The University Of Texas System System for ion energy control during plasma processing
US6053123A (en) * 1998-04-29 2000-04-25 Ball Semiconductor, Inc. Plasma-assisted metallic film deposition
US6225592B1 (en) * 1998-09-15 2001-05-01 Astex-Plasmaquest, Inc. Method and apparatus for launching microwave energy into a plasma processing chamber
US6800559B2 (en) * 1999-01-05 2004-10-05 Ronal Systems Corporation Method and apparatus for generating H20 to be used in a wet oxidation process to form SiO2 on a silicon surface
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6238514B1 (en) * 1999-02-18 2001-05-29 Mks Instruments, Inc. Apparatus and method for removing condensable aluminum vapor from aluminum etch effluent
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6544896B1 (en) * 1999-03-05 2003-04-08 Applied Materials Inc. Method for enhancing etching of TiSix
US20030077402A1 (en) * 1999-04-17 2003-04-24 Advanced Energy Industries, Inc. System for deposition of diamond like carbon
US6494957B1 (en) * 1999-06-18 2002-12-17 Mks Japan, Inc. Vaporizing apparatus
US6521792B2 (en) * 1999-06-22 2003-02-18 Gruenenthal Gmbh Process for separating the diastereomeric bases of 2-[(dimethylamino)methyl]-1-(3-methoxyphenyl)-cylohexanol
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6291938B1 (en) * 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) * 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
US6410880B1 (en) * 2000-01-10 2002-06-25 Archimedes Technology Group, Inc. Induction plasma torch liquid waste injector
US20020134244A1 (en) * 2001-03-23 2002-09-26 Mks Instruments, Inc. Trap apparatus and method for condensable by-products of deposition reactions
US6488745B2 (en) * 2001-03-23 2002-12-03 Mks Instruments, Inc. Trap apparatus and method for condensable by-products of deposition reactions

Cited By (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
US20050000656A1 (en) * 2001-01-30 2005-01-06 Rapt Industries, Inc. Apparatus for atmospheric pressure reactive atom plasma processing for surface modification
US20020100751A1 (en) * 2001-01-30 2002-08-01 Carr Jeffrey W. Apparatus and method for atmospheric pressure reactive atom plasma processing for surface modification
US7955513B2 (en) 2001-11-07 2011-06-07 Rapt Industries, Inc. Apparatus and method for reactive atom plasma processing for material deposition
US20080099441A1 (en) * 2001-11-07 2008-05-01 Rapt Industries, Inc. Apparatus and method for reactive atom plasma processing for material deposition
US20040215768A1 (en) * 2002-10-02 2004-10-28 Yossi Oulu System and methods for monitoring application server performance
US20040173580A1 (en) * 2003-03-07 2004-09-09 Carr Jeffrey W Apparatus for non-contact cleaning of a surface
US20040173579A1 (en) * 2003-03-07 2004-09-09 Carr Jeffrey W. Apparatus and method for non-contact cleaning of a surface
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US20080029485A1 (en) * 2003-08-14 2008-02-07 Rapt Industries, Inc. Systems and Methods for Precision Plasma Processing
US20080035612A1 (en) * 2003-08-14 2008-02-14 Rapt Industries, Inc. Systems and Methods Utilizing an Aperture with a Reactive Atom Plasma Torch
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7326655B2 (en) * 2005-09-29 2008-02-05 Tokyo Electron Limited Method of forming an oxide layer
US20070072438A1 (en) * 2005-09-29 2007-03-29 Tokyo Electron Limited Method of forming an oxide layer
WO2007040845A3 (en) * 2005-09-29 2007-05-31 Tokyo Electron Ltd A method of forming an oxide layer
WO2007040845A2 (en) * 2005-09-29 2007-04-12 Tokyo Electron Limited A method of forming an oxide layer
JP2009510769A (en) * 2005-09-29 2009-03-12 東京エレクトロン株式会社 Method for forming oxide film
US7816269B2 (en) * 2006-07-07 2010-10-19 Silica Tech, Llc Plasma deposition apparatus and method for making polycrystalline silicon
US20090209093A1 (en) * 2006-07-07 2009-08-20 Aslami Mohd A Plasma deposition apparatus and method for making polycrystalline silicon
US7858158B2 (en) * 2006-07-07 2010-12-28 Silica Tech, Llc Plasma deposition apparatus and method for making polycrystalline silicon
US20080009126A1 (en) * 2006-07-07 2008-01-10 Aslami Mohd A Plasma deposition apparatus and method for making polycrystalline silicon
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10804098B2 (en) * 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US6800559B2 (en) 2004-10-05
EP1155164A4 (en) 2005-04-06
US6579805B1 (en) 2003-06-17
US20030170153A1 (en) 2003-09-11
JP4832643B2 (en) 2011-12-07
KR20010101395A (en) 2001-11-14
ATE475726T1 (en) 2010-08-15
US7033952B2 (en) 2006-04-25
EP1155164B1 (en) 2010-07-28
JP2002534787A (en) 2002-10-15
EP1155164A1 (en) 2001-11-21
US20030153186A1 (en) 2003-08-14
WO2000040776A1 (en) 2000-07-13
DE60044739D1 (en) 2010-09-09
TW439105B (en) 2001-06-07
KR100755122B1 (en) 2007-09-04

Similar Documents

Publication Publication Date Title
US20040115936A1 (en) Remote ICP torch for semiconductor processing
US6696662B2 (en) Methods and apparatus for plasma processing
JP4499559B2 (en) Semiconductor substrate etching plant equipped with chlorine trifluoride production equipment and chlorine trifluoride production method
JP5891341B2 (en) Plasma generating apparatus and method
US6888040B1 (en) Method and apparatus for abatement of reaction products from a vacuum processing chamber
TWI405239B (en) Method of treating a gas stream and plasma abatement device
TWI417931B (en) Plasma abatement device
EP0376546A2 (en) Processes depending on plasma generation
US20070028944A1 (en) Method of using NF3 for removing surface deposits
JP2007016315A (en) Remote plasma cleaning method of cvd process chamber
US20050258137A1 (en) Remote chamber methods for removing surface deposits
US11637003B2 (en) Method for etching film and plasma processing apparatus
JP2007517650A (en) Gas treatment method by high frequency discharge
US20060124588A1 (en) System and method for reducing metal oxides with hydrogen radicals
JPH07169758A (en) Method for generating excited oxygen and method for supplying it
JP2000164559A (en) Selective etching method and device for silicon substance
US6559060B2 (en) Process for the structuring of a substrate
KR102256161B1 (en) Gas exhausting equipment and method for inhibiting deposition of powder in exhaust pipe for semiconductor production facility
JP2005026409A (en) Cleaning method in process chamber and substrate processing equipment
JPH08288282A (en) Manufacture of insulating film for semiconductor device
JPS63229717A (en) Etching
Chang et al. Dry etching of polysilicon with high selectivity using a chlorine-based plasma in an ECR reactor
JP2006037230A (en) Method and apparatus for producing metal nitride film

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION