US20040116052A1 - Methods for reducing delamination during chemical mechanical polishing - Google Patents

Methods for reducing delamination during chemical mechanical polishing Download PDF

Info

Publication number
US20040116052A1
US20040116052A1 US10/678,906 US67890603A US2004116052A1 US 20040116052 A1 US20040116052 A1 US 20040116052A1 US 67890603 A US67890603 A US 67890603A US 2004116052 A1 US2004116052 A1 US 2004116052A1
Authority
US
United States
Prior art keywords
polishing
platen
rpm
substrate
carrier head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/678,906
Other versions
US7037174B2 (en
Inventor
Yufei Chen
Lizhong Sun
Doohan Lee
Wei-Yung Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/678,906 priority Critical patent/US7037174B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSU, WEI-YUNG, LEE, DOOHAN
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, YUFEI, SUN, LIZHONG
Publication of US20040116052A1 publication Critical patent/US20040116052A1/en
Priority to US11/393,278 priority patent/US7244168B2/en
Application granted granted Critical
Publication of US7037174B2 publication Critical patent/US7037174B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/006Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the speed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • aspects of the invention generally relate to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.
  • VLSI very large scale integration
  • ULSI ultra large-scale integration
  • the multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias, contacts, lines, and other interconnects. Reliable formation of these interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die.
  • copper and its alloys which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state.
  • One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method.
  • one or more dielectric materials such as the low k dielectric materials
  • the damascene method one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, i.e., vias, and horizontal interconnects, i.e., lines.
  • Conductive materials such as copper-containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper-containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper-containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed.
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in dual damascene processes to remove excess deposited material and to provide an even surface for subsequent levels of metallization and processing. Planarization may also be used in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials.
  • CMP chemical mechanical planarization
  • a substrate carrier or carrier head is mounted on a carrier assembly and positioned in contact with a polishing article in a CMP apparatus.
  • the carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing article.
  • the article is moved relative to the substrate by an external driving force.
  • the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing article while dispersing a polishing composition to effect both chemical activity and mechanical activity.
  • the copper-containing material, and a portion of the barrier layer is polished to the level of the barrier layer, and then the barrier layer is polished, with a portion of the dielectric layer and copper features, to the level of the underlying dielectric layer.
  • One difficulty that can occur in polishing copper features may arise when using low k dielectric material in copper dual damascene formation.
  • Low k dielectric materials are typically soft, porous, or brittle.
  • Current polishing pressures greater than about 2 psi, can damage the low k dielectric material and form defects in the substrate surface, such as film delamination, due to high shear stresses caused by the friction between the polishing article and substrate surface.
  • conventional polishing processes contact the substrate and a polishing article at polishing conditions that impart high shear stresses. Such polishing conditions, including both polishing pressure and polishing article rotational rates, have been observed to and result in delamination of material from the substrate surface.
  • a method for processing a substrate having a conductive material formed thereon including positioning the substrate in a polishing apparatus having a rotational carrier head and a rotatable platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon, rotating the first carrier head at a first carrier head rotational rate and rotating a platen at a first platen rotational rate, contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi, accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first platen rotational rate to a second platen rotational rate, and removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second platen rotational rate.
  • a method for processing a substrate having a conductive material formed thereon including positioning the substrate in a rotational carrier head, rotating the rotational carrier head at a carrier head rotational rate of about 80 rpm or less, rotating a platen at a platen rotational rate of about 80 rpm or less, wherein the platen has a polishing article disposed thereon, contacting the substrate and the polishing article at a polishing pressure between about 0.1 psi and about 2 psi, rotating the rotational carrier head at a carrier head rotational rate between greater than about 120 rpm and about 500 rpm or less, rotating the platen at a platen rotational rate between greater than about 120 rpm and about 750 rpm or less, and removing a substantial portion of the conductive material from the substrate.
  • a method for processing a substrate having a conductive material formed thereon including contacting the substrate with a polishing article at a polishing pressure of less than about 2 psi to remove a substantial portion of the conductive material, wherein the substrate is disposed in a carrier head having a first carrier head rotational rate and the substrate is contacted with a polishing material disposed on the platen having a first platen rotational rate, reducing the first carrier head rotational rate to a second carrier head rotational rate less than the first carrier head rotational rate, reducing the first platen rotational rate to a second platen rotational rate less than the first platen rotational rate, and removing the substrate from contact with the polishing material disposed on the platen.
  • a method for processing a substrate having a conductive material formed thereon including positioning the substrate in a polishing apparatus having a rotational carrier head and a platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon, rotating the first carrier head at a first carrier head rotational rate and providing a first linear velocity, contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi, accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first linear velocity to a second linear velocity, and removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second linear velocity.
  • FIG. 1 is a plan view of one embodiment of a chemical mechanical planarization system of the invention
  • FIG. 2 is a sectional view of a polishing station taken along section line 3 - 3 of FIG. 1;
  • FIG. 3 is a flow chart illustrating the processing steps according to one embodiment of the invention.
  • aspects of the invention provide methods and apparatus for polishing substrates having conductive materials and low k dielectric films disposed thereon with reduced or minimum substrate surface damage and delamination.
  • the invention will be described below in reference to a planarizing process for the removal of conductive materials, such as copper-containing materials, formed in feature definitions of low k dielectric materials by chemical mechanical polishing (CMP) techniques.
  • CMP chemical mechanical polishing
  • Chemical mechanical polishing is broadly defined herein as polishing a substrate by a combination of both chemical and mechanical activity.
  • planarizing process and composition that can used to polish a substrate disposed in chemical mechanical polishing process equipment, such as the Mirra® polishing system, the Mirra MesaTM polishing system, the Reflexion® polishing system, and the Reflexion LKTM polishing system, all of which are available from Applied Materials, Inc.,
  • the Mirra® polishing system is further described in U.S. Pat. No. 5,738,574, entitled, “Continuous Processing System for Chemical Mechanical Polishing,” the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention.
  • the invention will be described below in reference to a planarizing process and a polishing article that can be performed on a chemical mechanical polishing system, such as a ReflexionTM CMP System available from Applied Materials, Inc., located in Santa Clara, Calif.
  • a chemical mechanical polishing system such as a ReflexionTM CMP System available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the polishing process and composition described herein is illustrated utilizing the Reflexion® CMP System, any system enabling chemical mechanical polishing, such as the Mirra MesaTM polishing system, using the methods described herein can be used to advantage.
  • the invention further contemplates that changes is process parameters may be required to perform the processes described herein on different apparatus.
  • FIG. 1 depicts a plan view of one embodiment of a chemical mechanical planarization system 100 generally having a factory interface 102 , a loading robot 104 , one or more polishing modules 106 , and one or more lift assemblies 108 .
  • the loading robot 104 is disposed proximate the factory interface 102 and the polishing module 106 to facilitate the transfer of substrates 122 therebetween.
  • a computer based controller 190 is connected to the polishing system or apparatus 120 for instructing the system to perform one or more processing steps on the system, such as polishing a substrate or transferring a substrate in the polishing apparatus 120 .
  • the invention may be implemented as a computer program-product for use with a computer system or computer based controller 190 .
  • the programs defining the functions of an embodiment can be provided to a computer via a variety of signal-bearing media and/or computer readable media, which include but are not limited to, (i) information permanently stored on non-writable storage media (e.g., read-only memory devices within a computer such as read only CD-ROM disks readable by a CD-ROM or DVD drive; (ii) alterable information stored on a writable storage media (e.g., floppy disks within diskette drive or hard-disk drive); or (iii) information conveyed to a computer by communications medium, such as through a computer or telephone network, including wireless communication.
  • Such signal-bearing media when carrying computer-readable instructions that direct the functions of the invention, represent alternative embodiments of the present invention. It may also be noted that portions of the product program may be developed and implemented independently, but when combined together are embodiments of the present invention.
  • the factory interface 102 generally includes a cleaning module 116 and one or more substrate cassettes 118 .
  • An interface robot 120 is employed to transfer substrates 122 between the substrate cassettes 118 , the cleaning module 116 and an input module 124 .
  • the input module 124 is positioned to facilitate transfer of substrates 122 between the polishing module 106 and the factory interface 102 by the loading robot 104 .
  • unpolished substrates 122 retrieved from the cassettes 118 by the interface robot 120 may be transferred to the input module 124 where the substrates 122 may be accessed by the loading robot 104 while polished substrates 122 returning from the polishing module 106 may be placed in the input module 124 by the loading robot 104 .
  • Polished substrates 122 are typically passed from the input module 124 through the cleaning module 116 before the factory interface robot 120 returns the cleaned substrates 122 to the cassettes 118 .
  • An example of such a factory interface 102 that may be used to advantage is disclosed in U.S. Pat. No. 6,361,422, issued Mar. 26, 2002, which is hereby incorporated by reference.
  • the loading robot 104 is generally positioned proximate the factory interface 102 and the polishing module 106 such that the range of motion provided by the robot 104 facilitates transfer of the substrates 122 therebetween.
  • An example of a loading robot 104 is a 4-Link robot, manufactured by Kensington Laboratories, Inc., located in Richmond, Calif.
  • the exemplary loading robot 104 has an articulated arm 126 having a rotary actuator 128 at its distal end.
  • An edge contact gripper 130 is coupled to the rotary actuator 128 .
  • the rotary actuator 128 permits the substrate 122 secured by the gripper 130 to be oriented in either a vertical or a horizontal orientation without contacting the feature side 120 of the substrate 122 and possibly causing scratching or damage to the exposed features. Additionally, the edge contact gripper 130 securely holds the substrate 122 during transfer, thus decreasing the probability that the substrate 122 will become disengaged.
  • other types of grippers such as electrostatic grippers, vacuum grippers and mechanical clamps, may be substituted.
  • Polishing modules 106 including those that use polishing article, polishing webs, or a combination thereof may also be used to advantage.
  • Other systems that benefit include systems that move a substrate relative a polishing surface in a rotational, linearly or in other motion within a plane.
  • the exemplary polishing module 106 has a transfer station 136 , a plurality of polishing stations 132 and a carousel 134 disposed on an upper or first side 138 of a machine base 140 .
  • the transfer station 136 comprises at least an input buffer station 142 , an output buffer station 144 , a transfer robot 146 , and a load cup assembly 148 .
  • the loading robot 104 places the substrate 122 onto the input buffer station 142 .
  • the transfer robot 146 has two gripper assemblies, each having pneumatic gripper fingers that grab the substrate 122 by the substrate's edge.
  • the transfer robot 146 lifts the substrate 122 from the input buffer station 142 and rotates the gripper and substrate 122 to position the substrate 122 over the load cup assembly 148 , then places the substrate 122 down onto the load cup assembly 148 .
  • An example of a transfer station that may be used to advantage is described by Tobin in U.S. Pat. No. 6,156,124, issued Dec. 5, 2000, which is hereby incorporated by reference.
  • the carousel 134 is generally described by Tolles in the previously incorporated U.S. Pat. No. 5,804,507. Generally, the carousel 134 is centrally disposed on the base 140 .
  • the carousel 134 typically includes a plurality of arms 150 , each supporting a polishing head assembly 152 . Two of the arms 150 depicted in FIG. 2 are shown in phantom such that a polishing surface 131 of one of the polishing stations 132 and the transfer station 136 may be seen.
  • the carousel 134 is indexable such that the polishing head assemblies 152 may be moved between the polishing stations 132 and the transfer station 136 .
  • a chemical mechanical polishing process is performed at each polishing station 132 .
  • a conditioning device 182 is disposed on the base 140 adjacent each polishing station 132 . The conditioning device 182 periodically conditions the polishing surface 131 to maintain uniform polishing results.
  • a computer based controller 190 is connected to the polishing system or apparatus 120 for instructing the system to perform one or more processing steps on the system, such as polishing a substrate or transferring a substrate in the polishing apparatus 120 .
  • FIG. 2 depicts a sectional view of the polishing head assembly 152 supported above the polishing station 132 .
  • the polishing head assembly 152 generally comprises a drive system 202 coupled to a polishing head 204 .
  • the drive system 202 generally provides rotational motion to the polishing head 204 .
  • the polishing head 204 additionally may be actuated to extend towards the polishing station 132 such that the substrate 122 retained in the polishing head 204 may be disposed on the polishing station 132 .
  • the drive system 202 is coupled to a carrier 208 that translates upon a rail 210 disposed in the arm 150 of the carousel 134 .
  • a ball screw or other linear motion device 212 couples the carrier 208 to the carousel 134 and positions the drive system 202 and polishing head 204 along the rail 210 .
  • the polishing head 204 is a TITAN HEADTM substrate carrier manufactured by Applied Materials, Inc., Santa Clara, Calif.
  • the polishing head 204 comprises a housing 214 having an extending lip 216 that defines a center recess 218 in which is disposed a bladder 220 .
  • the bladder 220 may be comprised of an elastomeric material or thermoplastic elastomer such as ethylene propylene, silicone and HYTRELTM polymer.
  • the bladder 220 is coupled to a fluid source (not shown) such that the bladder 220 may be controllably inflated or deflated.
  • the bladder 220 when in contact with the substrate 122 , retains the substrate 122 within the polishing head 204 by deflating, thus creating a vacuum between the substrate 122 and the bladder 220 .
  • a retaining ring 224 circumscribes the polishing head 204 to retain the substrate 122 within the polishing head 204 while polishing.
  • polishing article such as a web of polishing material 252 .
  • the web of polishing material 252 may have a smooth surface, a textured surface, or a combination of smooth and textured surfaces.
  • the web of polishing material may have a textured portion such as a center portion of the web or have a textured perimeter portion, while the remaining surface of the web is smooth.
  • An example of a web of polishing material is described in co-pending patent application Ser. No. 10/159,183, filed on May 31, 2003, [Atty. Docket No. 6289/CMP/CMP/RKK] which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • the polishing station 132 generally comprises a platen 230 that is disposed on the base 140 .
  • the platen 230 is typically comprised of aluminum.
  • the platen 230 is supported above the base 140 by a bearing 238 so that the platen 230 may rotate in relation to the base 140 .
  • An area of the base 140 circumscribed by the bearing 238 is open and provides a conduit for the electrical, mechanical, pneumatic, control signals and connections communicating with the platen 230 .
  • Conventional bearings, rotary unions and slip rings are provided such that electrical, mechanical, pneumatic, control signals and connections may be coupled between the base 140 and the rotating platen 230 .
  • the platen 230 is typically coupled to a motor 232 that provides the rotational motion to the platen 230 .
  • the platen 230 may be rotated at a rotational speed between about 0 revolutions per minute (rpm), i.e., stationary, and about 10,000 rpm with variable rotational rates may be obtained through modifying the platen motor; and the carrier head may be rotated between about 0 revolutions per minute (rpm), i.e., stationary, and about 10,000 rpm with variable rotational rates may be obtained through modifying the carrier head motor.
  • the platen 230 has an upper portion 236 that supports the web of polishing material 252 .
  • a top surface 260 of the platen 230 contains a center recess 276 extending into the top portion 236 .
  • the top portion 236 may optionally include a plurality of passages 244 disposed adjacent to the recess 276 .
  • the passages 244 are coupled to a fluid source (not shown). Fluid flowing through the passages 244 may be used to control the temperature of the platen 230 and the polishing material 252 disposed thereon.
  • the web of polishing material 252 may be in the form of a pad, roll or sheet of material that may be advanced across or releasably fixed to the polishing surface. Typically, the web of polishing material 252 is releasably fixed by adhesives, vacuum, mechanical clamps or by other holding methods to the platen 230 .
  • a sub-pad 278 and a sub-plate 280 are disposed in the center recess 276 .
  • the sub-pad 278 is typically a polymeric material, such as polycarbonate or foamed polyurethane. Generally, the hardness or durometer of the sub-pad may be chosen to produce a particular polishing result.
  • the sub-pad 278 generally maintains the polishing material 252 parallel to the plane of the substrate 122 held in the polishing head 204 and promotes global planarization of the substrate 122 .
  • the sub-plate 280 is positioned between the sub-pad 278 and the bottom of the recess 276 such that the upper surface of the sub-pad 278 is coplanar with the top surface 260 of the platen 230 .
  • Both the sub-pad 278 and the sub-plate 280 optionally contain a plurality of apertures (not shown) that are generally disposed in a pattern such that the polishing motion of the substrate 122 does not cause a discrete portion of the substrate 122 to pass repeatedly over the apertures while polishing as compared to the other portions of the substrate 122 .
  • a vacuum port 284 is provided in the recess 276 and is coupled to an external pump 282 . When a vacuum is drawn through the vacuum port 284 , the air removed between the polishing material 252 and the sub-pad 278 causes the polishing material 252 to be firmly secured to the sub-pad 278 during polishing.
  • polishing material retention system An example of such polishing material retention system is disclosed in U.S. patent application Ser. No. 09/258,036, filed Feb. 25, 1999, by Sommer et al., which is hereby incorporated by reference.
  • Other types of devices might be utilized to fix the polishing material 252 to the platen 230 , for example, adhesives, bonding, electrostatic chucks, mechanical clamps and other retention mechanisms.
  • a blast of gas e.g., air
  • the air pressure within the recess 276 moves through the apertures (not shown) disposed in the sub-pad 278 and sub-plate 280 and lifts the polishing material 252 from the sub-pad 278 and the top surface 260 of the platen 230 .
  • the polishing material 252 rides upon the cushion of air such that it may be freely indexed across the platen 230 .
  • the sub-pad 278 may be a porous material that permits gas (e.g., air) to permeate therethrough and lift the polishing material 252 from the platen 230 .
  • gas e.g., air
  • the supply roll 240 generally contains a portion of the web of polishing material 252 wound thereon.
  • the web of polishing material 252 is fed over a lift member 242 of the lift assembly 108 A and across the top surface 260 of the platen.
  • the web of polishing material 252 is fed over a lift member 246 of the lift assembly 108 B and to a take-up roll 248 disposed to the other side of the platen 230 .
  • the lift members 242 , 246 may be a roller, a rod, a bar or other member configured to allow the web 252 to move thereover with minimal damage to the web, particulate generation or contamination of the web.
  • the supply roll 240 is removably coupled to the platen 230 to facilitate loading another unwind roll containing unused polishing article once the web of polishing material 252 is consumed over the course of polishing a number of substrates.
  • the supply roll 240 is coupled to a slip clutch 250 or similar device that prevents the web of polishing material 252 from inadvertently unwinding from the supply roll 240 .
  • a housing 254 that protects the supply roll 240 from damage and contamination covers the supply roll 240 .
  • a gas is disposed in the volume between the housing 254 and the platen 230 which flows out a gap 256 defined between an edge 258 of the housing 254 and the web of polishing material 252 disposed on the lift member 242 .
  • the gas flowing through the gap 256 prevents contaminants such as polishing fluids and byproducts from coming in contact with the unused portion of the web of polishing material 252 disposed on the supply roll 240 enclosed by the housing 254 .
  • the take-up roll 248 generally is removably coupled to the platen 230 to facilitate removal of used polishing article that is wound thereon.
  • the take-up roll 248 is coupled to a tensioning device 262 that keeps the web of polishing material 252 taunt between the supply roll 240 and take-up roll 248 .
  • a housing 264 disposed over the take-up roll 248 protects the take-up roll 248 from damage and contamination.
  • the web of polishing material 252 is advanced between the supply roll 240 and take-up roll 248 by an indexing means 266 .
  • the indexing means 266 comprises a drive roller 268 and an idler 270 that pinches the web of polishing material 252 therebetween.
  • the drive roller 256 generally is coupled to the platen 230 .
  • the drive roller 256 is connected to a controlled motor such as a stepper and an encoder (motor and encoder not shown).
  • the indexing means 266 enables a predetermined length of polishing material to be pulled off the supply roll 240 by drive roller 256 as the drive roller 256 is controllably rotated.
  • the predetermined length is usually about 1 inch or less, such as about 1 ⁇ 4 inch indexing between substrates.
  • the web of polishing material 252 may be indexed and conditioned to provide effective polishing of about 5000 or more substrate according to the polishing process described herein.
  • An example of a corresponding length of polishing material is about 100 feet in length.
  • the web of polishing material 252 may be conditioned before or after polishing the substrate by a conditioning apparatus, such as a conditioning disk.
  • the web of polishing material 252 Before the web of polishing material 252 is advanced across the platen 230 , at least one of the lift assemblies 108 A or 108 B is raised to an extended position to maintain the web of polishing material 252 in a spaced-apart relation to the platen 230 .
  • the web 252 may be freely advanced without having to overcome surface tension due to fluid disposed between the web and the platen or possibly creating particulate by contacting the backside of the web with the platen while the web is moving.
  • the controller 190 may include a CPU 192 of FIG. 1, which CPU 192 may be one of any form of computer processors that can be used in an industrial setting for controlling various chambers and subprocessors.
  • the memory 194 is coupled to the CPU 192 .
  • the memory 194 or computer-readable medium, may be one or more of readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • RAM random access memory
  • ROM read only memory
  • floppy disk floppy disk
  • hard disk or any other form of digital storage, local or remote.
  • the support circuits 196 are coupled to the CPU 192 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and can include input devices used with the controller 190 , such as keyboards, trackballs, a mouse, and display devices, such as computer monitors, printers, and plotters. Such controllers 190 are commonly known as personal computers; however, the present invention is not limited to personal computers and can be implemented on workstations, minicomputers, mainframes, and supercomputers.
  • a process such as the polishing processes described below, is generally stored in the memory 194 , typically as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 192 .
  • Chemical mechanical polishing of substrate surfaces with reduced or minimal delamination and/or defect formation can be achieved by initially contacting a substrate with a polishing article at a contact pressure of less than about 2 psi and at reduced platen and carrier head rotational rates and then accelerating the platen and carrier head rotational rates to processing conditions for polishing the substrate.
  • Minimal delamination and/or defect formation can also be achieved by decelerating the platen and carrier head rotational rates prior to removing the substrate from contact with the polishing article.
  • the invention contemplates that multi-step polishing techniques, polishing techniques with variable or modulating process parameters, and conventional polishing techniques may be used in conjunction with the aspects of the invention described herein, and that the invention may be modified to achieve the needs of those techniques. Additionally, while the invention is described in relation to processing steps, the steps described herein should not be construed or interpreted as limiting the practice or scope of the invention. Further, while the following description is directed to high speed/low pressure polishing processes, the invention contemplates the aspects described herein to be used with conventional polishing processes.
  • the invention also contemplates the polishing of other materials including barrier layer materials, such as tantalum and tantalum nitride, as well as dielectric materials including low k dielectric material such as silicon carbide and carbon-doped silicon oxide.
  • barrier layer materials such as tantalum and tantalum nitride
  • dielectric materials including low k dielectric material such as silicon carbide and carbon-doped silicon oxide.
  • FIG. 3 is a flow chart 300 illustrating one embodiment of a process for utilizing the processes described herein to remove materials disposed on a substrate in a high speed/low pressure polishing technique.
  • a substrate is positioned in a carrier head adjacent a platen containing an abrasive-free or “conventional” polishing pad, or a fixed abrasive polishing article, and the carrier head and platen are rotated at first rotational rates respectively at step 310 .
  • the substrate is then contacted with the polishing article at step 320 .
  • the rotational rates of the platen and the carrier head are accelerated respectively to second rotational rates of the platen and the carrier head for performing the bulk polishing process at step 330 .
  • Materials are then removed from the surface of the substrate by polishing the substrate at the respective second rotational rates of the bulk polishing process at step 340 .
  • the bulk polishing process may be one or more polishing steps.
  • the respective second rotational rates may then decelerated to respective third rotational rates at step 350 .
  • the substrate may then be removed from the polishing article at the respective third polishing rates at step 360 .
  • Examples of substrates that may be polished according to the processes described herein may be formed by a process including etching feature definitions in a dielectric layer, depositing a barrier layer material on the substrate surface and in the feature definitions, and depositing a bulk copper-containing material over the substrate surface to fill the feature definitions.
  • the phrase “copper-containing material”, “copper” and the symbol Cu are intended to encompass high purity elemental copper as well as doped copper and copper-based alloys, e.g., doped copper and copper-based alloys containing at least about 80 wt. % copper.
  • Bulk copper containing material is broadly defined herein as copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface.
  • Residual copper containing materials is broadly defined as any bulk copper containing material remaining after one or more polishing process steps. Residual copper-containing material can include copper, copper alloys, copper oxides, and/or doped copper.
  • the barrier layer material includes tantalum, tantalum nitride, and derivatives thereof, such as tantalum silicon nitride.
  • the invention described herein also contemplates the use of other barrier materials known or unknown that may be used as a barrier with conductive materials, such as copper.
  • the dielectric layer can comprise any of various dielectric materials known or unknown that may be employed in the manufacture of semiconductor devices.
  • dielectric materials such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and carbon-doped silicon dioxide
  • the dielectric layer can also comprise low dielectric constant (k) materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black DiamondTM dielectrics, or silicon carbides, such as BLOkTM dielectrics, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques.
  • the invention also contemplates the use of dielectric materials, known or unknown that may be used as dielectric layers in semiconductor fabrication.
  • the processes described herein may be used in polishing techniques using low polishing pressures and high platen rotational rates, which have been observed to be effective in polishing conductive materials from substrates having low dielectric constant (k) materials (i.e., dielectric constants of about 4 or less.) at removal rates up to about 10000 ⁇ /min with reduced or minimal damage, such as film delamination, to the underlying low k dielectric material and effective planarization of bulk copper materials with minimal dishing.
  • k dielectric constant
  • a copper layer disposed on a substrate surface as described herein may be polished in the first step 310 by positioning the substrate in a carrier head 170 adjacent a first platen containing a polishing article 100 disposed on platen 130 in polishing station 125 a.
  • the polishing article 100 a is rotated at a first platen rotational rate of about 130 centimeters per second (cps) or less at the outer edge or average of a 20 inch rotating platen (about 100 rpm or less for a 20 inch platen), such as between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm).
  • the substrate disposed in the carrier head 170 a is rotated at a first carrier head rotational rate of about 130 cps or less (about 100 rpm or less), such as between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm).
  • the platen rotation rates correspond to a linear velocity at the substrate polishing surface of about 475 ft/min or less, such as between about 90 ft/min and about 380 ft/min.
  • the substrate may be contacted with the polishing article 100 a generally at a polishing pressure of less than about 2 psi, such as, between about 0.01 psi and about 1 psi, for example, between about 0.3 psi and about 1.0 psi, at step 320 .
  • a polishing pressure between about 0.5 psi and about 0.8 psi has been used with minimal or no delamination being observed.
  • the pressure may be varied during processing a substrate at one or more rotational speeds for any of the processing steps described herein.
  • pressure may be applied at a first pressure of between about 0.8 psi and about 1 psi during a first portion, for example, about one-half of the polishing process, and a second pressure less than the first pressure, for example, between about 0.5 psi and about 0.7 psi may be used for the second portion of the polishing process.
  • the polishing process may have two pressure portions, a first pressure, and then a second pressure greater than the first pressure, contrary to the previously provided example.
  • the polishing step may include 3 or more portions having variable polishing pressure for each step, with subsequent portions having pressure less than or greater than previous portions.
  • a first portion may have a first pressure, the second portion has a second pressure greater than or less than the second pressure, and then a third portion has a third pressure less than or greater than the second and/or first portion, including, for example, a first pressure, a second portion having a second pressure greater than the first portion, and a third portion having a third pressure less than the second pressure, which may be less than or greater than the first portion.
  • the carousel 160 positions the substrate in contact with the polishing article 100 a, and the substrate and the polishing article move relative to one another with a first polishing composition distributed between the substrate and the polishing article to effect chemical and mechanical activity on the substrate.
  • a polishing composition may be supplied to the polishing article 100 a at a flow rate of about 100 ml/min or greater from a storage medium disposed near the CMP apparatus. Typically, a flow rate of between about 100 ml/min and about 300 ml/min may be supplied to the polishing article for polishing the substrate.
  • the substrate is typically a 300 mm substrate, and the invention contemplates polishing substrates of other sizes, such as 200 mm substrates. Polishing parameters, such as flow rate, maybe modified to reflect the change in polishing size to be polished by the processes described herein.
  • polishing compositions including abrasive-containing polishing compositions, commercially available for removing copper-containing material in the first polishing step
  • suitable polishing compositions include EPC-5003, EPC-5001, EPC-600Y-75, and EPC-5306, available from Cabot Corp. of Aurora, Ill., and HS-C430-A3, HS-C430-A1, HS-C430-A22, HS-C430-A33, available from Hitachi Chemical Corp. of Japan, of which EPC-5001 is preferred.
  • the abrasive-containing polishing compositions may include up to about 30 wt. % abrasives, for example, up to about 1 wt. % or less of abrasives.
  • the rotational rate of the platen 130 and the rotational rate of the carrier head 170 are accelerated respectively to a second platen rotational rate 130 and a second carrier head rotational rate for performing the main polishing process at step 330 .
  • the respective second rotational rates of the platen 130 and rotational head 170 are generally greater than the respective first rotational rates.
  • the acceleration rates of the respective carrier head and platen rotational rates may be between about 6 cps/s (about 5 rpm/s) and about 80 cps/s (about 60 rpm/s), for example, about 40 cps/s (about 30 rpm/s).
  • the acceleration rates may result in a linear acceleration of between about 1400 ft/min 2 and about 17,000 ft/min 2 , for example, between about 8450 ft/min 2 and about 8500 ft/min 2 , for a 300 mm platen.
  • the linear acceleration and linear velocity described herein are described with regard to a 300 mm substrate rotational platen processing platform, such as the Reflexion LKTM described herein, and the invention contemplates that linear velocity may vary according to the processing platform including 200 mm substrate rotational platen processing platform, orbital platen platforms, and linear platen platforms.
  • the platen 125 a may be accelerated to a platen rotational rate greater than about 160 cps (120 rpm), such as between about 200 cps and about 1000 cps (about 150 rpm and about 750 rpm, for example, between about 180 rpm and about 500 rpm), such as a platen rotational rate of between about 200 cps and about 350 cps (about 150 rpm and about 250 rpm).
  • the substrate disposed in a carrier head system 170 may be accelerated to a carrier head rotational rate greater than about 160 cps (120 rpm), such as between about 200 cps and about 650 cps (between about 150 rpm and about 500 rpm, for example, between about 180 rpm and about 500 rpm), such as between about 400 cps and about 650 cps (about 300 rpm and about 500 rpm).
  • the platen rotation rates correspond to a linear velocity at the substrate polishing surface of greater than about 560 ft/min, such as between about 710 ft/min and about 3600 ft/min, for example, between about 840 ft/min and about 2350 ft/min, such as a platen rotational rate of between about 705 ft/min and about 1200 ft/min.
  • the linear velocity of the substrate also referred to as the relative linear velocity of the substrate, is usually considered the linear velocity at the center of the substrate.
  • the average relative linear velocity typically increases when measured further from the center of the substrate. Additionally, the relative linear velocity of the substrate increases as the substrate is moved from the center of a rotating polishing article.
  • An example of a linear velocity at the rotational rates described herein may produce a linear velocity between about 560 ft/min (120 rpm for platen) and about 3600 ft/min (750 rpm for platen) at the center of a substrate displaced approximately 9 inches from a rotating polishing article axis of the platen (not shown).
  • the substrate may then be polished at the respective second carrier head and platen rotational rates described herein at the polishing composition flow rate described herein at step 340 .
  • a polishing pressure between about 0.3 psi and about 1 psi may be used, for example, between about 0.5 psi and about 0.8 psi.
  • the polishing pressure of the main polishing step may be greater than the initial or subsequent polishing steps described herein, and the polishing pressure for this step may also be the same polishing pressure as step 320 . If a conventional polishing process is used, the polishing pressure may be up to about 6 psi.
  • the substrate and the polishing article are typically exposed to the polishing composition and contacted for a period of time sufficient to remove at least a portion or all of the bulk copper-containing material disposed thereon.
  • the polishing article may be exposed to the first polishing composition between about 2 seconds and about 500 seconds, such as between about 30 seconds and about 450 seconds, but may vary depending upon the material being removed, the concentration of the components of the first polishing composition, and the amount or thickness of bulk copper-containing material on the substrate.
  • the copper-containing material may be removed at a rate between about 4000 ⁇ /min and about 8,000 ⁇ /min or any rate to remove the bulk copper-containing materials deposited on the substrate
  • Further disclosures of a high speed/low pressure polishing process for conductive and barrier materials are more fully described in U.S. patent Ser. No. 10/199,444, filed on Jul. 19, 2002, and U.S. patent Ser. No. 10/187,857, filed on Jun. 27, 2002, which are incorporated by reference to the extent not inconsistent with the claimed aspects and disclosure herein.
  • the polishing composition may be supplied to the polishing platen at a flow rate of about 50 ml/min or greater, such as between about 50 ml/min and about 500 ml/min, for example, between about 100 ml/min and about 300 ml/min.
  • Polishing compositions may be used in the processes described herein may contain abrasives or be abrasive free, and the polishing articles may be conventional polishing article materials or fixed-abrasive polishing article materials.
  • the polishing process may be selective to one or more materials or may be non-selective to the materials deposited on the substrate surface.
  • An example of a suitable polishing composition includes EPC-5001, which is commercially available from Cabot Corp. of Aurora, Ill. that may be delivered to conventional polishing article, such as an IC-1000, commercially available from Rodel Inc., of Phoenix Ariz.
  • the process and polishing composition may be used to remove copper material at a higher removal rate than the barrier layer material. For example, polishing the substrate surface with the EPC-5001 slurry by the process described herein was observed to have a removal rate ratio, or selectivity, of copper to barrier material of about 20:1.
  • the composition and process parameters may be chosen to provide selectivities of copper to barrier of about 10:1 or greater to effectively remove copper material with reduced or minimal barrier layer removal.
  • the polishing composition may be an abrasive-containing polishing composition having up to about 1 wt. %, for example, such as between about 0.1 wt. % and about 0.5 wt. % of abrasives may be used.
  • the low pressure/high platen rotational rate polishing process may remove copper-containing materials a rate of about 2500 ⁇ /min or greater, such as between about 3000 ⁇ /min and about 8000 ⁇ /min, for example 4500 ⁇ /min.
  • the low pressure/high platen rotational rate polishing process may be integrated into a multi-step polishing process.
  • processing parameters are generally described for polishing 300 mm substrates
  • the invention contemplates modifying processing parameters to satisfy the requirements for polishing substrates of different sizes, such as 200 mm substrates, and polishing on various apparatus, such as orbital motion polishing apparatus.
  • the process described below should be considered illustrative, and should not be construed or interpreted as limiting the scope of the invention.
  • the rotational rate of the platen 130 and the rotational rate of the carrier head 170 are decelerated respectively to a third platen rotational rate 130 and a third carrier head rotational rate for performing the main polishing process at step 350 .
  • the respective third rotational rates of the platen 130 and rotational head 170 are less than the respective second rotational rates and may be equal to the respective first rotational rates.
  • the deceleration rates of the respective carrier head and platen rotational rates may be between about 6 cps/s (about 5 rpm/s) and about 80 cps/s (about 60 rpm/s), for example, about 40 cps/s (about 30 rpm/s).
  • the deceleration rates may result in a linear acceleration of between about 1400 ft/min 2 and about 17,000 ft/min 2 , for example, between about 8450 ft/min 2 and about 8500 ft/min 2 , for a 300 mm platen.
  • the polishing article 100 a may be rotated at a third platen rotational rate of about 130 cps or less (about 100 rpm or less for a 20 inch platen), between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm).
  • the substrate disposed in the carrier head 170 a may be rotated at a third carrier head rotational rate of about 130 cps or less (about 100 rpm or less), between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm).
  • the platen rotation rates correspond to a linear velocity at the substrate polishing surface of about 475 ft/min or less, such as between about 90 ft/min and about 380 ft/min. Any remaining residual materials may also be removed at the polishing pressures and respective third carrier head and platen rotational rates.
  • the substrate may be contacted with the polishing article 100 a generally at a polishing pressure of less than about 2 psi, such as, between about 0.01 psi and about 1 psi, for example, between about 0.5 psi and about 1.0 psi, as at step 320 .
  • the polishing pressure at the step 350 may be less than the polishing pressure at step 340 .
  • the substrate may then be removed from the polishing article 100 a at the respective third polishing rates at step 360 .
  • a cleaning solution may be applied to the polishing substrate surface to remove surface defects and particles adhering to the substrate surface.
  • the cleaning solution, or subsequent cleaning step may contain a corrosion inhibitor, such as benzotriazole (BTA), to form a protective coating on the exposed substrate surface prior to substrate handling or expose to oxidizing environments.
  • BTA benzotriazole
  • the barrier layer may be selectively removed in relation to the copper and dielectric materials, i.e., remove the barrier layer at higher removal rates than the copper or dielectric materials, or the barrier layer composition may non-selectively remove the copper, barrier, and dielectric materials at approximately equal rates.
  • the barrier layer may also be polished according to the acceleration, polish, deceleration process described herein.
  • the substrate may then be rinsed following barrier layer removal to remove surface defects and particles adhering to the substrate surface.
  • the above processing steps may be performed on the same apparatus or may be performed on more than one apparatus. Additionally, the substrate may be transferred to a cleaning module or subjected to an in situ cleaning process to also remove surface defects, such as oxides that form on the copper-containing material.
  • the barrier layer may be removed on the third platen by positioning the substrate on the third platen containing a polishing article 100 c, and typically includes positioning a substrate on the third polishing article 100 c disposed on platen 130 in polishing station 125 c, supplying a barrier removal polishing composition to the polishing article 100 and contacting the polishing media and substrate to remove barrier layer materials, such as tantalum containing materials, from the surface of the substrate by a polishing process on the substrate.
  • barrier layer materials such as tantalum containing materials
  • the polishing article 100 c is rotated at a rate between about 65 cps (about 50 rpm, about 235 ft/min) and about 155 cps (about 120 rpm, about 565 ft/min) for a polishing article disposed on a rotatable platen.
  • the substrate disposed in a carrier head system 170 is rotated at a rate between about 65 cps (about 50 rpm, about 235 ft/min) and about 155 cps (about 120 rpm, about 565 ft/min).
  • the polishing article and substrate are rotated in the same direction to provide a relative motion between one another.
  • a pressure between about 0.5 psi and about 6 psi between the substrate and the polishing article 100 c is used to provide mechanical activity to the polishing process.
  • the barrier layer polishing composition is delivered or supplied to the polishing article at a flow rate of about 100 ml/min or greater from a storage medium disposed near the CMP apparatus.
  • suitable polishing compositions including abrasive-containing polishing compositions and abrasive-free polishing compositions, commercially available for removing barrier layer material include HS-T605 and HS-T505, available from Hitachi Chemical Corp. of Japan.
  • the substrate and the polishing article are typically exposed to the polishing composition and contacted for a period of time sufficient to remove the barrier layer material, for example between about 60 seconds and about 600 seconds.
  • the barrier layer materials may be removed at a rate up to about 1200 ⁇ /min.
  • the barrier layer may be polished by the accelerating and decelerating polishing processes described herein, for example, according to the copper polishing processes described above for steps 310 - 360 .
  • a cleaning solution may be applied to each of the polishing articles during or subsequent each of the polishing process to remove particulate matter and spent reagents from the polishing process as well as help minimize metal residue deposition on the polishing articles and defects formed on a substrate surface.
  • An example of a suitable cleaning solution is Electra CleanTM commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • a 300 mm substrate including a low k dielectric material, such as Black DiamondTM dielectric materials or BLOkTM dielectric materials from Applied Materials, Inc. of Santa Clara, Calif., with feature definitions formed therein, a tantalum barrier layer deposited on the dielectric layer and in the feature definitions formed therein, and a copper-containing layer deposited on the barrier layer and filling the feature definitions formed therein is provided to the polishing apparatus disclosed above.
  • a low k dielectric material such as Black DiamondTM dielectric materials or BLOkTM dielectric materials from Applied Materials, Inc. of Santa Clara, Calif.
  • a polishing article such as an IC-1000 polishing article, is cleaned by applying a cleaning solution to the surface of the polishing article at a flow rate of about 300 ml/min for a period of about 9 seconds while rotating the platen at about 65 rpm (about 305 ft/min) and then ending the application of the cleaning solution and rotating the platen at a rotational rate between about 25 rpm (about 118 ft/min) and about 65 rpm (about 305 ft/min) for about 6 seconds.
  • the copper polishing process may be performed by positioning the substrate over the IC-1000 polishing article of the platen, and delivering a polishing composition, for example, Cabot EPC-5001, to the polishing article at a flow rate between about 150 ml/min and about 250 ml/min, for example, about 200 ml/min.
  • a polishing composition for example, Cabot EPC-5001
  • the platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm).
  • the substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example 0.5 psi, is applied between a substrate and polishing article.
  • the substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds.
  • the platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate greater than about 160 cps (about 120 rpm, about 565 ft/min), such as between about 200 cps and about 240 cps (between about 150 rpm, about 705 ft/min and about 180 rpm, about 850 ft/min), for example, about 150 rpm, at an acceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 200 cps and about 240 cps (between about 152 rpm and about 182 rpm), for example, about 152 rpm, at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi.
  • the carrier head and the platen are accelerated for about 5 seconds at an acceleration rate of about 30 rpm/s (about 8500 ft/min 2 ) at a contact pressure of about 0.5 psi
  • the substrate is polished at a platen rotational rate of greater than about 160 cps (about 120 rpm, about 565 ft/min), such as about 150 rpm and carrier head rotational rate greater than about 160 cps (about 120 rpm), such as about 152 rpm at a pressure of about 0.8 psi for about 150 seconds.
  • the substrate may then be polished at a downforce pressure of about 0.5 psi for about 2 seconds prior to any deceleration of rotational rates.
  • the polishing composition is delivered to the polishing article at a flow rate between about 150 ml/min and about 250 ml/min, for example, about 200 ml/min.
  • the platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 88 cps cps (about 66 rpm) by a deceleration rate of about 30 rpm/s.
  • the deceleration is performed for about 3 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi.
  • the substrate may be polished at a reduced carrier head rotational rate of about 66 rpm and reduced platen rotational rate of about 65 rpm (about 306 ft/min) for about 3 seconds prior to removal of the substrate.
  • a post-polishing composition such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase.
  • the substrate may then be removed from the surface of the polishing article.
  • Any remaining copper material may be removed in a second processing step including positioning the substrate over the IC-1000 polishing article of the platen, and delivering a polishing composition, which may be the same or different composition, to the polishing article at a flow rate between about 150 ml/min and about 350 ml/min, for example, about 300 ml/min.
  • the platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm).
  • the substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi.
  • the substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds.
  • the platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate of about 52 cps and about 133 cps (between about 40 rpm, about 190 ft/min and about 100 rpm, 471 ft/min) at an acceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 52 cps and about 133 cps (between about 40 rpm and about 100 rpm), at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi.
  • the carrier head and the platen are accelerated for about 2 seconds at an acceleration rate of about 30 rpm/s at a contact pressure of about 0.5 psi, the substrate is polished at a platen rotational rate of about 65 rpm (about 306 ft/min) and carrier head rotational rate of about 66 rpm at a pressure between about 0.5 psi and about 1 psi, for example, about 0.8 psi for about 455 seconds.
  • the polishing step may be performed in two or more portions at a consistent carrier head and platen rotational speed but with variable contact pressures, as a further example, the substrate is polished as the described rotational rates at a first pressure of about 0.5 psi for about 2 seconds, a pressure between about 0.8 and about 1 for about 230 seconds, a pressure between about 0.5 psi and about 0.7 psi for about 225 seconds.
  • the polishing composition is delivered to the polishing article at a flow rate between about 100 ml/min and about 350 ml/min, for example, about 300 ml/min.
  • the platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 88 cps (about 66 rpm) by a deceleration rate of about 30 rpm/s.
  • the deceleration is performed for about 2 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi.
  • the substrate may be polished at a reduced carrier head rotational rate of about 26 rpm and reduced platen rotational rate of about 25 rpm (about 118 ft/min) for about 3-5 seconds.
  • a post-polishing composition such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase.
  • the substrate may then be removed from the surface of the polishing article.
  • the substrate may then be transferred to another platen for barrier material and/or dielectric polishing.
  • the third platen may comprise a hard or soft polishing pad, for example a soft Politex polishing article disposed thereon.
  • a barrier layer polishing composition is delivered to the polishing article to remove the barrier layer material and planarize the surface of the substrate.
  • the barrier layer polishing composition is provided at a flow rate of about 200 ml/min, the platen is rotated at a speed of about 120 cps (about 93 rpm, about 440 ft/min), the carrier head is rotated at a speed of about 110 cps (about 87 rpm), and a pressure of about 2 psi is applied between a substrate and polishing article.
  • the substrate is then polished for a requisite amount of time at a rate up to about 1200 ⁇ /minute to sufficiently remove the barrier layer materials.
  • An Electra CleanTM solution is then used to clean the substrate surface following the polishing processes.
  • the substrate may be treated with a solution containing BTA to prevent corrosion of exposed features in oxidizing environments.
  • the barrier layer and/or dielectric polishing may be performed as follows.
  • the substrate may then be transferred to another platen having a suitable barrier polishing article, such as the Politex polishing article disposed thereon for barrier polishing, dielectric polishing and any buffing required.
  • the barrier/dielectric polishing process may be performed by positioning the substrate over the polishing article of the platen, and delivering a barrier polishing composition to the polishing article at a flow rate between about 100 ml/min and about 300 ml/min, for example, about 250 ml/min.
  • the platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm).
  • the substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi, is applied between a substrate and polishing article.
  • the substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds.
  • the platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate greater than about 160 cps (about 120 rpm, about 565 ft/min), such as between about 200 cps and about 240 cps (between about 150 rpm, about 705 ft/min and about 180 rpm, about 850 ft/min), for example, about 180 rpm, at an acceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 200 cps and about 240 cps (between about 152 rpm and about 182 rpm), for example, about 182 rpm, at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example between about 0.8 psi and about 1.0 psi.
  • the carrier head and the platen are accelerated for about 5 seconds at an acceleration rate of about 30 rpm/s at a contact pressure of about 0.8 psi, the substrate is polished at a platen rotational rate of about 180 rpm and carrier head rotational rate of about 182 rpm at a pressure of about 0.8 psi for about 67 seconds.
  • the polishing step may be performed in two or more portions at a consistent carrier head and platen rotational speed but with variable contact pressures, as a further example, the substrate is polished as the described rotational rates at a first pressure of about 0.5 psi for about 5 seconds, a pressure between about 0.8 and about 1 for about 60 seconds, a pressure of about 0.5 psi for about 2 seconds.
  • the polishing composition is delivered to the polishing article at a flow rate between about 250 ml/min and about 300 ml/min.
  • the platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min 2 ), to rotate the carrier head at a rotational rate of about 88 cps (about 66 rpm) by a deceleration rate of about 30 rpm/s.
  • the deceleration is performed between about 2 and about 5 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi.
  • the substrate may be polished at a reduced carrier head rotational rate of about 65 rpm and reduced platen rotational rate of about 65 rpm between about 2 and about 5 seconds.
  • a post-polishing composition such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase.
  • the substrate may then be removed from the surface of the polishing article.

Abstract

Method and apparatus are provided for polishing substrates comprising conductive and low k dielectric materials with reduced or minimum substrate surface damage and delamination. In one aspect, a method is provided for processing a substrate including positioning a substrate having a conductive material form thereon in a polishing apparatus having a rotational carrier head and a rotatable platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon, rotating the first carrier head at a first carrier head rotational rate and rotating a platen at a first platen rotational rate, contacting the substrate and the polishing article, accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first platen rotational rate to a second platen rotational rate, and polishing the substrate at the second carrier head rotational rate and at the second platen rotational rate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application serial No. 60/416,078, filed Oct. 3, 2002, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • Aspects of the invention generally relate to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices. [0003]
  • 2. Description of the Related Art [0004]
  • Reliably producing sub-half micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large-scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology has placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias, contacts, lines, and other interconnects. Reliable formation of these interconnects is important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates and die. [0005]
  • In order to further improve the current density of semiconductor devices on integrated circuits, it has become necessary to use conductive materials having low resistivity for conductors and materials having low dielectric constant (low k, defined herein as having dielectric constants, k, less than about 4.0) as insulating layers to reduce the capacitive coupling between adjacent interconnects. Increased capacitative coupling between layers can detrimentally affect the functioning of semiconductor devices. [0006]
  • One conductive material gaining acceptance is copper and its alloys, which have become the materials of choice for sub-quarter-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), a higher current and higher carrying capacity. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductivity and is available in a highly pure state. [0007]
  • One difficulty in using copper in semiconductor devices is that copper is difficult to etch in a precise pattern. Etching copper using traditional deposition/etch processes for forming interconnects has been less than satisfactory. Therefore, new methods of manufacturing interconnects having copper-containing materials and low k dielectric materials are being developed. [0008]
  • One method for forming vertical and horizontal interconnects is by a damascene or dual damascene method. In the damascene method, one or more dielectric materials, such as the low k dielectric materials, are deposited and pattern etched to form the vertical interconnects, i.e., vias, and horizontal interconnects, i.e., lines. Conductive materials, such as copper-containing materials, and other materials, such as barrier layer materials used to prevent diffusion of copper-containing materials into the surrounding low k dielectric, are then inlaid into the etched pattern. Any excess copper-containing materials and excess barrier layer material external to the etched pattern, such as on the field of the substrate, is then removed. [0009]
  • Planarizing a surface, or “polishing” a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in dual damascene processes to remove excess deposited material and to provide an even surface for subsequent levels of metallization and processing. Planarization may also be used in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. [0010]
  • Chemical mechanical planarization, or chemical mechanical polishing (CMP), is a common technique used to planarize substrates. In conventional CMP techniques, a substrate carrier or carrier head is mounted on a carrier assembly and positioned in contact with a polishing article in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing article. The article is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing article while dispersing a polishing composition to effect both chemical activity and mechanical activity. [0011]
  • Conventionally, in polishing copper features, such as dual damascene features, the copper-containing material, and a portion of the barrier layer, is polished to the level of the barrier layer, and then the barrier layer is polished, with a portion of the dielectric layer and copper features, to the level of the underlying dielectric layer. [0012]
  • One difficulty that can occur in polishing copper features may arise when using low k dielectric material in copper dual damascene formation. Low k dielectric materials are typically soft, porous, or brittle. Current polishing pressures, greater than about 2 psi, can damage the low k dielectric material and form defects in the substrate surface, such as film delamination, due to high shear stresses caused by the friction between the polishing article and substrate surface. Additionally, conventional polishing processes contact the substrate and a polishing article at polishing conditions that impart high shear stresses. Such polishing conditions, including both polishing pressure and polishing article rotational rates, have been observed to and result in delamination of material from the substrate surface. [0013]
  • Therefore, there exists a need for an apparatus and method that reduce or minimize the formation of topographical defects and film delamination during processing. [0014]
  • SUMMARY OF THE INVENTION
  • Aspects of the invention relate generally to methods and apparatus for polishing substrates comprising conductive materials and/or low k dielectric films with reduced or minimum substrate surface damage and delamination. In one aspect, a method is provided for processing a substrate having a conductive material formed thereon including positioning the substrate in a polishing apparatus having a rotational carrier head and a rotatable platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon, rotating the first carrier head at a first carrier head rotational rate and rotating a platen at a first platen rotational rate, contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi, accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first platen rotational rate to a second platen rotational rate, and removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second platen rotational rate. [0015]
  • In another aspect, a method is provided for processing a substrate having a conductive material formed thereon including positioning the substrate in a rotational carrier head, rotating the rotational carrier head at a carrier head rotational rate of about 80 rpm or less, rotating a platen at a platen rotational rate of about 80 rpm or less, wherein the platen has a polishing article disposed thereon, contacting the substrate and the polishing article at a polishing pressure between about 0.1 psi and about 2 psi, rotating the rotational carrier head at a carrier head rotational rate between greater than about 120 rpm and about 500 rpm or less, rotating the platen at a platen rotational rate between greater than about 120 rpm and about 750 rpm or less, and removing a substantial portion of the conductive material from the substrate. [0016]
  • In another aspect, a method is provided for processing a substrate having a conductive material formed thereon including contacting the substrate with a polishing article at a polishing pressure of less than about 2 psi to remove a substantial portion of the conductive material, wherein the substrate is disposed in a carrier head having a first carrier head rotational rate and the substrate is contacted with a polishing material disposed on the platen having a first platen rotational rate, reducing the first carrier head rotational rate to a second carrier head rotational rate less than the first carrier head rotational rate, reducing the first platen rotational rate to a second platen rotational rate less than the first platen rotational rate, and removing the substrate from contact with the polishing material disposed on the platen. [0017]
  • In another aspect, a method is provided for processing a substrate having a conductive material formed thereon including positioning the substrate in a polishing apparatus having a rotational carrier head and a platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon, rotating the first carrier head at a first carrier head rotational rate and providing a first linear velocity, contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi, accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first linear velocity to a second linear velocity, and removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second linear velocity.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0019]
  • FIG. 1 is a plan view of one embodiment of a chemical mechanical planarization system of the invention; [0020]
  • FIG. 2 is a sectional view of a polishing station taken along section line [0021] 3-3 of FIG. 1; and
  • FIG. 3 is a flow chart illustrating the processing steps according to one embodiment of the invention.[0022]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • In general, aspects of the invention provide methods and apparatus for polishing substrates having conductive materials and low k dielectric films disposed thereon with reduced or minimum substrate surface damage and delamination. The invention will be described below in reference to a planarizing process for the removal of conductive materials, such as copper-containing materials, formed in feature definitions of low k dielectric materials by chemical mechanical polishing (CMP) techniques. Chemical mechanical polishing is broadly defined herein as polishing a substrate by a combination of both chemical and mechanical activity. [0023]
  • The planarizing process and composition that can used to polish a substrate disposed in chemical mechanical polishing process equipment, such as the Mirra® polishing system, the Mirra Mesa™ polishing system, the Reflexion® polishing system, and the Reflexion LK™ polishing system, all of which are available from Applied Materials, Inc., The Mirra® polishing system is further described in U.S. Pat. No. 5,738,574, entitled, “Continuous Processing System for Chemical Mechanical Polishing,” the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention. [0024]
  • The invention will be described below in reference to a planarizing process and a polishing article that can be performed on a chemical mechanical polishing system, such as a Reflexion™ CMP System available from Applied Materials, Inc., located in Santa Clara, Calif. Although, the polishing process and composition described herein is illustrated utilizing the Reflexion® CMP System, any system enabling chemical mechanical polishing, such as the Mirra Mesa™ polishing system, using the methods described herein can be used to advantage. The invention further contemplates that changes is process parameters may be required to perform the processes described herein on different apparatus. [0025]
  • FIG. 1 depicts a plan view of one embodiment of a chemical [0026] mechanical planarization system 100 generally having a factory interface 102, a loading robot 104, one or more polishing modules 106, and one or more lift assemblies 108. Generally, the loading robot 104 is disposed proximate the factory interface 102 and the polishing module 106 to facilitate the transfer of substrates 122 therebetween.
  • A computer based [0027] controller 190 is connected to the polishing system or apparatus 120 for instructing the system to perform one or more processing steps on the system, such as polishing a substrate or transferring a substrate in the polishing apparatus 120. In one embodiment, the invention may be implemented as a computer program-product for use with a computer system or computer based controller 190. The programs defining the functions of an embodiment can be provided to a computer via a variety of signal-bearing media and/or computer readable media, which include but are not limited to, (i) information permanently stored on non-writable storage media (e.g., read-only memory devices within a computer such as read only CD-ROM disks readable by a CD-ROM or DVD drive; (ii) alterable information stored on a writable storage media (e.g., floppy disks within diskette drive or hard-disk drive); or (iii) information conveyed to a computer by communications medium, such as through a computer or telephone network, including wireless communication. Such signal-bearing media, when carrying computer-readable instructions that direct the functions of the invention, represent alternative embodiments of the present invention. It may also be noted that portions of the product program may be developed and implemented independently, but when combined together are embodiments of the present invention.
  • The [0028] factory interface 102 generally includes a cleaning module 116 and one or more substrate cassettes 118. An interface robot 120 is employed to transfer substrates 122 between the substrate cassettes 118, the cleaning module 116 and an input module 124. The input module 124 is positioned to facilitate transfer of substrates 122 between the polishing module 106 and the factory interface 102 by the loading robot 104. For example, unpolished substrates 122 retrieved from the cassettes 118 by the interface robot 120 may be transferred to the input module 124 where the substrates 122 may be accessed by the loading robot 104 while polished substrates 122 returning from the polishing module 106 may be placed in the input module 124 by the loading robot 104. Polished substrates 122 are typically passed from the input module 124 through the cleaning module 116 before the factory interface robot 120 returns the cleaned substrates 122 to the cassettes 118. An example of such a factory interface 102 that may be used to advantage is disclosed in U.S. Pat. No. 6,361,422, issued Mar. 26, 2002, which is hereby incorporated by reference.
  • The [0029] loading robot 104 is generally positioned proximate the factory interface 102 and the polishing module 106 such that the range of motion provided by the robot 104 facilitates transfer of the substrates 122 therebetween. An example of a loading robot 104 is a 4-Link robot, manufactured by Kensington Laboratories, Inc., located in Richmond, Calif.
  • The [0030] exemplary loading robot 104 has an articulated arm 126 having a rotary actuator 128 at its distal end. An edge contact gripper 130 is coupled to the rotary actuator 128. The rotary actuator 128 permits the substrate 122 secured by the gripper 130 to be oriented in either a vertical or a horizontal orientation without contacting the feature side 120 of the substrate 122 and possibly causing scratching or damage to the exposed features. Additionally, the edge contact gripper 130 securely holds the substrate 122 during transfer, thus decreasing the probability that the substrate 122 will become disengaged. Optionally, other types of grippers, such as electrostatic grippers, vacuum grippers and mechanical clamps, may be substituted.
  • Polishing [0031] modules 106 including those that use polishing article, polishing webs, or a combination thereof may also be used to advantage. Other systems that benefit include systems that move a substrate relative a polishing surface in a rotational, linearly or in other motion within a plane.
  • The [0032] exemplary polishing module 106 has a transfer station 136, a plurality of polishing stations 132 and a carousel 134 disposed on an upper or first side 138 of a machine base 140. In one embodiment, the transfer station 136 comprises at least an input buffer station 142, an output buffer station 144, a transfer robot 146, and a load cup assembly 148. The loading robot 104 places the substrate 122 onto the input buffer station 142. The transfer robot 146 has two gripper assemblies, each having pneumatic gripper fingers that grab the substrate 122 by the substrate's edge. The transfer robot 146 lifts the substrate 122 from the input buffer station 142 and rotates the gripper and substrate 122 to position the substrate 122 over the load cup assembly 148, then places the substrate 122 down onto the load cup assembly 148. An example of a transfer station that may be used to advantage is described by Tobin in U.S. Pat. No. 6,156,124, issued Dec. 5, 2000, which is hereby incorporated by reference.
  • The [0033] carousel 134 is generally described by Tolles in the previously incorporated U.S. Pat. No. 5,804,507. Generally, the carousel 134 is centrally disposed on the base 140. The carousel 134 typically includes a plurality of arms 150, each supporting a polishing head assembly 152. Two of the arms 150 depicted in FIG. 2 are shown in phantom such that a polishing surface 131 of one of the polishing stations 132 and the transfer station 136 may be seen. The carousel 134 is indexable such that the polishing head assemblies 152 may be moved between the polishing stations 132 and the transfer station 136.
  • Generally, a chemical mechanical polishing process is performed at each polishing [0034] station 132. A conditioning device 182 is disposed on the base 140 adjacent each polishing station 132. The conditioning device 182 periodically conditions the polishing surface 131 to maintain uniform polishing results.
  • A computer based [0035] controller 190 is connected to the polishing system or apparatus 120 for instructing the system to perform one or more processing steps on the system, such as polishing a substrate or transferring a substrate in the polishing apparatus 120.
  • Although the process described herein is discussed as being implemented by a software routine, some or all of the method steps may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware. [0036]
  • FIG. 2 depicts a sectional view of the polishing [0037] head assembly 152 supported above the polishing station 132. The polishing head assembly 152 generally comprises a drive system 202 coupled to a polishing head 204. The drive system 202 generally provides rotational motion to the polishing head 204. The polishing head 204 additionally may be actuated to extend towards the polishing station 132 such that the substrate 122 retained in the polishing head 204 may be disposed on the polishing station 132.
  • The [0038] drive system 202 is coupled to a carrier 208 that translates upon a rail 210 disposed in the arm 150 of the carousel 134. A ball screw or other linear motion device 212 couples the carrier 208 to the carousel 134 and positions the drive system 202 and polishing head 204 along the rail 210.
  • In one embodiment, the polishing [0039] head 204 is a TITAN HEAD™ substrate carrier manufactured by Applied Materials, Inc., Santa Clara, Calif. Generally, the polishing head 204 comprises a housing 214 having an extending lip 216 that defines a center recess 218 in which is disposed a bladder 220. The bladder 220 may be comprised of an elastomeric material or thermoplastic elastomer such as ethylene propylene, silicone and HYTREL™ polymer. The bladder 220 is coupled to a fluid source (not shown) such that the bladder 220 may be controllably inflated or deflated. The bladder 220, when in contact with the substrate 122, retains the substrate 122 within the polishing head 204 by deflating, thus creating a vacuum between the substrate 122 and the bladder 220. A retaining ring 224 circumscribes the polishing head 204 to retain the substrate 122 within the polishing head 204 while polishing.
  • Disposed between the polishing head assembly [0040] 154 and the polishing station 132 is polishing article, such as a web of polishing material 252. The web of polishing material 252 may have a smooth surface, a textured surface, or a combination of smooth and textured surfaces. For example, the web of polishing material may have a textured portion such as a center portion of the web or have a textured perimeter portion, while the remaining surface of the web is smooth. An example of a web of polishing material is described in co-pending patent application Ser. No. 10/159,183, filed on May 31, 2003, [Atty. Docket No. 6289/CMP/CMP/RKK] which is incorporated herein by reference to the extent not inconsistent with the disclosure and claimed aspects herein.
  • The polishing [0041] station 132 generally comprises a platen 230 that is disposed on the base 140. The platen 230 is typically comprised of aluminum. The platen 230 is supported above the base 140 by a bearing 238 so that the platen 230 may rotate in relation to the base 140. An area of the base 140 circumscribed by the bearing 238 is open and provides a conduit for the electrical, mechanical, pneumatic, control signals and connections communicating with the platen 230.
  • Conventional bearings, rotary unions and slip rings (not shown) are provided such that electrical, mechanical, pneumatic, control signals and connections may be coupled between the base [0042] 140 and the rotating platen 230. The platen 230 is typically coupled to a motor 232 that provides the rotational motion to the platen 230. The platen 230 may be rotated at a rotational speed between about 0 revolutions per minute (rpm), i.e., stationary, and about 10,000 rpm with variable rotational rates may be obtained through modifying the platen motor; and the carrier head may be rotated between about 0 revolutions per minute (rpm), i.e., stationary, and about 10,000 rpm with variable rotational rates may be obtained through modifying the carrier head motor.
  • The [0043] platen 230 has an upper portion 236 that supports the web of polishing material 252. A top surface 260 of the platen 230 contains a center recess 276 extending into the top portion 236. The top portion 236 may optionally include a plurality of passages 244 disposed adjacent to the recess 276. The passages 244 are coupled to a fluid source (not shown). Fluid flowing through the passages 244 may be used to control the temperature of the platen 230 and the polishing material 252 disposed thereon. The web of polishing material 252 may be in the form of a pad, roll or sheet of material that may be advanced across or releasably fixed to the polishing surface. Typically, the web of polishing material 252 is releasably fixed by adhesives, vacuum, mechanical clamps or by other holding methods to the platen 230.
  • A sub-pad [0044] 278 and a sub-plate 280 are disposed in the center recess 276. The sub-pad 278 is typically a polymeric material, such as polycarbonate or foamed polyurethane. Generally, the hardness or durometer of the sub-pad may be chosen to produce a particular polishing result. The sub-pad 278 generally maintains the polishing material 252 parallel to the plane of the substrate 122 held in the polishing head 204 and promotes global planarization of the substrate 122. The sub-plate 280 is positioned between the sub-pad 278 and the bottom of the recess 276 such that the upper surface of the sub-pad 278 is coplanar with the top surface 260 of the platen 230.
  • Both the sub-pad [0045] 278 and the sub-plate 280 optionally contain a plurality of apertures (not shown) that are generally disposed in a pattern such that the polishing motion of the substrate 122 does not cause a discrete portion of the substrate 122 to pass repeatedly over the apertures while polishing as compared to the other portions of the substrate 122. A vacuum port 284 is provided in the recess 276 and is coupled to an external pump 282. When a vacuum is drawn through the vacuum port 284, the air removed between the polishing material 252 and the sub-pad 278 causes the polishing material 252 to be firmly secured to the sub-pad 278 during polishing.
  • An example of such polishing material retention system is disclosed in U.S. patent application Ser. No. 09/258,036, filed Feb. 25, 1999, by Sommer et al., which is hereby incorporated by reference. The reader should note that other types of devices might be utilized to fix the polishing [0046] material 252 to the platen 230, for example, adhesives, bonding, electrostatic chucks, mechanical clamps and other retention mechanisms.
  • Optionally, to assist in releasing the polishing [0047] material 252 from the sub-pad 278 and platen 230 prior to advancing the polishing material 252, surface tension caused by fluid that may be disposed between the sub-pad 278 and the polishing material 252, a blast of gas (e.g., air) may be provided through the vacuum port 284 or other port (not shown) into the recess 276 by the pump 282 (or other pump). The air pressure within the recess 276 moves through the apertures (not shown) disposed in the sub-pad 278 and sub-plate 280 and lifts the polishing material 252 from the sub-pad 278 and the top surface 260 of the platen 230. The polishing material 252 rides upon the cushion of air such that it may be freely indexed across the platen 230.
  • Alternatively, the sub-pad [0048] 278 may be a porous material that permits gas (e.g., air) to permeate therethrough and lift the polishing material 252 from the platen 230. Such a method for releasing the web 252 is described in U.S. patent application Ser. No. 09/676,395, filed Sep. 29, 2000, by Butterfield, et al., and is hereby incorporated by reference in its entirety.
  • Mounted to one side of the [0049] platen 230 is a supply roll 240. The supply roll 240 generally contains a portion of the web of polishing material 252 wound thereon. The web of polishing material 252 is fed over a lift member 242 of the lift assembly 108A and across the top surface 260 of the platen. The web of polishing material 252 is fed over a lift member 246 of the lift assembly 108B and to a take-up roll 248 disposed to the other side of the platen 230. The lift members 242, 246 may be a roller, a rod, a bar or other member configured to allow the web 252 to move thereover with minimal damage to the web, particulate generation or contamination of the web.
  • The [0050] supply roll 240 is removably coupled to the platen 230 to facilitate loading another unwind roll containing unused polishing article once the web of polishing material 252 is consumed over the course of polishing a number of substrates. The supply roll 240 is coupled to a slip clutch 250 or similar device that prevents the web of polishing material 252 from inadvertently unwinding from the supply roll 240.
  • A [0051] housing 254 that protects the supply roll 240 from damage and contamination covers the supply roll 240. To further prevent contamination of the supply roll 240, a gas is disposed in the volume between the housing 254 and the platen 230 which flows out a gap 256 defined between an edge 258 of the housing 254 and the web of polishing material 252 disposed on the lift member 242. The gas flowing through the gap 256 prevents contaminants such as polishing fluids and byproducts from coming in contact with the unused portion of the web of polishing material 252 disposed on the supply roll 240 enclosed by the housing 254.
  • The take-[0052] up roll 248 generally is removably coupled to the platen 230 to facilitate removal of used polishing article that is wound thereon. The take-up roll 248 is coupled to a tensioning device 262 that keeps the web of polishing material 252 taunt between the supply roll 240 and take-up roll 248. A housing 264 disposed over the take-up roll 248 protects the take-up roll 248 from damage and contamination.
  • The web of polishing [0053] material 252 is advanced between the supply roll 240 and take-up roll 248 by an indexing means 266. In one embodiment, the indexing means 266 comprises a drive roller 268 and an idler 270 that pinches the web of polishing material 252 therebetween. The drive roller 256 generally is coupled to the platen 230. The drive roller 256 is connected to a controlled motor such as a stepper and an encoder (motor and encoder not shown). The indexing means 266 enables a predetermined length of polishing material to be pulled off the supply roll 240 by drive roller 256 as the drive roller 256 is controllably rotated. The predetermined length is usually about 1 inch or less, such as about ¼ inch indexing between substrates. The web of polishing material 252 may be indexed and conditioned to provide effective polishing of about 5000 or more substrate according to the polishing process described herein. An example of a corresponding length of polishing material is about 100 feet in length. Additionally, the web of polishing material 252 may be conditioned before or after polishing the substrate by a conditioning apparatus, such as a conditioning disk.
  • Before the web of polishing [0054] material 252 is advanced across the platen 230, at least one of the lift assemblies 108A or 108B is raised to an extended position to maintain the web of polishing material 252 in a spaced-apart relation to the platen 230. In a spaced-apart relation, the web 252 may be freely advanced without having to overcome surface tension due to fluid disposed between the web and the platen or possibly creating particulate by contacting the backside of the web with the platen while the web is moving.
  • To facilitate control of the system as described above, the [0055] controller 190 may include a CPU 192 of FIG. 1, which CPU 192 may be one of any form of computer processors that can be used in an industrial setting for controlling various chambers and subprocessors. The memory 194 is coupled to the CPU 192. The memory 194, or computer-readable medium, may be one or more of readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. For storing information and instructions to be executed by the CPU 192.
  • The [0056] support circuits 196 are coupled to the CPU 192 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and can include input devices used with the controller 190, such as keyboards, trackballs, a mouse, and display devices, such as computer monitors, printers, and plotters. Such controllers 190 are commonly known as personal computers; however, the present invention is not limited to personal computers and can be implemented on workstations, minicomputers, mainframes, and supercomputers.
  • A process, such as the polishing processes described below, is generally stored in the [0057] memory 194, typically as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 192.
  • Chemical Mechanical Polishing Process [0058]
  • Chemical mechanical polishing of substrate surfaces with reduced or minimal delamination and/or defect formation can be achieved by initially contacting a substrate with a polishing article at a contact pressure of less than about 2 psi and at reduced platen and carrier head rotational rates and then accelerating the platen and carrier head rotational rates to processing conditions for polishing the substrate. Minimal delamination and/or defect formation can also be achieved by decelerating the platen and carrier head rotational rates prior to removing the substrate from contact with the polishing article. [0059]
  • Although the following disclosure describes the use of the aspects of the invention with a desired polishing process, the invention contemplates that multi-step polishing techniques, polishing techniques with variable or modulating process parameters, and conventional polishing techniques may be used in conjunction with the aspects of the invention described herein, and that the invention may be modified to achieve the needs of those techniques. Additionally, while the invention is described in relation to processing steps, the steps described herein should not be construed or interpreted as limiting the practice or scope of the invention. Further, while the following description is directed to high speed/low pressure polishing processes, the invention contemplates the aspects described herein to be used with conventional polishing processes. [0060]
  • While the following description describes the polishing of a conductive material, such as copper, the invention also contemplates the polishing of other materials including barrier layer materials, such as tantalum and tantalum nitride, as well as dielectric materials including low k dielectric material such as silicon carbide and carbon-doped silicon oxide. Further, while the following processes described herein may illustrate polishing the substrate on two platens, the invention contemplates polishing the substrate by the process described herein on apparatus having one or more platens. For example, the invention contemplates that the steps may be performed on the same platen or that portions of some process steps may be performed on multiple platens. [0061]
  • FIG. 3 is a [0062] flow chart 300 illustrating one embodiment of a process for utilizing the processes described herein to remove materials disposed on a substrate in a high speed/low pressure polishing technique. A substrate is positioned in a carrier head adjacent a platen containing an abrasive-free or “conventional” polishing pad, or a fixed abrasive polishing article, and the carrier head and platen are rotated at first rotational rates respectively at step 310. The substrate is then contacted with the polishing article at step 320. The rotational rates of the platen and the carrier head are accelerated respectively to second rotational rates of the platen and the carrier head for performing the bulk polishing process at step 330. Materials are then removed from the surface of the substrate by polishing the substrate at the respective second rotational rates of the bulk polishing process at step 340. The bulk polishing process may be one or more polishing steps. The respective second rotational rates may then decelerated to respective third rotational rates at step 350. The substrate may then be removed from the polishing article at the respective third polishing rates at step 360.
  • Examples of substrates that may be polished according to the processes described herein may be formed by a process including etching feature definitions in a dielectric layer, depositing a barrier layer material on the substrate surface and in the feature definitions, and depositing a bulk copper-containing material over the substrate surface to fill the feature definitions. [0063]
  • As used throughout this disclosure, the phrase “copper-containing material”, “copper” and the symbol Cu are intended to encompass high purity elemental copper as well as doped copper and copper-based alloys, e.g., doped copper and copper-based alloys containing at least about 80 wt. % copper. Bulk copper containing material is broadly defined herein as copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Residual copper containing materials is broadly defined as any bulk copper containing material remaining after one or more polishing process steps. Residual copper-containing material can include copper, copper alloys, copper oxides, and/or doped copper. [0064]
  • The barrier layer material includes tantalum, tantalum nitride, and derivatives thereof, such as tantalum silicon nitride. The invention described herein also contemplates the use of other barrier materials known or unknown that may be used as a barrier with conductive materials, such as copper. [0065]
  • The dielectric layer can comprise any of various dielectric materials known or unknown that may be employed in the manufacture of semiconductor devices. For example, dielectric materials, such as silicon dioxide, phosphorus-doped silicon glass (PSG), boron-phosphorus-doped silicon glass (BPSG), and carbon-doped silicon dioxide, can be employed. The dielectric layer can also comprise low dielectric constant (k) materials, including fluoro-silicon glass (FSG), polymers, such as polymides, and carbon-containing silicon oxides, such as Black Diamond™ dielectrics, or silicon carbides, such as BLOk™ dielectrics, available from Applied Materials, Inc. of Santa Clara, Calif. The openings are formed in interlayer dielectrics by conventional photolithographic and etching techniques. The invention also contemplates the use of dielectric materials, known or unknown that may be used as dielectric layers in semiconductor fabrication. [0066]
  • The processes described herein may be used in polishing techniques using low polishing pressures and high platen rotational rates, which have been observed to be effective in polishing conductive materials from substrates having low dielectric constant (k) materials (i.e., dielectric constants of about 4 or less.) at removal rates up to about 10000 Å/min with reduced or minimal damage, such as film delamination, to the underlying low k dielectric material and effective planarization of bulk copper materials with minimal dishing. [0067]
  • A copper layer disposed on a substrate surface as described herein may be polished in the [0068] first step 310 by positioning the substrate in a carrier head 170 adjacent a first platen containing a polishing article 100 disposed on platen 130 in polishing station 125 a. The polishing article 100 a is rotated at a first platen rotational rate of about 130 centimeters per second (cps) or less at the outer edge or average of a 20 inch rotating platen (about 100 rpm or less for a 20 inch platen), such as between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm). The substrate disposed in the carrier head 170 a is rotated at a first carrier head rotational rate of about 130 cps or less (about 100 rpm or less), such as between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm). The platen rotation rates correspond to a linear velocity at the substrate polishing surface of about 475 ft/min or less, such as between about 90 ft/min and about 380 ft/min.
  • The substrate may be contacted with the polishing article [0069] 100 a generally at a polishing pressure of less than about 2 psi, such as, between about 0.01 psi and about 1 psi, for example, between about 0.3 psi and about 1.0 psi, at step 320. A polishing pressure between about 0.5 psi and about 0.8 psi has been used with minimal or no delamination being observed.
  • The pressure may be varied during processing a substrate at one or more rotational speeds for any of the processing steps described herein. For example, pressure may be applied at a first pressure of between about 0.8 psi and about 1 psi during a first portion, for example, about one-half of the polishing process, and a second pressure less than the first pressure, for example, between about 0.5 psi and about 0.7 psi may be used for the second portion of the polishing process. In another example, the polishing process may have two pressure portions, a first pressure, and then a second pressure greater than the first pressure, contrary to the previously provided example. The polishing step may include 3 or more portions having variable polishing pressure for each step, with subsequent portions having pressure less than or greater than previous portions. A first portion may have a first pressure, the second portion has a second pressure greater than or less than the second pressure, and then a third portion has a third pressure less than or greater than the second and/or first portion, including, for example, a first pressure, a second portion having a second pressure greater than the first portion, and a third portion having a third pressure less than the second pressure, which may be less than or greater than the first portion. [0070]
  • The carousel [0071] 160 positions the substrate in contact with the polishing article 100 a, and the substrate and the polishing article move relative to one another with a first polishing composition distributed between the substrate and the polishing article to effect chemical and mechanical activity on the substrate.
  • A polishing composition may be supplied to the polishing article [0072] 100 a at a flow rate of about 100 ml/min or greater from a storage medium disposed near the CMP apparatus. Typically, a flow rate of between about 100 ml/min and about 300 ml/min may be supplied to the polishing article for polishing the substrate. The substrate is typically a 300 mm substrate, and the invention contemplates polishing substrates of other sizes, such as 200 mm substrates. Polishing parameters, such as flow rate, maybe modified to reflect the change in polishing size to be polished by the processes described herein.
  • Examples of suitable polishing compositions, including abrasive-containing polishing compositions, commercially available for removing copper-containing material in the first polishing step include EPC-5003, EPC-5001, EPC-600Y-75, and EPC-5306, available from Cabot Corp. of Aurora, Ill., and HS-C430-A3, HS-C430-A1, HS-C430-A22, HS-C430-A33, available from Hitachi Chemical Corp. of Japan, of which EPC-5001 is preferred. The abrasive-containing polishing compositions may include up to about 30 wt. % abrasives, for example, up to about 1 wt. % or less of abrasives. [0073]
  • The rotational rate of the [0074] platen 130 and the rotational rate of the carrier head 170 are accelerated respectively to a second platen rotational rate 130 and a second carrier head rotational rate for performing the main polishing process at step 330. The respective second rotational rates of the platen 130 and rotational head 170 are generally greater than the respective first rotational rates. The acceleration rates of the respective carrier head and platen rotational rates may be between about 6 cps/s (about 5 rpm/s) and about 80 cps/s (about 60 rpm/s), for example, about 40 cps/s (about 30 rpm/s). The acceleration rates may result in a linear acceleration of between about 1400 ft/min2 and about 17,000 ft/min2, for example, between about 8450 ft/min2 and about 8500 ft/min2, for a 300 mm platen. The linear acceleration and linear velocity described herein are described with regard to a 300 mm substrate rotational platen processing platform, such as the Reflexion LK™ described herein, and the invention contemplates that linear velocity may vary according to the processing platform including 200 mm substrate rotational platen processing platform, orbital platen platforms, and linear platen platforms.
  • The platen [0075] 125 a may be accelerated to a platen rotational rate greater than about 160 cps (120 rpm), such as between about 200 cps and about 1000 cps (about 150 rpm and about 750 rpm, for example, between about 180 rpm and about 500 rpm), such as a platen rotational rate of between about 200 cps and about 350 cps (about 150 rpm and about 250 rpm). The substrate disposed in a carrier head system 170 may be accelerated to a carrier head rotational rate greater than about 160 cps (120 rpm), such as between about 200 cps and about 650 cps (between about 150 rpm and about 500 rpm, for example, between about 180 rpm and about 500 rpm), such as between about 400 cps and about 650 cps (about 300 rpm and about 500 rpm).
  • The platen rotation rates correspond to a linear velocity at the substrate polishing surface of greater than about 560 ft/min, such as between about 710 ft/min and about 3600 ft/min, for example, between about 840 ft/min and about 2350 ft/min, such as a platen rotational rate of between about 705 ft/min and about 1200 ft/min. The linear velocity of the substrate, also referred to as the relative linear velocity of the substrate, is usually considered the linear velocity at the center of the substrate. For a rotating substrate, the average relative linear velocity typically increases when measured further from the center of the substrate. Additionally, the relative linear velocity of the substrate increases as the substrate is moved from the center of a rotating polishing article. An example of a linear velocity at the rotational rates described herein may produce a linear velocity between about 560 ft/min (120 rpm for platen) and about 3600 ft/min (750 rpm for platen) at the center of a substrate displaced approximately 9 inches from a rotating polishing article axis of the platen (not shown). [0076]
  • The substrate may then be polished at the respective second carrier head and platen rotational rates described herein at the polishing composition flow rate described herein at [0077] step 340. A pressure of less than about 2 psi, such as: about 1.5 psi or less, for example, between about 0.01 psi and about 1 psi, is generally applied between the substrate and the polishing media during the polishing process. A polishing pressure between about 0.3 psi and about 1 psi may be used, for example, between about 0.5 psi and about 0.8 psi. The polishing pressure of the main polishing step may be greater than the initial or subsequent polishing steps described herein, and the polishing pressure for this step may also be the same polishing pressure as step 320. If a conventional polishing process is used, the polishing pressure may be up to about 6 psi.
  • The substrate and the polishing article are typically exposed to the polishing composition and contacted for a period of time sufficient to remove at least a portion or all of the bulk copper-containing material disposed thereon. For example, the polishing article may be exposed to the first polishing composition between about 2 seconds and about 500 seconds, such as between about 30 seconds and about 450 seconds, but may vary depending upon the material being removed, the concentration of the components of the first polishing composition, and the amount or thickness of bulk copper-containing material on the substrate. The copper-containing material may be removed at a rate between about 4000 Å/min and about 8,000 Å/min or any rate to remove the bulk copper-containing materials deposited on the substrate Further disclosures of a high speed/low pressure polishing process for conductive and barrier materials are more fully described in U.S. patent Ser. No. 10/199,444, filed on Jul. 19, 2002, and U.S. patent Ser. No. 10/187,857, filed on Jun. 27, 2002, which are incorporated by reference to the extent not inconsistent with the claimed aspects and disclosure herein. [0078]
  • The polishing composition may be supplied to the polishing platen at a flow rate of about 50 ml/min or greater, such as between about 50 ml/min and about 500 ml/min, for example, between about 100 ml/min and about 300 ml/min. Polishing compositions may be used in the processes described herein may contain abrasives or be abrasive free, and the polishing articles may be conventional polishing article materials or fixed-abrasive polishing article materials. The polishing process may be selective to one or more materials or may be non-selective to the materials deposited on the substrate surface. [0079]
  • An example of a suitable polishing composition includes EPC-5001, which is commercially available from Cabot Corp. of Aurora, Ill. that may be delivered to conventional polishing article, such as an IC-1000, commercially available from Rodel Inc., of Phoenix Ariz. The process and polishing composition may be used to remove copper material at a higher removal rate than the barrier layer material. For example, polishing the substrate surface with the EPC-5001 slurry by the process described herein was observed to have a removal rate ratio, or selectivity, of copper to barrier material of about 20:1. The composition and process parameters may be chosen to provide selectivities of copper to barrier of about 10:1 or greater to effectively remove copper material with reduced or minimal barrier layer removal. The polishing composition may be an abrasive-containing polishing composition having up to about 1 wt. %, for example, such as between about 0.1 wt. % and about 0.5 wt. % of abrasives may be used. The low pressure/high platen rotational rate polishing process may remove copper-containing materials a rate of about 2500 Å/min or greater, such as between about 3000 Å/min and about 8000 Å/min, for example 4500 Å/min. Alternatively, the low pressure/high platen rotational rate polishing process may be integrated into a multi-step polishing process. [0080]
  • Additionally, while the processing parameters are generally described for polishing 300 mm substrates, the invention contemplates modifying processing parameters to satisfy the requirements for polishing substrates of different sizes, such as 200 mm substrates, and polishing on various apparatus, such as orbital motion polishing apparatus. The process described below should be considered illustrative, and should not be construed or interpreted as limiting the scope of the invention. [0081]
  • The rotational rate of the [0082] platen 130 and the rotational rate of the carrier head 170 are decelerated respectively to a third platen rotational rate 130 and a third carrier head rotational rate for performing the main polishing process at step 350. The respective third rotational rates of the platen 130 and rotational head 170 are less than the respective second rotational rates and may be equal to the respective first rotational rates.
  • The deceleration rates of the respective carrier head and platen rotational rates may be between about 6 cps/s (about 5 rpm/s) and about 80 cps/s (about 60 rpm/s), for example, about 40 cps/s (about 30 rpm/s). The deceleration rates may result in a linear acceleration of between about 1400 ft/min[0083] 2 and about 17,000 ft/min2, for example, between about 8450 ft/min2 and about 8500 ft/min2, for a 300 mm platen.
  • The polishing article [0084] 100 a may be rotated at a third platen rotational rate of about 130 cps or less (about 100 rpm or less for a 20 inch platen), between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm). The substrate disposed in the carrier head 170 a may be rotated at a third carrier head rotational rate of about 130 cps or less (about 100 rpm or less), between about 26 cps and about 107 cps (about 20 rpm and about 80 rpm). The platen rotation rates correspond to a linear velocity at the substrate polishing surface of about 475 ft/min or less, such as between about 90 ft/min and about 380 ft/min. Any remaining residual materials may also be removed at the polishing pressures and respective third carrier head and platen rotational rates.
  • At the respective third carrier head and platen rotational rates, the substrate may be contacted with the polishing article [0085] 100 a generally at a polishing pressure of less than about 2 psi, such as, between about 0.01 psi and about 1 psi, for example, between about 0.5 psi and about 1.0 psi, as at step 320. The polishing pressure at the step 350 may be less than the polishing pressure at step 340. The substrate may then be removed from the polishing article 100 a at the respective third polishing rates at step 360.
  • A cleaning solution may be applied to the polishing substrate surface to remove surface defects and particles adhering to the substrate surface. The cleaning solution, or subsequent cleaning step, may contain a corrosion inhibitor, such as benzotriazole (BTA), to form a protective coating on the exposed substrate surface prior to substrate handling or expose to oxidizing environments. [0086]
  • Following conductive material removal, the barrier layer may be selectively removed in relation to the copper and dielectric materials, i.e., remove the barrier layer at higher removal rates than the copper or dielectric materials, or the barrier layer composition may non-selectively remove the copper, barrier, and dielectric materials at approximately equal rates. The barrier layer may also be polished according to the acceleration, polish, deceleration process described herein. [0087]
  • The substrate may then be rinsed following barrier layer removal to remove surface defects and particles adhering to the substrate surface. The above processing steps may be performed on the same apparatus or may be performed on more than one apparatus. Additionally, the substrate may be transferred to a cleaning module or subjected to an in situ cleaning process to also remove surface defects, such as oxides that form on the copper-containing material. [0088]
  • In one example of barrier layer removal, the barrier layer may be removed on the third platen by positioning the substrate on the third platen containing a polishing article [0089] 100 c, and typically includes positioning a substrate on the third polishing article 100 c disposed on platen 130 in polishing station 125 c, supplying a barrier removal polishing composition to the polishing article 100 and contacting the polishing media and substrate to remove barrier layer materials, such as tantalum containing materials, from the surface of the substrate by a polishing process on the substrate.
  • The polishing article [0090] 100 c is rotated at a rate between about 65 cps (about 50 rpm, about 235 ft/min) and about 155 cps (about 120 rpm, about 565 ft/min) for a polishing article disposed on a rotatable platen. The substrate disposed in a carrier head system 170 is rotated at a rate between about 65 cps (about 50 rpm, about 235 ft/min) and about 155 cps (about 120 rpm, about 565 ft/min). The polishing article and substrate are rotated in the same direction to provide a relative motion between one another. A pressure between about 0.5 psi and about 6 psi between the substrate and the polishing article 100 c is used to provide mechanical activity to the polishing process.
  • The barrier layer polishing composition is delivered or supplied to the polishing article at a flow rate of about 100 ml/min or greater from a storage medium disposed near the CMP apparatus. Examples of suitable polishing compositions, including abrasive-containing polishing compositions and abrasive-free polishing compositions, commercially available for removing barrier layer material include HS-T605 and HS-T505, available from Hitachi Chemical Corp. of Japan. [0091]
  • Examples of suitable polishing compositions are disclosed in U.S. patent application Ser. No. 10/187,857, filed on Jun. 27, 2002, and U.S. patent application Ser. No. 10/193,810, filed on Jul. 11, 2002, which are incorporated herein by reference to the extent not inconsistent with the claimed aspects and disclosure herein. [0092]
  • The substrate and the polishing article are typically exposed to the polishing composition and contacted for a period of time sufficient to remove the barrier layer material, for example between about 60 seconds and about 600 seconds. The barrier layer materials may be removed at a rate up to about 1200 Å/min. [0093]
  • Alternatively, the barrier layer may be polished by the accelerating and decelerating polishing processes described herein, for example, according to the copper polishing processes described above for steps [0094] 310-360.
  • Optionally, a cleaning solution may be applied to each of the polishing articles during or subsequent each of the polishing process to remove particulate matter and spent reagents from the polishing process as well as help minimize metal residue deposition on the polishing articles and defects formed on a substrate surface. An example of a suitable cleaning solution is Electra Clean™ commercially available from Applied Materials, Inc. of Santa Clara, Calif. [0095]
  • EXAMPLE
  • An example of a copper polishing process according to aspects of the invention described herein is as follows. A 300 mm substrate including a low k dielectric material, such as Black Diamond™ dielectric materials or BLOk™ dielectric materials from Applied Materials, Inc. of Santa Clara, Calif., with feature definitions formed therein, a tantalum barrier layer deposited on the dielectric layer and in the feature definitions formed therein, and a copper-containing layer deposited on the barrier layer and filling the feature definitions formed therein is provided to the polishing apparatus disclosed above. [0096]
  • A polishing article, such as an IC-1000 polishing article, is cleaned by applying a cleaning solution to the surface of the polishing article at a flow rate of about 300 ml/min for a period of about 9 seconds while rotating the platen at about 65 rpm (about 305 ft/min) and then ending the application of the cleaning solution and rotating the platen at a rotational rate between about 25 rpm (about 118 ft/min) and about 65 rpm (about 305 ft/min) for about 6 seconds. [0097]
  • The copper polishing process may be performed by positioning the substrate over the IC-1000 polishing article of the platen, and delivering a polishing composition, for example, Cabot EPC-5001, to the polishing article at a flow rate between about 150 ml/min and about 250 ml/min, for example, about 200 ml/min. The platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm). The substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example 0.5 psi, is applied between a substrate and polishing article. The substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds. [0098]
  • The platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate greater than about 160 cps (about 120 rpm, about 565 ft/min), such as between about 200 cps and about 240 cps (between about 150 rpm, about 705 ft/min and about 180 rpm, about 850 ft/min), for example, about 150 rpm, at an acceleration rate of about 30 rpm/s (about 8500 ft/min[0099] 2), to rotate the carrier head at a rotational rate of about 200 cps and about 240 cps (between about 152 rpm and about 182 rpm), for example, about 152 rpm, at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi. The carrier head and the platen are accelerated for about 5 seconds at an acceleration rate of about 30 rpm/s (about 8500 ft/min2) at a contact pressure of about 0.5 psi, the substrate is polished at a platen rotational rate of greater than about 160 cps (about 120 rpm, about 565 ft/min), such as about 150 rpm and carrier head rotational rate greater than about 160 cps (about 120 rpm), such as about 152 rpm at a pressure of about 0.8 psi for about 150 seconds. The substrate may then be polished at a downforce pressure of about 0.5 psi for about 2 seconds prior to any deceleration of rotational rates. The polishing composition is delivered to the polishing article at a flow rate between about 150 ml/min and about 250 ml/min, for example, about 200 ml/min.
  • The platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min[0100] 2), to rotate the carrier head at a rotational rate of about 88 cps cps (about 66 rpm) by a deceleration rate of about 30 rpm/s. The deceleration is performed for about 3 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi. The substrate may be polished at a reduced carrier head rotational rate of about 66 rpm and reduced platen rotational rate of about 65 rpm (about 306 ft/min) for about 3 seconds prior to removal of the substrate. A post-polishing composition, such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase. The substrate may then be removed from the surface of the polishing article.
  • Any remaining copper material may be removed in a second processing step including positioning the substrate over the IC-1000 polishing article of the platen, and delivering a polishing composition, which may be the same or different composition, to the polishing article at a flow rate between about 150 ml/min and about 350 ml/min, for example, about 300 ml/min. The platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm). The substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi. The substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds. [0101]
  • The platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate of about 52 cps and about 133 cps (between about 40 rpm, about 190 ft/min and about 100 rpm, 471 ft/min) at an acceleration rate of about 30 rpm/s (about 8500 ft/min[0102] 2), to rotate the carrier head at a rotational rate of about 52 cps and about 133 cps (between about 40 rpm and about 100 rpm), at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi. The carrier head and the platen are accelerated for about 2 seconds at an acceleration rate of about 30 rpm/s at a contact pressure of about 0.5 psi, the substrate is polished at a platen rotational rate of about 65 rpm (about 306 ft/min) and carrier head rotational rate of about 66 rpm at a pressure between about 0.5 psi and about 1 psi, for example, about 0.8 psi for about 455 seconds. The polishing step may be performed in two or more portions at a consistent carrier head and platen rotational speed but with variable contact pressures, as a further example, the substrate is polished as the described rotational rates at a first pressure of about 0.5 psi for about 2 seconds, a pressure between about 0.8 and about 1 for about 230 seconds, a pressure between about 0.5 psi and about 0.7 psi for about 225 seconds. The polishing composition is delivered to the polishing article at a flow rate between about 100 ml/min and about 350 ml/min, for example, about 300 ml/min.
  • The platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min[0103] 2), to rotate the carrier head at a rotational rate of about 88 cps (about 66 rpm) by a deceleration rate of about 30 rpm/s. The deceleration is performed for about 2 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.8 psi. The substrate may be polished at a reduced carrier head rotational rate of about 26 rpm and reduced platen rotational rate of about 25 rpm (about 118 ft/min) for about 3-5 seconds. A post-polishing composition, such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase. The substrate may then be removed from the surface of the polishing article.
  • The substrate may then be transferred to another platen for barrier material and/or dielectric polishing. The third platen may comprise a hard or soft polishing pad, for example a soft Politex polishing article disposed thereon. A barrier layer polishing composition is delivered to the polishing article to remove the barrier layer material and planarize the surface of the substrate. The barrier layer polishing composition is provided at a flow rate of about 200 ml/min, the platen is rotated at a speed of about 120 cps (about 93 rpm, about 440 ft/min), the carrier head is rotated at a speed of about 110 cps (about 87 rpm), and a pressure of about 2 psi is applied between a substrate and polishing article. The substrate is then polished for a requisite amount of time at a rate up to about 1200 Å/minute to sufficiently remove the barrier layer materials. An Electra Clean™ solution is then used to clean the substrate surface following the polishing processes. Alternatively, the substrate may be treated with a solution containing BTA to prevent corrosion of exposed features in oxidizing environments. [0104]
  • Alternatively, the barrier layer and/or dielectric polishing may be performed as follows. The substrate may then be transferred to another platen having a suitable barrier polishing article, such as the Politex polishing article disposed thereon for barrier polishing, dielectric polishing and any buffing required. [0105]
  • The barrier/dielectric polishing process may be performed by positioning the substrate over the polishing article of the platen, and delivering a barrier polishing composition to the polishing article at a flow rate between about 100 ml/min and about 300 ml/min, for example, about 250 ml/min. The platen is rotated at a platen rotational rate of about 33 cps (about 25 rpm, about 118 ft/min) and the carrier head is rotated at a rotational rate of about 34 cps (about 26 rpm). The substrate is contacted with the polishing article at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi, is applied between a substrate and polishing article. The substrate is polished at this platen rotational rate and pressure for about 2 seconds and about 30 seconds. [0106]
  • The platen and carrier head rotational rates are then accelerated to rotate the platen at a rotational rate greater than about 160 cps (about 120 rpm, about 565 ft/min), such as between about 200 cps and about 240 cps (between about 150 rpm, about 705 ft/min and about 180 rpm, about 850 ft/min), for example, about 180 rpm, at an acceleration rate of about 30 rpm/s (about 8500 ft/min[0107] 2), to rotate the carrier head at a rotational rate of about 200 cps and about 240 cps (between about 152 rpm and about 182 rpm), for example, about 182 rpm, at an acceleration rate of about 30 rpm/s, and at a polishing pressure between about 0.5 psi and about 1.0 psi, for example between about 0.8 psi and about 1.0 psi. The carrier head and the platen are accelerated for about 5 seconds at an acceleration rate of about 30 rpm/s at a contact pressure of about 0.8 psi, the substrate is polished at a platen rotational rate of about 180 rpm and carrier head rotational rate of about 182 rpm at a pressure of about 0.8 psi for about 67 seconds. The polishing step may be performed in two or more portions at a consistent carrier head and platen rotational speed but with variable contact pressures, as a further example, the substrate is polished as the described rotational rates at a first pressure of about 0.5 psi for about 5 seconds, a pressure between about 0.8 and about 1 for about 60 seconds, a pressure of about 0.5 psi for about 2 seconds. The polishing composition is delivered to the polishing article at a flow rate between about 250 ml/min and about 300 ml/min.
  • The platen and carrier head rotational rates are then decelerated to rotate the platen at platen rotational rate of about 86 cps (about 65 rpm, about 306 ft/min) by a deceleration rate of about 30 rpm/s (about 8500 ft/min[0108] 2), to rotate the carrier head at a rotational rate of about 88 cps (about 66 rpm) by a deceleration rate of about 30 rpm/s. The deceleration is performed between about 2 and about 5 seconds at a polishing pressure between about 0.5 psi and about 1.0 psi, for example, about 0.5 psi. The substrate may be polished at a reduced carrier head rotational rate of about 65 rpm and reduced platen rotational rate of about 65 rpm between about 2 and about 5 seconds. A post-polishing composition, such as a cleaning agent or anti-oxidant agent, for example, a solution containing BTA to prevent corrosion of exposed features in oxidizing environments, may be applied during the deceleration phase. The substrate may then be removed from the surface of the polishing article.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0109]

Claims (31)

What is claimed is:
1. A method for processing a substrate having a conductive material formed thereon, comprising:
positioning the substrate in a polishing apparatus having a rotational carrier head and a rotatable platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon;
rotating the carrier head at a first carrier head rotational rate and rotating the platen at a first platen rotational rate;
contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi;
accelerating the first carrier head rotational rate to a second carrier head rotational rate and accelerating the first platen rotational rate to a second platen rotational rate; and
removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second platen rotational rate.
2. The method of claim 1, further comprising decelerating the second carrier head rotational rate to a third carrier head rotational rate and decelerating the second platen rotational rate to a third platen rotational rate.
3. The method of claim 2, further comprising removing the substrate from contact with the platen.
4. The method of claim 1, wherein the first platen rotational rate is less than about 100 rpm and the first carrier head rotational rate is less than about 100 rpm.
5. The method of claim 1, wherein the second platen rotational rate is between greater than about 120 rpm and about 750 rpm or less and the second carrier head rotational rate is between greater than about 120 rpm and about 500 rpm or less.
6. The method of claim 1, wherein the third platen rotational rate is less than about 100 rpm and the third carrier head rotational rate is less than about 100 rpm.
7. The method of claim 1, wherein the accelerating the first carrier head rotation rate is between about 5 rpm/s and about 60 rpm/s.
8. The method of claim 1, wherein the accelerating the first platen rotation rate is between about 5 rpm/s and about 60 rpm/s.
9. The method of claim 1, wherein the polishing pressure is between about 0.01 psi and about 1 psi.
10. The method of claim 10, wherein the polishing pressure is between about 0.5 psi and about 0.8 psi.
11. The method of claim 2, wherein the decelerating the second carrier head rotation rate is between about 5 rpm/s and about 60 rpm/s and the decelerating the second platen rotation rate is between about 5 rpm/s and about 60 rpm/s.
12. A method for processing a substrate having a conductive material formed thereon, comprising:
positioning the substrate in a rotational carrier head;
rotating the rotational carrier head at a carrier head rotational rate of about 80 rpm or less;
rotating a platen at a platen rotational rate of about 80 rpm or less, wherein the platen has a polishing article disposed thereon;
contacting the substrate and the polishing article at a polishing pressure between about 0.1 psi and less than about 2 psi;
rotating the rotational carrier head at a carrier head rotational rate between greater than about 120 rpm and about 500 rpm or less;
rotating the platen at a platen rotational rate between greater than about 120 rpm and about 750 rpm or less; and
removing a substantial portion of the conductive material from the substrate.
13. The method of claim 12, further comprising rotating the carrier head at a carrier head rotational rate of about 80 rpm or less and rotating the platen at a platen rotational rate of about 80 rpm or less.
14. The method of claim 13, further comprising removing the substrate from contact with the platen.
15. The method of claim 12, wherein the substrate in the platen are contacted at a pressure between about 0.1 psi and about 1 psi.
16. A method for processing a substrate a substrate having a conductive material formed thereon, comprising:
contacting the substrate with a polishing article at a polishing pressure of less than about 2 psi to remove a substantial portion of the conductive material, wherein the substrate is disposed in a carrier head having a first carrier head rotational rate and the substrate is contacted with a polishing material disposed on the platen having a first platen rotational rate;
reducing the first carrier head rotational rate to a second carrier head rotational rate less than the first carrier head rotational rate;
decelerating the first platen rotational rate to a second platen rotational rate less than the first platen rotational rate; and
decelerating the substrate from contact with the polishing material disposed on the platen.
17. The method of claim 1, wherein the first carrier head rotational rate is between greater than about 120 rpm and about 500 rpm or less, end the second carrier head rotational rate is less than about 100 rpm.
18. The method of claim 1, wherein the first platen rotational rate is between greater than about 120 rpm and about 750 rpm or less, end the second platen rotational rate is less than about 100 rpm.
19. The method of claim 1, where the polishing pressure is between about 0.01 psi and about 1 psi.
20. The method of claim 17, wherein the decelerating the first carrier head rotation rate is between about 5 rpm/s and about 60 rpm/s and the decelerating the first platen rotation rate is between about 5 rpm/s and about 60 rpm/s.
21. A method for processing a substrate having a conductive material formed thereon, comprising:
positioning the substrate in a polishing apparatus having a rotational carrier head and a platen, wherein the substrate is disposed in the rotational carrier head and the platen has a polishing article disposed thereon;
rotating the carrier head at a first carrier head rotational rate and providing a first linear velocity;
contacting the substrate with the polishing article at a polishing pressure of less than about 2 psi;
accelerating the carrier head rotational rate to a second carrier head rotational rate and accelerating the first linear velocity to a second linear velocity; and
removing a substantial portion of the conductive material from the substrate at the second carrier head rotational rate and at the second linear velocity.
22. The method of claim 21, further comprising decelerating the second carrier head rotational rate to a third carrier head rotational rate and decelerating the second linear velocity to a third linear velocity.
23. The method of claim 22, further comprising removing the substrate from contact with the platen.
24. The method of claim 21, wherein the first linear velocity is less than about 471 ft/min and the first carrier head rotational rate is less than about 100 rpm.
25. The method of claim 21, wherein the second linear velocity is between greater than about 560 ft/min and about 3500 ft/min or less and the second carrier head rotational rate is between greater than about 120 rpm and about 500 rpm or less.
26. The method of claim 21, wherein the third linear velocity is less than about 471 ft/min and the third carrier head rotational rate is less than about 100 rpm.
27. The method of claim 21, wherein the accelerating the first carrier head rotation rate is between about 5 rpm/s and about 60 rpm/s.
28. The method of claim 21, wherein the accelerating the first linear velocity is between about 1400 ft/min2 and about 17000 ft/min2.
29. The method of claim 21, wherein the polishing pressure is between about 0.01 psi and about 1 psi.
30. The method of claim 29, wherein the polishing pressure is between about 0.5 psi and about 0.8 psi.
31. The method of claim 22, wherein the decelerating the second carrier head rotation rate is between about 5 rpm/s and about 60 rpm/s and the decelerating the second linear velocity is between about 1400 ft/min2 and about 17000 ft/min2.
US10/678,906 2002-10-03 2003-10-03 Methods for reducing delamination during chemical mechanical polishing Expired - Fee Related US7037174B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/678,906 US7037174B2 (en) 2002-10-03 2003-10-03 Methods for reducing delamination during chemical mechanical polishing
US11/393,278 US7244168B2 (en) 2002-10-03 2006-03-30 Methods for reducing delamination during chemical mechanical polishing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41607802P 2002-10-03 2002-10-03
US10/678,906 US7037174B2 (en) 2002-10-03 2003-10-03 Methods for reducing delamination during chemical mechanical polishing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/393,278 Continuation US7244168B2 (en) 2002-10-03 2006-03-30 Methods for reducing delamination during chemical mechanical polishing

Publications (2)

Publication Number Publication Date
US20040116052A1 true US20040116052A1 (en) 2004-06-17
US7037174B2 US7037174B2 (en) 2006-05-02

Family

ID=45068593

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/678,906 Expired - Fee Related US7037174B2 (en) 2002-10-03 2003-10-03 Methods for reducing delamination during chemical mechanical polishing
US11/393,278 Expired - Fee Related US7244168B2 (en) 2002-10-03 2006-03-30 Methods for reducing delamination during chemical mechanical polishing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/393,278 Expired - Fee Related US7244168B2 (en) 2002-10-03 2006-03-30 Methods for reducing delamination during chemical mechanical polishing

Country Status (2)

Country Link
US (2) US7037174B2 (en)
TW (1) TWI295950B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060057945A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060057944A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20120208439A1 (en) * 2009-10-26 2012-08-16 Sumco Corporation Method for polishing semiconductor wafer
US20130072093A1 (en) * 2011-09-16 2013-03-21 Siltronic Ag Method for the simultaneous double-side material-removing processing of at least three workpieces

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004327566A (en) * 2003-04-23 2004-11-18 Nikon Corp Cmp polishing method and method of manufacturing semiconductor device
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
EP1990110A1 (en) * 2007-05-08 2008-11-12 Güdel Group Ag Centering device for flat workpieces in a press and method for arranging such a centering device
KR101094387B1 (en) * 2008-08-28 2011-12-15 세메스 주식회사 Substrate processing apparatus and method for transferring substrate of the same
US8454408B2 (en) * 2008-11-26 2013-06-04 Applied Materials, Inc. Load cup substrate sensing
CA2857213C (en) * 2013-08-10 2016-11-22 Taizhou Federal Robot Technology Co., Ltd. A surface processing system for a work piece
TWI672191B (en) * 2013-10-16 2019-09-21 美商應用材料股份有限公司 System and method of chemical mechanical polisher with hub arms mounted
CN104015230B (en) * 2014-06-23 2015-12-30 台州联帮机器人科技有限公司 A kind of system of processing of surface of the work and processing method
TWM573509U (en) 2017-01-20 2019-01-21 美商應用材料股份有限公司 Thin plastic polishing tools and support elements for CMP applications
US11717936B2 (en) 2018-09-14 2023-08-08 Applied Materials, Inc. Methods for a web-based CMP system

Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US13506A (en) * 1855-08-28 Ship s windlass
US4920031A (en) * 1987-06-19 1990-04-24 Fuji Photo Film Co., Ltd. Silver halide photographic light-sensitive elements containing water soluble dyestuffs
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5842910A (en) * 1997-03-10 1998-12-01 International Business Machines Corporation Off-center grooved polish pad for CMP
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US5948205A (en) * 1992-05-26 1999-09-07 Kabushiki Kaisha Toshiba Polishing apparatus and method for planarizing layer on a semiconductor wafer
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6074949A (en) * 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6113465A (en) * 1998-06-16 2000-09-05 Speedfam-Ipec Corporation Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US6117775A (en) * 1997-10-31 2000-09-12 Hitachi, Ltd. Polishing method
US6132294A (en) * 1998-09-28 2000-10-17 Siemens Aktiengesellschaft Method of enhancing semiconductor wafer release
US6136714A (en) * 1998-12-17 2000-10-24 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6184141B1 (en) * 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6183686B1 (en) * 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6218290B1 (en) * 1998-11-25 2001-04-17 Advanced Micro Devices, Inc. Copper dendrite prevention by chemical removal of dielectric
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6258721B1 (en) * 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
US6271416B1 (en) * 1997-12-03 2001-08-07 Dainippon Ink And Chemicals, Inc. Quinolinone derivative, method for preparing the same, and anti-allergic agent
US20010013506A1 (en) * 1998-07-24 2001-08-16 Chamberlin Timothy Scott Slurry and use thereof for polishing
US6375559B1 (en) * 1997-03-28 2002-04-23 Rodel Holdings Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US20020064769A1 (en) * 2000-10-05 2002-05-30 Watson Michnick Stephen William Dynamic visualization of expressed gene networks in living cells
US20020090820A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Tantalum removal during chemical mechanical polishing
US20030013387A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Barrier removal at low polish pressure
US20030013306A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US20030022801A1 (en) * 2000-05-11 2003-01-30 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP title
US20030022501A1 (en) * 2001-07-25 2003-01-30 Applied Materials, Inc. Method and apparatus for chemical mechanical polishing of semiconductor substrates
US20030062833A1 (en) * 2001-10-03 2003-04-03 Wen-Yen Tai Mini-type decorative bulb capable of emitting light through entire circumferential face

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1603558A (en) 1968-12-18 1971-05-03 Cleaning heating surfaces with complexing agent soln
US5756398A (en) 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
EP1016133B1 (en) 1997-04-30 2009-10-07 Minnesota Mining And Manufacturing Company Method of planarizing the upper surface of a semiconductor wafer
WO1999046081A1 (en) 1998-03-11 1999-09-16 Strasbaugh Multi-step chemical mechanical polishing process and device
WO2000002235A1 (en) 1998-07-06 2000-01-13 Strasbaugh Method of planarizing integrated circuits
US6417112B1 (en) 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
DE69942615D1 (en) 1998-10-23 2010-09-02 Fujifilm Electronic Materials A CHEMICAL-MECHANICAL POLISHING AIRBREAKING, CONTAINING A ACCELERATOR SOLUTION
US6165052A (en) 1998-11-16 2000-12-26 Taiwan Semiconductor Manufacturing Company Method and apparatus for chemical/mechanical planarization (CMP) of a semiconductor substrate having shallow trench isolation
EP1147546A1 (en) 1998-11-18 2001-10-24 Rodel Holdings, Inc. Method to decrease dishing rate during cmp in metal semiconductor structures
JP2000160139A (en) 1998-12-01 2000-06-13 Fujimi Inc Grinding composition and grinding method using the same
US6261158B1 (en) 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6274478B1 (en) 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
JP4264781B2 (en) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド Polishing composition and polishing method
EP1093161A1 (en) 1999-10-12 2001-04-18 Applied Materials, Inc. Method and composite arrangement inhibiting corrosion of a metal layer following chemical mechanical polishing
JP2001127018A (en) 1999-10-27 2001-05-11 Hitachi Chem Co Ltd Metal polishing method
US6432823B1 (en) 1999-11-04 2002-08-13 International Business Machines Corporation Off-concentric polishing system design
JP2001139937A (en) 1999-11-11 2001-05-22 Hitachi Chem Co Ltd Liquid for polishing metal and method for polishing metal
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6790768B2 (en) 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
KR100455061B1 (en) 2001-12-24 2004-11-06 한국전자통신연구원 Apparatus and method for digital content distribution using watermarking

Patent Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US13506A (en) * 1855-08-28 Ship s windlass
US4920031A (en) * 1987-06-19 1990-04-24 Fuji Photo Film Co., Ltd. Silver halide photographic light-sensitive elements containing water soluble dyestuffs
US5948205A (en) * 1992-05-26 1999-09-07 Kabushiki Kaisha Toshiba Polishing apparatus and method for planarizing layer on a semiconductor wafer
US6156661A (en) * 1993-06-21 2000-12-05 Ekc Technology, Inc. Post clean treatment
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6117783A (en) * 1996-07-25 2000-09-12 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US5842910A (en) * 1997-03-10 1998-12-01 International Business Machines Corporation Off-center grooved polish pad for CMP
US6375559B1 (en) * 1997-03-28 2002-04-23 Rodel Holdings Inc. Polishing system having a multi-phase polishing substrate and methods relating thereto
US6068879A (en) * 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6117775A (en) * 1997-10-31 2000-09-12 Hitachi, Ltd. Polishing method
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6271416B1 (en) * 1997-12-03 2001-08-07 Dainippon Ink And Chemicals, Inc. Quinolinone derivative, method for preparing the same, and anti-allergic agent
US6435945B1 (en) * 1998-04-24 2002-08-20 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6113465A (en) * 1998-06-16 2000-09-05 Speedfam-Ipec Corporation Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US20010013506A1 (en) * 1998-07-24 2001-08-16 Chamberlin Timothy Scott Slurry and use thereof for polishing
US6183686B1 (en) * 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6132294A (en) * 1998-09-28 2000-10-17 Siemens Aktiengesellschaft Method of enhancing semiconductor wafer release
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6184141B1 (en) * 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6218290B1 (en) * 1998-11-25 2001-04-17 Advanced Micro Devices, Inc. Copper dendrite prevention by chemical removal of dielectric
US6074949A (en) * 1998-11-25 2000-06-13 Advanced Micro Devices, Inc. Method of preventing copper dendrite formation and growth
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6136714A (en) * 1998-12-17 2000-10-24 Siemens Aktiengesellschaft Methods for enhancing the metal removal rate during the chemical-mechanical polishing process of a semiconductor
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6258721B1 (en) * 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
US20030022801A1 (en) * 2000-05-11 2003-01-30 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP title
US20020064769A1 (en) * 2000-10-05 2002-05-30 Watson Michnick Stephen William Dynamic visualization of expressed gene networks in living cells
US20020090820A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Tantalum removal during chemical mechanical polishing
US20030013306A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US20030013387A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Barrier removal at low polish pressure
US20030022501A1 (en) * 2001-07-25 2003-01-30 Applied Materials, Inc. Method and apparatus for chemical mechanical polishing of semiconductor substrates
US20030062833A1 (en) * 2001-10-03 2003-04-03 Wen-Yen Tai Mini-type decorative bulb capable of emitting light through entire circumferential face

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060057945A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060057944A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7025661B2 (en) * 2004-09-16 2006-04-11 United Microelectronics Corp. Chemical mechanical polishing process
US20120208439A1 (en) * 2009-10-26 2012-08-16 Sumco Corporation Method for polishing semiconductor wafer
US8784159B2 (en) * 2009-10-26 2014-07-22 Sumco Corporation Method for polishing semiconductor wafer
DE112010004142B4 (en) * 2009-10-26 2019-01-24 Sumco Corp. METHOD FOR POLISHING A SEMICONDUCTOR WAFER
US20130072093A1 (en) * 2011-09-16 2013-03-21 Siltronic Ag Method for the simultaneous double-side material-removing processing of at least three workpieces
US8851958B2 (en) * 2011-09-16 2014-10-07 Siltronic Ag Method for the simultaneous double-side material-removing processing of at least three workpieces

Also Published As

Publication number Publication date
TWI295950B (en) 2008-04-21
TW200408504A (en) 2004-06-01
US7037174B2 (en) 2006-05-02
US7244168B2 (en) 2007-07-17
US20060172664A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
US7244168B2 (en) Methods for reducing delamination during chemical mechanical polishing
US6960521B2 (en) Method and apparatus for polishing metal and dielectric substrates
US7375023B2 (en) Method and apparatus for chemical mechanical polishing of semiconductor substrates
US7104869B2 (en) Barrier removal at low polish pressure
US6677239B2 (en) Methods and compositions for chemical mechanical polishing
US6709316B1 (en) Method and apparatus for two-step barrier layer polishing
US20040248412A1 (en) Method and composition for fine copper slurry for low dishing in ECMP
US6162368A (en) Technique for chemical mechanical polishing silicon
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
US20020182982A1 (en) Additives for pressure sensitive polishing compositions
US20070082833A1 (en) Low cost and low dishing slurry for polysilicon cmp
US20020173221A1 (en) Method and apparatus for two-step polishing
US6620027B2 (en) Method and apparatus for hard pad polishing
US6391779B1 (en) Planarization process
US20100096360A1 (en) Compositions and methods for barrier layer polishing
US20030134576A1 (en) Method for polishing copper on a workpiece surface
JP2004128112A (en) Manufacturing method of semiconductor device
WO2003101669A1 (en) Web pad design for chemical mechanical polishing
US20040014399A1 (en) Selective barrier removal slurry
JP2002359213A (en) Method of manufacturing semiconductor device, and chemical mechanical polishing machine
KR20050014074A (en) slurry for chemical mechanical polishing and polishing method using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, DOOHAN;HSU, WEI-YUNG;REEL/FRAME:014276/0404;SIGNING DATES FROM 20031006 TO 20031008

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, YUFEI;SUN, LIZHONG;REEL/FRAME:015431/0551

Effective date: 20031002

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140502