US20040131952A1 - Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation - Google Patents

Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation Download PDF

Info

Publication number
US20040131952A1
US20040131952A1 US10/687,652 US68765203A US2004131952A1 US 20040131952 A1 US20040131952 A1 US 20040131952A1 US 68765203 A US68765203 A US 68765203A US 2004131952 A1 US2004131952 A1 US 2004131952A1
Authority
US
United States
Prior art keywords
layer
resist
alloy
layers
inorganic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/687,652
Inventor
Glenn Chapman
Marinko Sarunic
Yugiang Tu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Creo SRL
Original Assignee
Creo SRL
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Creo SRL filed Critical Creo SRL
Priority to US10/687,652 priority Critical patent/US20040131952A1/en
Assigned to CREO SRL reassignment CREO SRL ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAPMAN, GLENN HARRISON, SARUNIC, MARINKO VENCI, TU, YUGIANG
Publication of US20040131952A1 publication Critical patent/US20040131952A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists

Definitions

  • the technical field to which this invention pertains is the creation of multilayered inorganic films which can react thermally to create structures for patterning layers applicable both to lithographic processes, such as those used in integrated circuit fabrication, and the making of images in thin films, such as is required in creating optical masks.
  • Integrated circuit and semiconductor devices are built using microfabrication lithographic techniques to pattern many layers of conductors, insulators or semiconductors.
  • lithography a masking layer, called a resist, is deposited on the device substrate and exposed by optically projecting an image onto its surface.
  • photoresists optically sensitive resists
  • a chemical reaction changes the resist.
  • the areas exposed to the light will be removed, while those not exposed will remain creating a raised pattern of resist on the surface (a reverse or negative resist process is also possible).
  • This raised pattern protects parts of layers below so that when exposed to an etching environment (for example acids, etching gases or plasmas or ion beams) the areas under the remaining resist are protected from etching, while those without resist are preferentially removed.
  • This resist layer is then stripped or removed leaving a transferred raised pattern from the mask in the layer on the substrate.
  • the patterned layer may be used directly as defined or in turn may be used to pattern a layer below it on the substrate, either for additional etching processes, or other operations (for example creating doped patterns with impurities, or growing patterned oxide layers). Repeated processes of layer deposition and resist lithographic patterning are used to create everything from simple single layer structures to complex integrated circuits.
  • the very photomasks used in the optical lithographic process are created by directly writing with a focused laser or electron beam spot a pattern into a resist on an optically transparent substrate, usually coated with a thin absorbing layer. That resist pattern then defines the etching of the lower layer, patterning the absorbing and non-absorbing areas on the transparent substrate creating the mask used in other lithographic processes.
  • a switch to inorganic based dry resist processes would provide significant advantages.
  • a dry resist process would permit devices to be fabricated mostly in a vacuum based environment, allowing transfer from a dry based deposition (for example sputter deposition) to the dry inorganic resist coating, to the exposure, etching (say plasma etching) to the resist stripping processes.
  • etching say plasma etching
  • the removal of the organics from the resists may significantly reduce the number of cleans needed in process steps with savings in time, materials and energy.
  • thermally activated that is the optical exposure creates a local temperature rise, which in turn creates the inorganic reaction for the development.
  • Thermal resists especially those using metal-based inorganics, can be less wavelength sensitive and operate at very short wavelengths.
  • metal-based inorganics can avoid the photoablation effect down to very short wavelengths.
  • Fifthly thermally reacted inorganics can show different optical characteristics after exposure than before. Thus the exposed areas can be identified before the development processes. This allows errors in exposure to be corrected.
  • Bozler et al. in U.S. Pat. No. 4,619,894 offers another thermal inorganic resist consisting of an aluminum film deposited in a low-pressure oxygen atmosphere. This creates an aluminum oxide cermet. When exposed to a UV laser pulse the cermet is converted from a conductive phase to a highly resistive oxide phase. This resistive material is also etched at a much lower rate by a phosphoric acid etch than the cermet, thus creating the desired resist structure. While the optical exposure requires by the cermet resist is 1000 times less than the Janus resist, it is still requires temperatures in the 300° C. range, and thus exposures 4-10 times greater than current resists, requiring 40 to 100 mJ per square cm of UV light in the 20 nsec laser pulse. Current resists require about 10 mJ per square cm per pulse of UV light for exposure.
  • the laser light passes through the upper layer, and melts the lower which alloys with the transparent upper layer to significantly change the materials reflectivity.
  • Nakane and others teach the multilayer alloying concept they focus on creating alloys whose optical characteristics, especially their reflectivities, substantially change from the unexposed to alloyed state.
  • the relative etching rate of the unexposed and alloyed areas is not considered or discussed as important in their choice of materials.
  • the change in the optical characteristics is of much less importance than the etch ratio of the unexposed and alloyed films. Optical changes would be useful to identify the exposed area before development, but it is not necessary for a successfully functioning thermal resist.
  • Optical changes may be useful in some applications like creating photomasks but should not be the most important issue for thermal inorganic resists.
  • the optical writeable disk technologies all require that the unexposed multilayers not be alloyed by the laser beams reading the disk information. Since these reading lasers are typically 1-2 mW of laser light focused to spots of less than one micron this requires a significant thermal threshold (typically more than 250-400° C.) before the alloying can occur.
  • good thermal resists require the opposite condition: the lower the thermal reaction temperature the more sensitive to illumination is the resist making it more desirable.
  • the exposure induced by the optical writable disk readout laser is typically in the 400,000 W/sq cm range making it unacceptable in current photolithographic exposure systems.
  • the alloys and processes best for optical disk writing are poor candidates for thermal inorganic resists just on thermal energy considerations.
  • This invention discloses a general class of dry inorganic thermal resists based on a multilayer process.
  • the thermally active layer consists of a lower thin film of one inorganic material, usually single element metals of binary metal alloys (in one example an Indium (In) film).
  • This film is deposited via a dry process (for example sputter deposition or CVD deposition).
  • a second layer of another inorganic film is deposited, again commonly a single element metals or binary metal alloys (in one example a Bismuth (Bi) film).
  • a single element metals or binary metal alloys in one example a Bismuth (Bi) film.
  • the materials that are potential candidates for the two or more layer films are those that show in their phase diagrams a low temperature alloy, a eutectic, whose melting point is below that of the two individual films. Best results occur for eutectic temperatures below 300° C. and preferentially below 200° C., with temperatures of 100° C. or below being possible with some alloys. Some phase diagrams show more than one phase material ratio with temperatures below the individual layer melting points. Thus, when heated by optical exposures that create temperatures in the film above the eutectic point, the films begin to alloy at the interface between the layers. Since the alloy has a lower melting point than either individual film, this reaction will occur at a much lower temperature than the melting or vaporization temperatures of the individual films.
  • the films should show important thermal and optical characteristics. Both materials must have a sufficiently low thermal conductivity that the heated area does not create temperatures in adjacent areas to exceed the threshold temperature during and after the laser pulse (given the pulse to pulse variation in exposures that occur in typical systems and thus the required exposures above the threshold levels to produce uniform results). The area over which the heat would spread will determine the resolution of the film, and for current applications should allow resolutions of less than 0.1 microns. In addition the lower the thermal conductivity the less energy needed to heat the local area above the thermal reaction point.
  • Optical absorption characteristics of the films also determine the combined layer of thickness and the order of the layers.
  • the order of which material is on the top layer is often set to give a minimum reflection and maximum absorption with the film.
  • the material's optical index of refraction and absorption index at the desired wavelengths should be calculated to obtain these.
  • Total film thicknesses are best when the optical energy absorption rate allows energy deposition in both film layers.
  • optical multilayer thin film analysis including complex indexes, and Poynting Vector analysis, both including the effects of internal reflections.
  • the actual energy deposited in the film per unit volume increases as thickness decreases, resulting in more sensitivity for total film thickness less than 70 nm.
  • the films are in general only modestly wavelength sensitive. For some metal films the wavelength range of successful operation tested has ranged from the Near InfraRed (860 nm) to UV (266 nm). There are however different exposure requirements at different wavelengths. While films less than 70 nm provide best sensitivity thicker films (up to at least 300 nm) show the same alloying effects, but required more laser power.
  • alloys While an alloying action is the simplest, some alloys may also combine with oxygen, nitrogen or hydrogen to form films with significantly different characteristics than both the thin layer materials or their oxides, nitrides or hydrides. This may occur either with gases in the atmosphere above the films during exposure, or from atoms trapped in the film during deposition, or even from layers that are oxides to begin with (usually the bottom layer).
  • the post-alloying characteristics needed are dependent on the application. Most useful materials show a significant optical change between the alloyed and non-alloyed areas. Depending on the materials used alloyed films range from little optical change to significant increases/decrease in reflectivity or color to films. Optical transmissions may significantly decrease, and in some tested cases actually go from nearly completely absorbing in the unexposed case to almost completely transparent in the alloyed case (as in the case of one embodiment, BiIn, films showing such changes). Films that alloy and show a substantial decrease in absorption may be used to directly write optical images photomasks for some applications.
  • the thermal inorganic resist layers are very thin (typically 30-70 nm). Also they are not resistive to all etchants needed for all commonly used layers on the substrate. In most applications an inactive protection layer is deposited before the multilayer resist is deposited. After the patterning exposure and development etch of the multilayer inorganic resist it acts as a mask layer for the protection layer. Protection layers are chosen to have a significantly different etch characteristic to that of the alloyed resist. For example in the case of metal multilayers a thick (about 1 micron) layer of carbon would be preferred. An oxygen plasma development etch would in many cases remove the carbon without attacking the alloyed resist. This leaves a multilayer developed resist consisting of the alloyed resist and protection layer. This provides significantly better protection for etching many of the lower layers.
  • a resist must be easy to strip or remove, leaving the substrate clean of any remnant resist or contamination, but without damaging any of the layers below. This is especially important for reworking a resist definition that has defects in it.
  • a microfabrication standard cleaning processes etch (RCA 2 or HCI: H 2 O 2 : H 2 O in the ratio 2:3:14) has proven successful in stripping the thermal inorganic resist in one case (BiIn). Dry etches or ion milling can also be used as the layer is very thin.
  • the protection layer can be removed with a dry etch (Oxygen plasma in the case of a carbon layer). This will leave a clean substrate ready for the next processing step. It is notable that neither the Janus nor the Bozler patents discuss the strip process for their resists. Removal of the patterned cermet resist in Bozler case without damage to some metal layers below would be difficult.
  • this patent discloses a general class of inorganic thermal resists that have low temperatures of conversion, form alloys over a wide wavelength range, do not show ablation of materials after exposure, show significant different optical parameters from the unexposed areas after the exposure allowing the patterning to be determined before development, more than one material is transparent after exposure making them candidates for optical masks, and some show a significant etching difference between the alloyed and unexposed materials allowing a development etch to pattern the layer, and yet have a simple resist strip.
  • FIG. 1A through FIG. 1D are partial representations of one embodiment of the schematic cross section of the substrate during the deposition steps in the creation of the thermal inorganic resist which will be used in photolithography or mask creation.
  • FIG. 1A through FIG. 1D illustrate a resist process with a lower protection layer.
  • FIG. 2A through FIG. 2C illustrate an embodiment with a direct deposit of the thermal resist on the area to be patterned, and no protection layer.
  • FIG. 3A through FIG. 3G are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 1A through FIG. 1D during the photolithographic processes which will pattern the resist with exposure and development etch, and transfer that pattern by etching to the layers of the substrate.
  • FIG. 4A through FIG. 4D are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 2A through FIG. 2C during the photolithographic processes which will pattern the resist with exposure and a development etch, and transfer that pattern by etch to the layers of the substrate.
  • FIG. 5A and FIG. 5B are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 2A through FIG. 2C during a direct laser write processes which will pattern the resist with exposure and a development etch.
  • FIG. 6 illustrates for one embodiment of the resist of FIG. 1A through FIG. 1D the calculated variation with resist thickness of the optical reflectivity, energy absorbed in the resist, and the light transmitted through the resist during the exposures of FIG. 3A through FIG. 5B.
  • FIG. 7 illustrates a calculation of the energy deposition with depth in one embodiment of the inorganic thermal resist of FIG. 1A through FIG. 1D during the photolithographic exposures in FIG. 3A through FIG. 5B.
  • this invention discloses a general class of dry inorganic thermal resists based on a multilayer process.
  • the thermally active layer consists of a lower thin film of one inorganic material and an overlying layer of another inorganic film.
  • the lower and overlying layers may each be a layer of a single element metal or a binary metal alloy.
  • the overlying layer is a Bismuth film.
  • the lower layer is an Indium film.
  • the materials that are potential candidates for the two or more layer films are those which show in their phase diagrams a low temperature alloy, a eutectic, whose melting point is below that of the two individual films. Best results occur for eutectic temperatures below 300° C. and preferably below 200° C., with several good materials near 100° C. or below. Note some phase diagrams show more than one phase material ratio with temperatures below the individual layer melting points.
  • BiIn (22% Bi)
  • InSn (47% Sn) for its good compatibility with other silicon fabrication processes.
  • this chart does not include resists where one or more layers is itself a compound material, especially including oxides, nitrides or hydrides, which are combined with a second layer to produce more complex compounds.
  • multilayer films whose alloyed materials combine with oxygen, nitrogen or hydrogen from the surrounding atmosphere can form some interesting alloys with good resist characteristics. Also it is clear that more than two layer films, forming more complex alloys, can create resists that are useful, in some applications. In the detailed descriptions of the figures only two-layer resists are discussed.
  • FIG. 1A through FIG. 1D illustrate, in simplified form, one embodiment of the processes used to deposit the thermal inorganic multilayer resist.
  • a wafer or device to be processed is provided.
  • the wafer or device comprises a substrate 4 which may have been processed with lithography in previous operations and a layer 5 that is to be patterned by the resist.
  • layer 5 could be a thermal oxide grown on a silicon wafer substrate 4 .
  • the substrate 4 may be defined directly by the resist and no layer 5 will exist.
  • a resist protection layer 1 is deposited on top of the substrate layer to be patterned, typically layer 5 .
  • layer 1 is an amorphous Carbon film of between 0.2 and 1.0 microns thickness.
  • Preferred deposition processes are dry procedures such as sputter coating, Chemical Vapour Deposition (CVD) or evaporation.
  • an organic polymer like standard photoresist, may be used of similar thickness.
  • deposition of a lower resist layer 2 then follows again preferentially using the dry sputter or CVD processes.
  • layer 2 is an Indium film of between 5 and 200 nm thick and in a specific example 15 nm thick.
  • an upper resist layer 3 is deposited on top of layer 4 again using the dry processes such as sputtering. Preferentially both resist layers 2 and 3 are done in the same deposition system without being exposed to the atmosphere between deposits.
  • layer 3 is a Bismuth film of between 5 and 200 nm thick.
  • the thickness of the resist top layer is such that the percentage ratio will give the desired alloy. In the case of one BiIn alloy embodiment this requires approximately equal thicknesses for both layers 2 and 3 . In a specific example a layer 3 of Bi film of 15 nm thick to equal that of the layer 2 film of In (the deposition control will probably not allow the exact ratio of 53% Bi).
  • FIG. 2A through FIG. 2C illustrate an inorganic thermal resist process similar to the process illustrated by FIG. 1A through FIG. 1D but with the thermally active layers deposited directly on the layer to be defined 5 (FIG. 2A), without the deposition of the resist protection layer 1 .
  • the deposition of the lower resist layer FIG. 2B proceeds using the processes described for FIG. 1C.
  • FIG. 2C shows the next step, the deposition of the upper resist layer 3 using the processes described for FIG. 1D.
  • This FIG. 2A through FIG. 2C process can be used in the creation of photomasks or the definition of very thin layers 5 which are to be etched.
  • FIG. 3A through FIG. 3G illustrate in simplified form one embodiment of the process steps used to pattern layer 5 with the thermal inorganic resist after their deposition as shown in FIG. 1A through FIG. 1D.
  • FIG. 3A shows a simplified version of the exposure process and equipment.
  • the light source 20 preferably a UV laser, produces a high power light pulse that is expanded by a lens system 21 into a uniform light illumination onto the photomask 22 .
  • the photomask 22 contains the pattern to be projected on the wafer ( 1 - 5 ), usually at a larger scale than the final desired pattern.
  • the photomask contains dark patterns 22 A that block the light and clear patterns 22 B which transmit the light pulses.
  • Lens system 23 then focuses the image from photomask 22 onto the surface of the top resist layer 3 creating image 24 of the mask opening pattern 22 B.
  • this projected image 24 is shrunk relative to the mask pattern 22 B by 5 to 10 times, which increases the effective power density of the light at the resist surface.
  • This focused light penetrates the surface of the resist depositing energy in both the top layer 3 and bottom layer 2 .
  • the absorbed light thermally heats the resist, increasing the temperature above the reaction threshold.
  • the 110° C. alloying temperature makes the resist responsive to low light levels.
  • the alloy begins to form at the layer 2 , 3 interface and forms a molten layer that rapidly expands into top and bottom resist layers.
  • the temperature of the unalloyed layers will in some areas will exceed that of the eutectic point making for a rapid advance of this melt front into the heated illuminated area 10 , but a slow advance into any non-illuminated resist.
  • the result is an alloyed area 10 within unexposed resist that still consists of the separate layers 2 and 3 .
  • Thinner layers take less exposure energy to cause the alloying to occur so that the films of less than a total thickness of 70 nm (35 nm of Bi and 35 nm of In in one embodiment) usually provide the most sensitivity. Less desirably, but still useful if the resist thickness is too large or for some resist materials, the process may occur by melting the top resist layer 3 down to the interface, at which point the alloying begins. In one embodiment the 150 nm Bi and In layers fuse into a 300 nm thick BiIn alloy area at exposure levels greater than 40 mJ/sq. cm for 15 nm Bi and In layers. It is possible, as noted that with some materials oxidation, nitridation or the formation of hydrides of the alloy may occur with the local atmosphere or with gases trapped in the film.
  • FIG. 3B shows the resist after exposure, with the alloyed areas 10 replicating the pattern of the mask 22 .
  • These alloyed areas 10 in some materials have very different optical characteristics from the top resist layer 3 making the image directly observable after exposure.
  • For a BiIn resist the films change from highly absorbing to nearly transparent.
  • a BiIn resist as shown in FIG. 1A through FIG. 1D with 15 nm per layer films showed measured transmission changing from ⁇ 7% in the unexposed areas to >50% and up to 95% (depending on the exposure conditions) in the near UV to visible light range (350-700 nm).
  • BiSn thermal resist films also showed a tendency to transparency after exposure through this may be due to oxidation.
  • Thicker films (200 nm) were measured with transmission less than 0.1%. Such changes in optical parameters allow the wafer to be inspected for defects. As the alloying processes is commonly not affected by repeated exposures this allows the resist to be re-exposed to correct low exposures or problems. Indeed as the image is observable the alignment of a re-exposure pattern can be to the exposed pattern and not to structures on the layer 5 or below, which gives better results. In addition the electrical conductivity of the alloyed areas were found to range from the same to 3 times larger than the unexposed areas. This means the transparent film may be conductive, which has important considerations for some types of etching.
  • FIG. 3C illustrates the wafer after a development etch.
  • the etching process either wet or dry (plasma or reactive ion etching) is used to remove the unexposed layers 2 , 3 while only slowly attacking the alloyed layer 10 .
  • a wet etch of HNO 3 (70% solution): CH 3 COOH: water in the ratio 1:3:6 will etch away the Bi and In layers 2 , 3 while reducing alloyed areas 10 by less than 30%.
  • the etchants chosen must be compatible with the resists and alloys used. In some cases a small amount of alloy will form at the interface during deposition and this will leave small grains of a remnant material after the etching.
  • This remnant alloy can be removed with a less etching version of the resist stripping process.
  • a dilute RCA 2 strip of HCL:H 2 O 2 :H 2 O in the ratio 1:1:48 at room temperature has proven effective in removing this remnant.
  • FIG. 3D illustrates the development etch of the protection resist layer 1 which replicates the image of the thermal resist alloyed pattern 10 into the protection resist.
  • an anisotropic etch such as a plasma or reactive ion etch
  • an oxygen plasma etch will remove the carbon not protected by the alloyed resist areas 10 .
  • the purpose of the protection resist is two fold. It provides a thicker resist area than the thin thermal resist for etching processes that may attack the resist (for example ion milling). Secondly, some etches needed to pattern particular layer 5 materials will attack the thermal resist.
  • the thermal resist layer provides protection to etches that would attack the protection layer 1 , such as oxygen plasma.
  • a protective resist layer 1 in one embodiment an amorphous carbon layer, combined with the protection of the thermal resist layer will provide good resist to almost all plasma etches.
  • FIG. 3E illustrates the result after etching the layer 5 with the correct etch for that layer, and thus transferring the pattern from the thermal resist alloyed area 10 to the layer 5 . Preferentially this would be done with a dry plasma or reactive ion etch.
  • a fluorine containing plasma such as CF 4 , would etch the glass down to the silicon substrate 4 while only slightly attacking the thermal resist layers.
  • FIG. 3F shows the first resist stripping step where the thermal resist pattern 10 is removed. This may be done by processes such as wet etches or dry etches or ion milling (as the thermal resist layer is so thin).
  • a wet etch which is identical to the standard RCA clean (RCA2 or HCl: H 2 O 2 :H 2 O in the ratio 2:3:14 at 80° C.) has proven effective in stripping the alloyed resist.
  • This RCA clean is already commonly used in microfabrication processes.
  • FIG. 3G illustrates the second stripping step which removes protection layer 1 .
  • an oxygen plasma is very effective. Note depending on the processes used it may be best to reverse these last two stripping the protection layer first and then removing the thermal resist in cleanup operation.
  • the BiIn and carbon films that would mean an oxygen plasma followed by the RCA2 wet etch as a clean up.
  • FIG. 4A through FIG. 4D illustrate a similar process to FIG. 3A through FIG. 3G but with resist directly deposited on the wafer as in FIG. 2A through FIG. 2C.
  • the resist exposure of FIG. 4A is similar to that of FIG. 3A but may involve different illumination levels due to the thermal flow to layer 5 .
  • the patterned wafer is etched in FIG. 4B as described in FIG. 3C, and the layer 5 patterned in FIG. 4C as described in FIG. 3E. Then the resist is stripped in FIG. 4D as described in FIG. 3F.
  • FIG. 5A and FIG. 5B illustrate a laser direct write process.
  • a laser source 40 is focused by a lens system 41 onto the top of the thermal resist layer 3 .
  • the resulting laser spot 42 exposes and heats the resist locally creating a small alloyed area 10 .
  • the laser can be scanned over the resist surface and its intensity controlled with position to create a laser directly written pattern.
  • Such direct write systems can be used to create specially patterned lithography layers or to write masks.
  • After patterning the wafer is etched as per steps of FIG. 3C to yield a resist pattern as in FIG. 5A and FIG. 5B. It is possible to do all the steps of FIG. 3A through FIG. 3G in the same manner but with a direct write laser.
  • FIG. 6 illustrates the optical characteristics of the films that must be considered to determine the combined layer thickness and the order of the layers.
  • FIG. 6 illustrates one embodiment of the optical behavour, the In layer 2 , Bi layer 3 resist characteristics at 248 nm wavelength. Showing the variation with the total resist thickness of layers 2 and 3 the plot gives the reflection curve 60 from the Bi layer 3 , the transmitted light curve 61 out of the lower edge of the bottom In layer 2 , and the absorption curve 62 for energy in the combined resist layers.
  • the order of which material is on the top layer is often to give a minimum reflection and maximum absorption when the film is set using curve like this.
  • the layers 2 and 3 materials' optical index of refraction and absorption index at the desired wavelengths must be measured or calculated to obtain these curves. As many films are highly absorbing metals these curve calculations must be done using optical multilayer thin film analysis including complex indexes and the effects of internal reflection using well known methods to practitioners of the art. For metal layer resists these optical characteristics results in modestly more energy being deposited in the resist at shorter wavelengths. Thus the optical exposure threshold only changes modestly from UV at 350 nm to the deep UV at 150 nm or shorter.
  • the metals unlike organics, do not tend to suffer photoablation at wavelengths for modest exposures in the 150 to 250 nm range this makes them good candidates for the deep UV resists desired for advanced lithographic images
  • the reflection from the film surface should be minimized but the transmission of light from the lower layer is not to important.
  • the transmitted light of curve 61 out of the bottom layer 2 should be below some value. This would require thicker films. In one embodiment to get a transmission of less than 0.1% for Bi on In each layer must be 35 nm thick, are required by FIG. 6 curves. Such thicker films will be less optically sensitive, but provide better blocking of light in unexposed areas.
  • an overcoating layer can be added to the photomask on top of the active layers.
  • Such a protective transparent overcoat can be a cross-linked organic material or an inorganic layer, typically silicon dioxide.
  • FIG. 7 illustrates the energy Q deposited per unit volume (W/cc) by the light as it varies in curve 70 through the thickness of the resist layers, with the bottom of layer 2 being 0 height of resist.
  • FIG. 7 illustrates one embodiment, an In layer 2 of 15 nm thickness, Bi layer 3 of 15 nm, for illumination at 248 nm wavelength.
  • the portion of Q curve 70 labelled 72 is the energy deposited in the bottom layer 2 of In, while 73 is the energy deposition rate in the top resist layer 3 of Bi. Total film thicknesses are best when the optical energy absorption rate Q allows energy deposition in both film layers. Note the sudden drop of the Q energy deposition at the BiIn interface, point 71 (15 nm thickness).
  • the Q rate will be higher in the bottom layer 2 (In) near the interface then at the top of the top layer 3 (Bi) just above the interface 71 .
  • These calculations are done using Poynting Vector analysis, including the effects of internal reflections, using well-known methods to practitioners of the art.
  • the actual energy Q deposited in the film per unit volume increases as thickness decreases. Since a higher Q results in greater temperatures for a given illumination level, and especially higher temperature at the interface, this creates more sensitive thinner films, especially for total film thickness less than 70 nm.
  • the ability of the BiIn resist to become transparent with exposure suggests that it can be directly used with a direct write laser system as in FIG. 5A and FIG. 5B to produce photomasks without etching the resist.
  • the BiIn alloys show transparency into the UV to 350 nm before absorption begins to rise. Some films indicate this transmission may extend to shorter wavelengths. At wavelengths in which it does not transmit the more common resist development and etch process would be used to create masks. In either case this should create a more defect free mask as the standard mask steps of photoresist application and stripping could be skipped.
  • This direct writing of images on resist films suggests these same materials may be useful for optical information storage.

Abstract

A thermal inorganic resist for lithographic processes and image creation is disclosed. In one embodiment an In layer of 15 nm is deposited, followed by a Bi layer of 15 nm. Upon exposure to a optical light pulse of sufficient intensity the optical absorption heats the film above the eutectic melting point (110° C. for BiIn) and the resist forms an alloy in the exposed area, replicating patterns projected on its surface. Optical characteristics of the alloyed layers are in these resists typically different from the unexposed layers creating a visual image of the exposure pattern before the development etch aiding in exposure control. The resist layer is then stripped, leaving the pattern layer on the substrate. In resists showing significant optical differences (such as BiIn) after exposure this same material can be used to create images for data storage, and, when transparent, photomasks for optical lithography.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is a Division Application of U.S. patent application Ser. No. 09/618,065, filed on 17 Jul. 2000 and entitled DRY MULTILAYER INORGANIC ALLOY THERMAL RESIST FOR LITHOGRAPHIC PROCESSING AND IMAGE CREATION.[0001]
  • TECHNICAL FIELD
  • The technical field to which this invention pertains is the creation of multilayered inorganic films which can react thermally to create structures for patterning layers applicable both to lithographic processes, such as those used in integrated circuit fabrication, and the making of images in thin films, such as is required in creating optical masks. [0002]
  • BACKGROUND OF THE INVENTION
  • Integrated circuit and semiconductor devices are built using microfabrication lithographic techniques to pattern many layers of conductors, insulators or semiconductors. In lithography a masking layer, called a resist, is deposited on the device substrate and exposed by optically projecting an image onto its surface. For optically sensitive resists (photoresists) a chemical reaction changes the resist. Typically after development the areas exposed to the light will be removed, while those not exposed will remain creating a raised pattern of resist on the surface (a reverse or negative resist process is also possible). This raised pattern protects parts of layers below so that when exposed to an etching environment (for example acids, etching gases or plasmas or ion beams) the areas under the remaining resist are protected from etching, while those without resist are preferentially removed. This resist layer is then stripped or removed leaving a transferred raised pattern from the mask in the layer on the substrate. The patterned layer may be used directly as defined or in turn may be used to pattern a layer below it on the substrate, either for additional etching processes, or other operations (for example creating doped patterns with impurities, or growing patterned oxide layers). Repeated processes of layer deposition and resist lithographic patterning are used to create everything from simple single layer structures to complex integrated circuits. [0003]
  • Indeed the very photomasks used in the optical lithographic process are created by directly writing with a focused laser or electron beam spot a pattern into a resist on an optically transparent substrate, usually coated with a thin absorbing layer. That resist pattern then defines the etching of the lower layer, patterning the absorbing and non-absorbing areas on the transparent substrate creating the mask used in other lithographic processes. [0004]
  • Current lithographic processes typically use organic based photoresists which are applied as liquids to a substrate or wafer which is then spun at high speeds so that interaction of rotational, gravitational forces, surface tension and viscosity creates a controlled thickness of resist. The film is then baked to remove solvents before the photolithographic exposure. The photoresist is then developed using a wet chemical processes that dissolves the unwanted resist away. After the lithographic etching processes the photoresist is stripped (often in an oxygen plasma etcher or with liquid strippers). However it is very hard to remove all the organics added by the resist, and there is always the danger of other outside contaminants. Hence very aggressive chemical cleans, such as the industrial standard RCA clean, must be used to remove these organics. This combination of steps: cleaning, deposition or growth of a layer, photolithographic definition, etching and resist stripping is repeated for each layer and pattern to make the final circuit of even the simplest device. These cleaning processes are very time, energy and material consuming. Resist contamination left behind is a common source of defect creation in integrated circuit processes. [0005]
  • In contrast to the wet organic photoresists and their related cleanups most other processes in modern microfabrication are dry, often vacuum based procedures. Many types of deposition (plasma sputtering, Chemical Vapour Deposition (CVD), ion implant) and etching processes (Plasma, Reactive Ion Etching (RIE)) use low-pressure techniques that introduce much fewer contaminants into the processes. [0006]
  • In addition to contamination problems organic resists are very wavelength sensitive. Current optical exposure systems use Ultra Violet (UV) Excimer lasers operating at 248 nm wavelength as the light source, producing short (5-20 nsec.) pulses of high power for exposure to create the small structures needed. Resists for the current 248 nm wavelengths will not work for the future generations of exposure systems which currently plan to use 193 nm, 150 nm or even shorter wavelengths to make structures smaller than 0.1 microns. Furthermore, at those shorter wavelengths and high power pulses many organic resist materials are damaged (photoablated) because the energy of the UV light tears apart the molecules of the organics. This photoablation can cause problems with materials deposited on the exposed optics. [0007]
  • These problems have suggested that a switch to inorganic based dry resist processes would provide significant advantages. Firstly, a dry resist process would permit devices to be fabricated mostly in a vacuum based environment, allowing transfer from a dry based deposition (for example sputter deposition) to the dry inorganic resist coating, to the exposure, etching (say plasma etching) to the resist stripping processes. This would keep devices much cleaner, offering less source of contamination, and hence potentially reducing the rate of defects. Secondly the removal of the organics from the resists may significantly reduce the number of cleans needed in process steps with savings in time, materials and energy. Thirdly many organic resists are thermally activated, that is the optical exposure creates a local temperature rise, which in turn creates the inorganic reaction for the development. Thermal resists, especially those using metal-based inorganics, can be less wavelength sensitive and operate at very short wavelengths. Fourthly metal-based inorganics can avoid the photoablation effect down to very short wavelengths. Fifthly thermally reacted inorganics can show different optical characteristics after exposure than before. Thus the exposed areas can be identified before the development processes. This allows errors in exposure to be corrected. [0008]
  • Finally Gelbart and Karasyuk have shown that with thermal resists and a special multiple exposure modification existing optical exposure systems could substantially decrease the minimum size structures they can build. Current exposure systems are diffraction limited by their optics and need to use shorter wavelengths (193 nm and 150 nm) to pattern structures below 0.1 to 0.07 microns. However Gelbart and Karasyuk show that by use of a multiple exposure modification to existing (248 nm) systems resolution below 0.1 microns may be possible and below 0.1 micron with shorter wavelengths. This multiple exposure system only works for resists that do not follow the law of reciprocity. The law of reciprocity says that total exposure is integrated over time, meaning that two exposures at half-threshold have the same outcome as one exposure at full threshold; in either case the resist will be fully developed. Thermal resists react when the resist is heated above a certain temperature and do not follow reciprocity. Thus, if a thermal resist is heated to just below the threshold, allowed to cool, and then heated a second time to the same point, it will remain unexposed. In a microfabrication exposure system, UV light arrives in pulses of a few tens of nanoseconds spaced hundreds of microseconds apart. This means that there is sufficient time for the material to cool between UV exposures. By comparison standard photoresists follow the law of reciprocity and a multiply exposure system produces the same result as a regular exposure. [0009]
  • While thermal inorganic resists offer these advantages previous art has shown these resists have, until now, had significant problems, especially with their sensitivity. Janus in U.S. Pat. No. 3,873,341 proposed an amorphous iron oxide based film as a thermal resist. When heated by the optical exposure system the amorphous iron oxide is crystallized if the local temperature exceeds 820° C. The crystallized iron oxide areas are attacked by acid more rapidly than the amorphous, and hence can be selectively removed. However, this high threshold temperature would require unacceptable exposure light intensities in current optical exposure systems. [0010]
  • Bozler et al. in U.S. Pat. No. 4,619,894 offers another thermal inorganic resist consisting of an aluminum film deposited in a low-pressure oxygen atmosphere. This creates an aluminum oxide cermet. When exposed to a UV laser pulse the cermet is converted from a conductive phase to a highly resistive oxide phase. This resistive material is also etched at a much lower rate by a phosphoric acid etch than the cermet, thus creating the desired resist structure. While the optical exposure requires by the cermet resist is 1000 times less than the Janus resist, it is still requires temperatures in the 300° C. range, and thus exposures 4-10 times greater than current resists, requiring 40 to 100 mJ per square cm of UV light in the 20 nsec laser pulse. Current resists require about 10 mJ per square cm per pulse of UV light for exposure. [0011]
  • Using lasers to alloy film layers and alter their reflectivity is well known in the creating of optical writeable disks for information storage. In particular Takeuchi et al. in U.S. Pat. No. 5,851,729 describes a system using a Bismuth-Tellurium Bi—Te alloy layer that is sandwiched between two Antimony-Selenium Sb—Se films. When hit with a laser the 3 layers alloy to create a Bi—Te—Sb—Se film with different reflectance than that of the unalloyed layer. Nakane in U.S. Pat. No. 4,587,533 teaches another optical write alloying system using a transparent layer with a lower melting temperature than the metal layer of Te, Bi, Sb or In. The laser light passes through the upper layer, and melts the lower which alloys with the transparent upper layer to significantly change the materials reflectivity. However while Takeuchi, Nakane and others teach the multilayer alloying concept they focus on creating alloys whose optical characteristics, especially their reflectivities, substantially change from the unexposed to alloyed state. The relative etching rate of the unexposed and alloyed areas is not considered or discussed as important in their choice of materials. For a workable thermal resist for microfabrication, the change in the optical characteristics is of much less importance than the etch ratio of the unexposed and alloyed films. Optical changes would be useful to identify the exposed area before development, but it is not necessary for a successfully functioning thermal resist. Optical changes may be useful in some applications like creating photomasks but should not be the most important issue for thermal inorganic resists. In addition the optical writeable disk technologies all require that the unexposed multilayers not be alloyed by the laser beams reading the disk information. Since these reading lasers are typically 1-2 mW of laser light focused to spots of less than one micron this requires a significant thermal threshold (typically more than 250-400° C.) before the alloying can occur. However good thermal resists require the opposite condition: the lower the thermal reaction temperature the more sensitive to illumination is the resist making it more desirable. Indeed the exposure induced by the optical writable disk readout laser is typically in the 400,000 W/sq cm range making it unacceptable in current photolithographic exposure systems. Thus the alloys and processes best for optical disk writing are poor candidates for thermal inorganic resists just on thermal energy considerations. [0012]
  • The Janus and Bozler thermal inorganic resists are still too insensitive for most applications. In addition they are specific to particular materials and thus hard to modify to improve the process. Accordingly what is needed is a more general way of creating inorganic resists that offers a range of materials that can be explored for resists that have both good sensitivity (UV exposure requirements) and are compatible with current integrated circuit contamination requirements. In addition some optical characteristics of some inorganic resists in this class may make them useful for creating optical masks directly if their light absorption can be changed from a highly absorptive state to a less absorptive one. This innovation offers the potential for addressing all of these needs. [0013]
  • SUMMARY OF THE INVENTION
  • This invention discloses a general class of dry inorganic thermal resists based on a multilayer process. In its simplest form the thermally active layer consists of a lower thin film of one inorganic material, usually single element metals of binary metal alloys (in one example an Indium (In) film). This film is deposited via a dry process (for example sputter deposition or CVD deposition). Usually in the same deposition system a second layer of another inorganic film is deposited, again commonly a single element metals or binary metal alloys (in one example a Bismuth (Bi) film). In more complex forms there may be more than two layers. The materials that are potential candidates for the two or more layer films are those that show in their phase diagrams a low temperature alloy, a eutectic, whose melting point is below that of the two individual films. Best results occur for eutectic temperatures below 300° C. and preferentially below 200° C., with temperatures of 100° C. or below being possible with some alloys. Some phase diagrams show more than one phase material ratio with temperatures below the individual layer melting points. Thus, when heated by optical exposures that create temperatures in the film above the eutectic point, the films begin to alloy at the interface between the layers. Since the alloy has a lower melting point than either individual film, this reaction will occur at a much lower temperature than the melting or vaporization temperatures of the individual films. None of the films tested show ablation of the materials after exposure at levels near the threshold. The ratio of the film thicknesses must be such that when fully combined the resulting alloy will near the desired composition. Since current laser optical UV microlithographic exposure systems involve very short pulses (about 20 nsec or less) the relatively low average energy of exposure actually involves very high instantaneous optical powers during a single pulse (10 mJ per square cm in 20 nsec. is 0.5 megawatts of power per square cm during the pulse). Such high powers drive the alloying process if the film is thin enough. [0014]
  • In addition to the low temperature eutectic point the films should show important thermal and optical characteristics. Both materials must have a sufficiently low thermal conductivity that the heated area does not create temperatures in adjacent areas to exceed the threshold temperature during and after the laser pulse (given the pulse to pulse variation in exposures that occur in typical systems and thus the required exposures above the threshold levels to produce uniform results). The area over which the heat would spread will determine the resolution of the film, and for current applications should allow resolutions of less than 0.1 microns. In addition the lower the thermal conductivity the less energy needed to heat the local area above the thermal reaction point. [0015]
  • Optical absorption characteristics of the films also determine the combined layer of thickness and the order of the layers. The order of which material is on the top layer is often set to give a minimum reflection and maximum absorption with the film. For proper choice the material's optical index of refraction and absorption index at the desired wavelengths should be calculated to obtain these. Total film thicknesses are best when the optical energy absorption rate allows energy deposition in both film layers. As many films are highly absorbing metals calculations must be done using optical multilayer thin film analysis including complex indexes, and Poynting Vector analysis, both including the effects of internal reflections. In general the actual energy deposited in the film per unit volume increases as thickness decreases, resulting in more sensitivity for total film thickness less than 70 nm. The films are in general only modestly wavelength sensitive. For some metal films the wavelength range of successful operation tested has ranged from the Near InfraRed (860 nm) to UV (266 nm). There are however different exposure requirements at different wavelengths. While films less than 70 nm provide best sensitivity thicker films (up to at least 300 nm) show the same alloying effects, but required more laser power. [0016]
  • While an alloying action is the simplest, some alloys may also combine with oxygen, nitrogen or hydrogen to form films with significantly different characteristics than both the thin layer materials or their oxides, nitrides or hydrides. This may occur either with gases in the atmosphere above the films during exposure, or from atoms trapped in the film during deposition, or even from layers that are oxides to begin with (usually the bottom layer). [0017]
  • The post-alloying characteristics needed are dependent on the application. Most useful materials show a significant optical change between the alloyed and non-alloyed areas. Depending on the materials used alloyed films range from little optical change to significant increases/decrease in reflectivity or color to films. Optical transmissions may significantly decrease, and in some tested cases actually go from nearly completely absorbing in the unexposed case to almost completely transparent in the alloyed case (as in the case of one embodiment, BiIn, films showing such changes). Films that alloy and show a substantial decrease in absorption may be used to directly write optical images photomasks for some applications. [0018]
  • The development etching characteristics of the alloyed layer in some materials are very different from the unalloyed material. General results have shown the alloyed material is much more resistant to etching than the unexposed layers. Etching rate ratios of alloyed to unexposed will depend on the specific etchant and etching processes used (for example wet acids or dry plasma). With some alloys (as in BiIn) a simple wet etchant will remove the unalloyed layer, leaving the alloyed layer. This development etch generally results in a negative thermal resist with the alloyed areas left behind and the unexposed areas removed. [0019]
  • The thermal inorganic resist layers are very thin (typically 30-70 nm). Also they are not resistive to all etchants needed for all commonly used layers on the substrate. In most applications an inactive protection layer is deposited before the multilayer resist is deposited. After the patterning exposure and development etch of the multilayer inorganic resist it acts as a mask layer for the protection layer. Protection layers are chosen to have a significantly different etch characteristic to that of the alloyed resist. For example in the case of metal multilayers a thick (about 1 micron) layer of carbon would be preferred. An oxygen plasma development etch would in many cases remove the carbon without attacking the alloyed resist. This leaves a multilayer developed resist consisting of the alloyed resist and protection layer. This provides significantly better protection for etching many of the lower layers. [0020]
  • To work in any microfabrication process a resist must be easy to strip or remove, leaving the substrate clean of any remnant resist or contamination, but without damaging any of the layers below. this is especially important for reworking a resist definition that has defects in it. A microfabrication standard cleaning processes etch ([0021] RCA 2 or HCI: H2O2: H2O in the ratio 2:3:14) has proven successful in stripping the thermal inorganic resist in one case (BiIn). Dry etches or ion milling can also be used as the layer is very thin. The protection layer can be removed with a dry etch (Oxygen plasma in the case of a carbon layer). This will leave a clean substrate ready for the next processing step. It is notable that neither the Janus nor the Bozler patents discuss the strip process for their resists. Removal of the patterned cermet resist in Bozler case without damage to some metal layers below would be difficult.
  • Since more than one material combination has successfully shown some or all of these characteristics this patent discloses a general class of inorganic thermal resists that have low temperatures of conversion, form alloys over a wide wavelength range, do not show ablation of materials after exposure, show significant different optical parameters from the unexposed areas after the exposure allowing the patterning to be determined before development, more than one material is transparent after exposure making them candidates for optical masks, and some show a significant etching difference between the alloyed and unexposed materials allowing a development etch to pattern the layer, and yet have a simple resist strip.[0022]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the features, process steps, advantages and objects of the invention, reference should be made to the following detailed description and the accompanying drawings. [0023]
  • FIG. 1A through FIG. 1D are partial representations of one embodiment of the schematic cross section of the substrate during the deposition steps in the creation of the thermal inorganic resist which will be used in photolithography or mask creation. FIG. 1A through FIG. 1D illustrate a resist process with a lower protection layer. [0024]
  • FIG. 2A through FIG. 2C illustrate an embodiment with a direct deposit of the thermal resist on the area to be patterned, and no protection layer. [0025]
  • FIG. 3A through FIG. 3G are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 1A through FIG. 1D during the photolithographic processes which will pattern the resist with exposure and development etch, and transfer that pattern by etching to the layers of the substrate. [0026]
  • FIG. 4A through FIG. 4D are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 2A through FIG. 2C during the photolithographic processes which will pattern the resist with exposure and a development etch, and transfer that pattern by etch to the layers of the substrate. [0027]
  • FIG. 5A and FIG. 5B are partial schematic representations of one embodiment of the schematic cross section of the substrate and resist of FIG. 2A through FIG. 2C during a direct laser write processes which will pattern the resist with exposure and a development etch. [0028]
  • FIG. 6 illustrates for one embodiment of the resist of FIG. 1A through FIG. 1D the calculated variation with resist thickness of the optical reflectivity, energy absorbed in the resist, and the light transmitted through the resist during the exposures of FIG. 3A through FIG. 5B. [0029]
  • FIG. 7 illustrates a calculation of the energy deposition with depth in one embodiment of the inorganic thermal resist of FIG. 1A through FIG. 1D during the photolithographic exposures in FIG. 3A through FIG. 5B.[0030]
  • DETAILED DESCRIPTION
  • The invention will now be described with reference to the drawings in which the reference numbers designate similar features. [0031]
  • As shown in FIGS. 1A to [0032] 7, this invention discloses a general class of dry inorganic thermal resists based on a multilayer process.
  • In its simplest form the thermally active layer consists of a lower thin film of one inorganic material and an overlying layer of another inorganic film. The lower and overlying layers may each be a layer of a single element metal or a binary metal alloy. In one example the overlying layer is a Bismuth film. In one example the lower layer is an Indium film. In more complex forms there may be more than two layers. The materials that are potential candidates for the two or more layer films are those which show in their phase diagrams a low temperature alloy, a eutectic, whose melting point is below that of the two individual films. Best results occur for eutectic temperatures below 300° C. and preferably below 200° C., with several good materials near 100° C. or below. Note some phase diagrams show more than one phase material ratio with temperatures below the individual layer melting points. [0033]
  • Candidate binary metal thermal resists are given in the following table, taken from phase diagrams in Hansen: [0034]
    Eutectic 1 Eutectic 2
    Temperature Temperature
    Element ° C. Composition ° C. Composition
    AsPb 288  7% As
    BiCd 144 45% Bi
    BiCo 258 90% Bi
    BiIn
    72 22% Bi 110 53% Bi
    BiPb 125 56% Bi 184 36% Bi
    BiSn 139 43% Bi
    BiZn 254 92% Bi
    CdIn 123 74% In
    CdPb 248 72% Pb
    CdSb 290  7% Sb 445 57% Sb
    CdSn 177 67% Sn
    CdTi 203 72% Ti
    CdZn 266 26% Zn
    GaIn 16 16% In
    GaMg 285 67% Ga 422 19% Ga
    GaSn
    20  5% Sn
    GaZn
    25  5% Zn
    InSn 117 47% Sn
    InZn 143  7% Zn
    MgPb 253 85% Pb 466 19% Pb
    MgSn 200 91% Sn 561 11% Sn
    MgTi 203 80% Ti 353 43% Ti
    PbPd 265 90% Pb 454 61% Pb
    PbPt 290 95% Pb
    PbSb 252 18% Sb
    PbSn 183 26% Pb
    SbTi 195 30% Sb
    SeTi 172 73% Se 284 43% Se
    SnTi 170 69% Sn
    SnZn 198 85% Sn
  • Two embodiments, BiIn (with 53% Bi) and BiSn (with 43% Bi) will be used as the example embodiments in the detailed descriptions of the preferred embodiments. However any materials for the multilayer resist which from alloys that have melting temperatures below that of the individual layers (as do the binary alloys in the chart) form good candidates for this class of thermal resist. For the etching requirement alloys which contain significant percentages of both source materials give superior results. [0035]
  • Some particularly interesting other embodiments are BiIn (22% Bi), notable for its very low conversion temperatures (72° C.), and InSn (47% Sn) for its good compatibility with other silicon fabrication processes. Furthermore this chart does not include resists where one or more layers is itself a compound material, especially including oxides, nitrides or hydrides, which are combined with a second layer to produce more complex compounds. In addition multilayer films, whose alloyed materials combine with oxygen, nitrogen or hydrogen from the surrounding atmosphere can form some interesting alloys with good resist characteristics. Also it is clear that more than two layer films, forming more complex alloys, can create resists that are useful, in some applications. In the detailed descriptions of the figures only two-layer resists are discussed. [0036]
  • FIG. 1A through FIG. 1D illustrate, in simplified form, one embodiment of the processes used to deposit the thermal inorganic multilayer resist. In FIG. 1A, a wafer or device to be processed is provided. The wafer or device comprises a [0037] substrate 4 which may have been processed with lithography in previous operations and a layer 5 that is to be patterned by the resist. In one embodiment layer 5 could be a thermal oxide grown on a silicon wafer substrate 4. However in some applications the substrate 4 may be defined directly by the resist and no layer 5 will exist. In FIG. 1B a resist protection layer 1 is deposited on top of the substrate layer to be patterned, typically layer 5. In one embodiment layer 1 is an amorphous Carbon film of between 0.2 and 1.0 microns thickness. Preferred deposition processes are dry procedures such as sputter coating, Chemical Vapour Deposition (CVD) or evaporation. In another embodiment, an organic polymer, like standard photoresist, may be used of similar thickness. In FIG. 1C deposition of a lower resist layer 2 then follows again preferentially using the dry sputter or CVD processes. In one embodiment layer 2 is an Indium film of between 5 and 200 nm thick and in a specific example 15 nm thick. In FIG. 1D an upper resist layer 3 is deposited on top of layer 4 again using the dry processes such as sputtering. Preferentially both resist layers 2 and 3 are done in the same deposition system without being exposed to the atmosphere between deposits. In one embodiment layer 3 is a Bismuth film of between 5 and 200 nm thick. The thickness of the resist top layer is such that the percentage ratio will give the desired alloy. In the case of one BiIn alloy embodiment this requires approximately equal thicknesses for both layers 2 and 3. In a specific example a layer 3 of Bi film of 15 nm thick to equal that of the layer 2 film of In (the deposition control will probably not allow the exact ratio of 53% Bi).
  • FIG. 2A through FIG. 2C illustrate an inorganic thermal resist process similar to the process illustrated by FIG. 1A through FIG. 1D but with the thermally active layers deposited directly on the layer to be defined [0038] 5 (FIG. 2A), without the deposition of the resist protection layer 1. In this embodiment the deposition of the lower resist layer FIG. 2B proceeds using the processes described for FIG. 1C. FIG. 2C shows the next step, the deposition of the upper resist layer 3 using the processes described for FIG. 1D. This FIG. 2A through FIG. 2C process can be used in the creation of photomasks or the definition of very thin layers 5 which are to be etched.
  • FIG. 3A through FIG. 3G illustrate in simplified form one embodiment of the process steps used to [0039] pattern layer 5 with the thermal inorganic resist after their deposition as shown in FIG. 1A through FIG. 1D. FIG. 3A shows a simplified version of the exposure process and equipment. The light source 20, preferably a UV laser, produces a high power light pulse that is expanded by a lens system 21 into a uniform light illumination onto the photomask 22. The photomask 22 contains the pattern to be projected on the wafer (1-5), usually at a larger scale than the final desired pattern. The photomask contains dark patterns 22A that block the light and clear patterns 22B which transmit the light pulses. Lens system 23 then focuses the image from photomask 22 onto the surface of the top resist layer 3 creating image 24 of the mask opening pattern 22B. In most exposure systems this projected image 24 is shrunk relative to the mask pattern 22B by 5 to 10 times, which increases the effective power density of the light at the resist surface. This focused light penetrates the surface of the resist depositing energy in both the top layer 3 and bottom layer 2. The absorbed light thermally heats the resist, increasing the temperature above the reaction threshold. In the BiIn resist embodiment the 110° C. alloying temperature makes the resist responsive to low light levels. In the preferred embodiment because the eutectic melting temperature is well below that of the top resist layer 3 the alloy begins to form at the layer 2, 3 interface and forms a molten layer that rapidly expands into top and bottom resist layers. The temperature of the unalloyed layers will in some areas will exceed that of the eutectic point making for a rapid advance of this melt front into the heated illuminated area 10, but a slow advance into any non-illuminated resist. The result is an alloyed area 10 within unexposed resist that still consists of the separate layers 2 and 3. Thinner layers take less exposure energy to cause the alloying to occur so that the films of less than a total thickness of 70 nm (35 nm of Bi and 35 nm of In in one embodiment) usually provide the most sensitivity. Less desirably, but still useful if the resist thickness is too large or for some resist materials, the process may occur by melting the top resist layer 3 down to the interface, at which point the alloying begins. In one embodiment the 150 nm Bi and In layers fuse into a 300 nm thick BiIn alloy area at exposure levels greater than 40 mJ/sq. cm for 15 nm Bi and In layers. It is possible, as noted that with some materials oxidation, nitridation or the formation of hydrides of the alloy may occur with the local atmosphere or with gases trapped in the film.
  • FIG. 3B shows the resist after exposure, with the alloyed [0040] areas 10 replicating the pattern of the mask 22. These alloyed areas 10 in some materials have very different optical characteristics from the top resist layer 3 making the image directly observable after exposure. For a BiIn resist the films change from highly absorbing to nearly transparent. A BiIn resist as shown in FIG. 1A through FIG. 1D with 15 nm per layer films showed measured transmission changing from <7% in the unexposed areas to >50% and up to 95% (depending on the exposure conditions) in the near UV to visible light range (350-700 nm). BiSn thermal resist films also showed a tendency to transparency after exposure through this may be due to oxidation. Thicker films (200 nm) were measured with transmission less than 0.1%. Such changes in optical parameters allow the wafer to be inspected for defects. As the alloying processes is commonly not affected by repeated exposures this allows the resist to be re-exposed to correct low exposures or problems. Indeed as the image is observable the alignment of a re-exposure pattern can be to the exposed pattern and not to structures on the layer 5 or below, which gives better results. In addition the electrical conductivity of the alloyed areas were found to range from the same to 3 times larger than the unexposed areas. This means the transparent film may be conductive, which has important considerations for some types of etching.
  • FIG. 3C illustrates the wafer after a development etch. The etching process, either wet or dry (plasma or reactive ion etching) is used to remove the [0041] unexposed layers 2, 3 while only slowly attacking the alloyed layer 10. In the preferred embodiment of the BiIn resist a wet etch of HNO3 (70% solution): CH3COOH: water in the ratio 1:3:6 will etch away the Bi and In layers 2, 3 while reducing alloyed areas 10 by less than 30%. The etchants chosen must be compatible with the resists and alloys used. In some cases a small amount of alloy will form at the interface during deposition and this will leave small grains of a remnant material after the etching. This remnant alloy can be removed with a less etching version of the resist stripping process. In the preferred embodiment of a BiIn resist a dilute RCA 2 strip of HCL:H2O2:H2O in the ratio 1:1:48 at room temperature has proven effective in removing this remnant.
  • FIG. 3D illustrates the development etch of the protection resist [0042] layer 1 which replicates the image of the thermal resist alloyed pattern 10 into the protection resist. Preferentially an anisotropic etch, such as a plasma or reactive ion etch, should be used to get the best pattern transfer. In one particular embodiment with an amorphous carbon protection layer 1 an oxygen plasma etch will remove the carbon not protected by the alloyed resist areas 10. The purpose of the protection resist is two fold. It provides a thicker resist area than the thin thermal resist for etching processes that may attack the resist (for example ion milling). Secondly, some etches needed to pattern particular layer 5 materials will attack the thermal resist. At the same time the thermal resist layer provides protection to etches that would attack the protection layer 1, such as oxygen plasma. Using a protective resist layer 1, in one embodiment an amorphous carbon layer, combined with the protection of the thermal resist layer will provide good resist to almost all plasma etches.
  • FIG. 3E illustrates the result after etching the [0043] layer 5 with the correct etch for that layer, and thus transferring the pattern from the thermal resist alloyed area 10 to the layer 5. Preferentially this would be done with a dry plasma or reactive ion etch. In one embodiment, where layer 5 is a thermal silicon oxide (glass), a fluorine containing plasma, such as CF4, would etch the glass down to the silicon substrate 4 while only slightly attacking the thermal resist layers.
  • FIG. 3F shows the first resist stripping step where the thermal resist [0044] pattern 10 is removed. This may be done by processes such as wet etches or dry etches or ion milling (as the thermal resist layer is so thin). In the particular case of the BiIn resist a wet etch which is identical to the standard RCA clean (RCA2 or HCl: H2O2:H2O in the ratio 2:3:14 at 80° C.) has proven effective in stripping the alloyed resist. This RCA clean is already commonly used in microfabrication processes.
  • FIG. 3G illustrates the second stripping step which removes [0045] protection layer 1. In the particular embodiment of an amorphous carbon protection layer an oxygen plasma is very effective. Note depending on the processes used it may be best to reverse these last two stripping the protection layer first and then removing the thermal resist in cleanup operation. In the particular embodiment of the BiIn and carbon films that would mean an oxygen plasma followed by the RCA2 wet etch as a clean up.
  • FIG. 4A through FIG. 4D illustrate a similar process to FIG. 3A through FIG. 3G but with resist directly deposited on the wafer as in FIG. 2A through FIG. 2C. Thus the resist exposure of FIG. 4A is similar to that of FIG. 3A but may involve different illumination levels due to the thermal flow to [0046] layer 5. The patterned wafer is etched in FIG. 4B as described in FIG. 3C, and the layer 5 patterned in FIG. 4C as described in FIG. 3E. Then the resist is stripped in FIG. 4D as described in FIG. 3F.
  • FIG. 5A and FIG. 5B illustrate a laser direct write process. In FIG. 5A a [0047] laser source 40 is focused by a lens system 41 onto the top of the thermal resist layer 3. The resulting laser spot 42 exposes and heats the resist locally creating a small alloyed area 10. The laser can be scanned over the resist surface and its intensity controlled with position to create a laser directly written pattern. Such direct write systems can be used to create specially patterned lithography layers or to write masks. After patterning the wafer is etched as per steps of FIG. 3C to yield a resist pattern as in FIG. 5A and FIG. 5B. It is possible to do all the steps of FIG. 3A through FIG. 3G in the same manner but with a direct write laser.
  • FIG. 6 illustrates the optical characteristics of the films that must be considered to determine the combined layer thickness and the order of the layers. FIG. 6 illustrates one embodiment of the optical behavour, the In [0048] layer 2, Bi layer 3 resist characteristics at 248 nm wavelength. Showing the variation with the total resist thickness of layers 2 and 3 the plot gives the reflection curve 60 from the Bi layer 3, the transmitted light curve 61 out of the lower edge of the bottom In layer 2, and the absorption curve 62 for energy in the combined resist layers. The order of which material is on the top layer is often to give a minimum reflection and maximum absorption when the film is set using curve like this. For proper choice of resists the layers 2 and 3 materials' optical index of refraction and absorption index at the desired wavelengths must be measured or calculated to obtain these curves. As many films are highly absorbing metals these curve calculations must be done using optical multilayer thin film analysis including complex indexes and the effects of internal reflection using well known methods to practitioners of the art. For metal layer resists these optical characteristics results in modestly more energy being deposited in the resist at shorter wavelengths. Thus the optical exposure threshold only changes modestly from UV at 350 nm to the deep UV at 150 nm or shorter. Since the metals, unlike organics, do not tend to suffer photoablation at wavelengths for modest exposures in the 150 to 250 nm range this makes them good candidates for the deep UV resists desired for advanced lithographic images For lithographic resists the reflection from the film surface should be minimized but the transmission of light from the lower layer is not to important. However in other applications, like the generation of photomasks, the transmitted light of curve 61 out of the bottom layer 2 should be below some value. This would require thicker films. In one embodiment to get a transmission of less than 0.1% for Bi on In each layer must be 35 nm thick, are required by FIG. 6 curves. Such thicker films will be less optically sensitive, but provide better blocking of light in unexposed areas. For increased protection an overcoating layer can be added to the photomask on top of the active layers. Such a protective transparent overcoat can be a cross-linked organic material or an inorganic layer, typically silicon dioxide.
  • FIG. 7 illustrates the energy Q deposited per unit volume (W/cc) by the light as it varies in [0049] curve 70 through the thickness of the resist layers, with the bottom of layer 2 being 0 height of resist. FIG. 7 illustrates one embodiment, an In layer 2 of 15 nm thickness, Bi layer 3 of 15 nm, for illumination at 248 nm wavelength. The portion of Q curve 70 labelled 72 is the energy deposited in the bottom layer 2 of In, while 73 is the energy deposition rate in the top resist layer 3 of Bi. Total film thicknesses are best when the optical energy absorption rate Q allows energy deposition in both film layers. Note the sudden drop of the Q energy deposition at the BiIn interface, point 71 (15 nm thickness). With longer wavelengths or different materials the Q rate will be higher in the bottom layer 2 (In) near the interface then at the top of the top layer 3 (Bi) just above the interface 71. These calculations are done using Poynting Vector analysis, including the effects of internal reflections, using well-known methods to practitioners of the art. In general the actual energy Q deposited in the film per unit volume increases as thickness decreases. Since a higher Q results in greater temperatures for a given illumination level, and especially higher temperature at the interface, this creates more sensitive thinner films, especially for total film thickness less than 70 nm.
  • Note that the ability of the BiIn resist to become transparent with exposure suggests that it can be directly used with a direct write laser system as in FIG. 5A and FIG. 5B to produce photomasks without etching the resist. Depending on the exposure levels and film thickness the BiIn alloys show transparency into the UV to 350 nm before absorption begins to rise. Some films indicate this transmission may extend to shorter wavelengths. At wavelengths in which it does not transmit the more common resist development and etch process would be used to create masks. In either case this should create a more defect free mask as the standard mask steps of photoresist application and stripping could be skipped. This direct writing of images on resist films suggests these same materials may be useful for optical information storage. [0050]

Claims (16)

What is claimed is:
1. A photomask material comprising at least two layers of thin inorganic coatings, said coatings changing from opaque to transparent when heated.
2. The photomask of claim 1 comprising a transparent protective overcoat.
3. The photomask of claim 2 wherein the overcoat comprises a cross-linked polymer.
4. A photomask according to claim 1 made by a method comprising:
depositing a first layer of an inorganic thin film on a substrate, the first layer consisting of a layer of a single element metal;
depositing one or more subsequent layers of another inorganic material or materials; and,
imagewise exposing said layers, said exposing creating a resultant material with different etching characteristics from said first and subsequent layers.
5. A photomask according to claim 1 made by a method comprising:
depositing on a substrate a first layer of an inorganic thin film comprising a first inorganic material;
depositing one or more subsequent layers of one or more second inorganic materials; and,
imagewise exposing said first and subsequent layers, said exposing creating in exposed areas a contiguous layer of a resultant material having a melting point below melting points of said first and subsequent layers.
6. A photomask according to claim 5 wherein said resultant material is a eutectic alloy of said first and second materials.
7. A photomask according to claim 1 made by a method comprising:
depositing on a substrate a first layer of an inorganic thin film comprising a first inorganic material;
depositing one or more subsequent layers of one or more second inorganic materials; and
imagewise exposing said first and subsequent layers, said exposing causing one or more reactions with surrounding gases to create one or more oxides, nitrides or hydrides of an alloy of materials of said first and subsequent layers, said reactions creating a resultant material having different etching characteristics from any of said materials of said first and subsequent layers.
8. A photomask according to claim 4 wherein said resultant material has optical transmission characteristics different from optical transmission characteristics of the inorganic materials of the first and subsequent layers.
9. A photomask according to claim 1 made by a method comprising:
providing on the substrate a first layer of a first material and a second layer of a second material, the first and second materials each having a melting point higher than a melting point of an alloy of the first and second materials;
imagewise exposing the substrate by heating selected adjoining portions of the first and second layers to imaging temperatures exceeding the melting point of the alloy and thereby causing the first and second layers to melt together to form the alloy in the selected adjoining portions.
10 A photomask comprising first and second areas having different optical characteristics from one another, the first areas comprising a plurality of layers of different inorganic materials, the second areas comprising contiguous regions of an alloy of the inorganic materials, the alloy having a melting temperature lower than melting temperatures of the inorganic materials.
11. A photomask according to claim 10 wherein the first and second areas have different etching characteristics.
12. A photomask according to claim 10 wherein the different inorganic materials comprise different metals.
13. A photomask according to claim 10 wherein the alloy has optical characteristics different from optical characteristics of a topmost one of the inorganic materials, thus creating a visible image on a surface of the resist which can be viewed by illumination with optical radiation.
14. A photomask according to claim 10 wherein the alloy has a melting point below 300 degrees Celsius.
15. A photomask according to claim 14 wherein the alloy contains at least 5 percent of one of the inorganic materials.
16. A photomask according to claim 10 wherein the alloy comprises a binary alloy selected from the group consisting of: AsPb, BiCd, BiCo, BiIn, BiPb, BiSn, BiZn, CdIn, CdPb, CdSb, CdSn, CdTl, CdZn, GaIn, GaMg, GaSn, GaZn, InSn, InZn, MgPb, MgSn, MgTl, PbPd, PbPt, PbSb, PbSn, SbTl, SeTl, SnTl, and, SnZn.
US10/687,652 2000-07-17 2003-10-20 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation Abandoned US20040131952A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/687,652 US20040131952A1 (en) 2000-07-17 2003-10-20 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/618,065 US6641978B1 (en) 2000-07-17 2000-07-17 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation
US10/687,652 US20040131952A1 (en) 2000-07-17 2003-10-20 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/618,065 Division US6641978B1 (en) 2000-07-17 2000-07-17 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Publications (1)

Publication Number Publication Date
US20040131952A1 true US20040131952A1 (en) 2004-07-08

Family

ID=24476182

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/618,065 Expired - Fee Related US6641978B1 (en) 2000-07-17 2000-07-17 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation
US10/687,652 Abandoned US20040131952A1 (en) 2000-07-17 2003-10-20 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/618,065 Expired - Fee Related US6641978B1 (en) 2000-07-17 2000-07-17 Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Country Status (6)

Country Link
US (2) US6641978B1 (en)
EP (1) EP1360553A2 (en)
JP (2) JP2004504633A (en)
AU (1) AU2001276214A1 (en)
CA (1) CA2416412C (en)
WO (1) WO2002006897A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131952A1 (en) * 2004-12-17 2006-06-22 Del Richardson Brake fluid cooling system
US20100294352A1 (en) * 2009-05-20 2010-11-25 Uma Srinivasan Metal patterning for electrically conductive structures based on alloy formation
WO2013085930A1 (en) * 2011-12-05 2013-06-13 Seagate Technology Llc Optical calibration discs
CN113376960A (en) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 Method of manufacturing semiconductor device and pattern forming method

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037293A1 (en) * 2000-05-08 2005-02-17 Deutsch Albert S. Ink jet imaging of a lithographic printing plate
US6641978B1 (en) * 2000-07-17 2003-11-04 Creo Srl Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation
CN1820313A (en) * 2004-03-12 2006-08-16 松下电器产业株式会社 Process for producing stamper for direct mastering, and stamper produced by such process and optical disc
US8461628B2 (en) * 2005-03-18 2013-06-11 Kovio, Inc. MOS transistor with laser-patterned metal gate, and method for making the same
US7713678B2 (en) 2005-05-30 2010-05-11 Pioneer Corporation Resist material and electron beam recording resist material
JP4696132B2 (en) * 2005-05-30 2011-06-08 パイオニア株式会社 Resist material and electron beam recording resist material
JP4696134B2 (en) * 2005-05-30 2011-06-08 パイオニア株式会社 Resist material and electron beam recording resist material
JP4696133B2 (en) * 2005-05-30 2011-06-08 パイオニア株式会社 Resist material and electron beam recording resist material
GB0611619D0 (en) * 2006-06-12 2006-07-19 Radiation Watch Ltd Lead iodide deposition method
JP2008015085A (en) * 2006-07-04 2008-01-24 Asahi Kasei Chemicals Corp Cylindrical mask structure
CN101286004B (en) * 2007-04-10 2011-03-30 国家纳米科学中心 Inorganic heat resistance film for photoetching technique
CN101286005B (en) * 2007-04-10 2011-03-30 国家纳米科学中心 Local micro photolithography film possessing oxide mask
JP5425404B2 (en) * 2008-01-18 2014-02-26 東京エレクトロン株式会社 Method for processing amorphous carbon film and method for manufacturing semiconductor device using the same
WO2010044400A1 (en) * 2008-10-14 2010-04-22 旭化成株式会社 Thermally reactive resist material, laminated body for thermal lithography using the material, and mold manufacturing method using the material and the laminated body
JP2013061512A (en) * 2011-09-14 2013-04-04 Eito Kogyo:Kk Photomask and manufacturing method of the same
US9690198B2 (en) * 2014-03-10 2017-06-27 Nikon Corporation Increasing and controlling sensitivity of non-linear metallic thin-film resists
US10080806B2 (en) 2015-08-19 2018-09-25 International Business Machines Corporation Sulfur-containing polymers from hexahydrotriazine and dithiol precursors as a carrier for active agents
US9550863B1 (en) 2015-10-05 2017-01-24 International Business Machines Corporation Polymers from stabilized imines
US9534084B1 (en) 2015-11-02 2017-01-03 International Business Machines Corporation High molecular weight polythioaminals from a single monomer
US9862802B2 (en) 2015-11-30 2018-01-09 International Business Machines Corporation Poly(thioaminal) probe based lithography

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3873341A (en) * 1972-12-26 1975-03-25 Material Sciences Corp Rapid conversion of an iron oxide film
US4082861A (en) * 1976-09-23 1978-04-04 Energy Conversion Devices, Inc. Continuous tone imaging film
US4211838A (en) * 1977-08-25 1980-07-08 Energy Conversion Devices, Inc. Method of high sensitivity imaging and imaging film therefor
US4587533A (en) * 1983-07-25 1986-05-06 Sony Corporation Information recording media
US4619894A (en) * 1985-04-12 1986-10-28 Massachusetts Institute Of Technology Solid-transformation thermal resist
US5851729A (en) * 1995-08-31 1998-12-22 Sony Corporation Optical disc
US5998066A (en) * 1997-05-16 1999-12-07 Aerial Imaging Corporation Gray scale mask and depth pattern transfer technique using inorganic chalcogenide glass
US6641978B1 (en) * 2000-07-17 2003-11-04 Creo Srl Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4857167A (en) * 1971-11-19 1973-08-10
JPS495324A (en) * 1972-04-29 1974-01-18
US4000492A (en) * 1974-04-04 1976-12-28 Bell Telephone Laboratories, Incorporated Metal film recording media for laser writing
JPS52146222A (en) * 1976-05-31 1977-12-05 Asahi Chemical Ind Image forming material
JPS56149034A (en) * 1980-04-21 1981-11-18 Nippon Telegr & Teleph Corp <Ntt> Inorganic resist material
JPS57104930A (en) * 1980-12-23 1982-06-30 Nippon Telegr & Teleph Corp <Ntt> Inorganic resist for x-rays and pattern formation
JPS605438A (en) * 1983-06-22 1985-01-12 Hoya Corp Optical information recording medium
JP3127041B2 (en) 1992-05-06 2001-01-22 日東電工株式会社 Photomask manufacturing method
JP2525996B2 (en) 1992-05-20 1996-08-21 日東電工株式会社 Flexible printed circuit board
JPH06181241A (en) 1992-12-11 1994-06-28 Sumitomo Metal Mining Co Ltd Manufacture of tape with bump
JP3402681B2 (en) 1993-06-02 2003-05-06 サンエー技研株式会社 Positioning method in exposure
JPH07326829A (en) 1994-06-02 1995-12-12 Nitto Denko Corp Printed circuit board
JPH1056242A (en) 1997-06-09 1998-02-24 Nitto Denko Corp Flexible printed circuit board
JPH1130851A (en) 1997-07-10 1999-02-02 Nec Toyama Ltd Film for photomask as well as photomask and its production

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3873341A (en) * 1972-12-26 1975-03-25 Material Sciences Corp Rapid conversion of an iron oxide film
US4082861A (en) * 1976-09-23 1978-04-04 Energy Conversion Devices, Inc. Continuous tone imaging film
US4211838A (en) * 1977-08-25 1980-07-08 Energy Conversion Devices, Inc. Method of high sensitivity imaging and imaging film therefor
US4587533A (en) * 1983-07-25 1986-05-06 Sony Corporation Information recording media
US4619894A (en) * 1985-04-12 1986-10-28 Massachusetts Institute Of Technology Solid-transformation thermal resist
US5851729A (en) * 1995-08-31 1998-12-22 Sony Corporation Optical disc
US5998066A (en) * 1997-05-16 1999-12-07 Aerial Imaging Corporation Gray scale mask and depth pattern transfer technique using inorganic chalcogenide glass
US6641978B1 (en) * 2000-07-17 2003-11-04 Creo Srl Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131952A1 (en) * 2004-12-17 2006-06-22 Del Richardson Brake fluid cooling system
US20100294352A1 (en) * 2009-05-20 2010-11-25 Uma Srinivasan Metal patterning for electrically conductive structures based on alloy formation
WO2013085930A1 (en) * 2011-12-05 2013-06-13 Seagate Technology Llc Optical calibration discs
CN113376960A (en) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 Method of manufacturing semiconductor device and pattern forming method

Also Published As

Publication number Publication date
EP1360553A2 (en) 2003-11-12
WO2002006897A2 (en) 2002-01-24
WO2002006897A3 (en) 2002-04-04
CA2416412C (en) 2012-01-17
JP2013167886A (en) 2013-08-29
JP2004504633A (en) 2004-02-12
CA2416412A1 (en) 2002-01-24
US6641978B1 (en) 2003-11-04
AU2001276214A1 (en) 2002-01-30

Similar Documents

Publication Publication Date Title
US6641978B1 (en) Dry multilayer inorganic alloy thermal resist for lithographic processing and image creation
US6013399A (en) Reworkable EUV mask materials
US5314772A (en) High resolution, multi-layer resist for microlithography and method therefor
JP4635610B2 (en) Reflective photomask blank, reflective photomask, and reflective photomask manufacturing method
CN1672098B (en) Method for forming and correcting lithographic template having a repaired gap defect
JP2008041740A (en) Reflective photo-mask blank, reflective photo-mask and exposure method for extreme ultraviolet ray
US5695896A (en) Process for fabricating a phase shifting mask
US6692894B1 (en) Photolithographic pattern-forming material and method for formation of fine pattern therwith
JP2004534969A (en) How to Use Top Thin Film for Photoresist
KR20050073581A (en) Pattern forming materials and pattern formation method using the materials
Chapman et al. Creating direct-write gray-scale photomasks with bimetallic thin film thermal resists
Sarunic et al. Prototype laser-activated bimetallic thermal resist for microfabrication
US7972751B2 (en) Reflection photolithography mask, and process for fabricating this mask
JP2007207829A (en) Reflective mask blank, reflective photomask and manufacturing method thereof
US6015640A (en) Mask fabrication process
US8263317B2 (en) Formation of deep hollow areas and use thereof in the production of an optical recording medium
Chapman et al. BiIn: a sensitive bimetallic thermal resist
Chapman et al. Bi/In bimetallic thermal resists for microfabrication, photomasks, and micromachining applications
US6296975B1 (en) Photo mask of semiconductor device and method for manufacturing the same
Tu et al. Bimetallic thermal activated films for microfabrication, photomasks, and data storage
JP2008256838A (en) Reticle and method for manufacturing reticle
JP6991012B2 (en) Mask blank, mask blank manufacturing method, and transfer mask manufacturing method
JP2014241183A (en) Laminate for dry etching, method for manufacturing mold, and mold
KR100249726B1 (en) Phase shift photo mask
Cardinale Pattern transfer of sub-100-nm features in polysilicon using a single-layer photoresist and extreme ultraviolet lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: CREO SRL, CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAPMAN, GLENN HARRISON;SARUNIC, MARINKO VENCI;TU, YUGIANG;REEL/FRAME:014627/0116

Effective date: 20000707

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE