US20040144038A1 - Composition and associated method for oxide chemical mechanical planarization - Google Patents

Composition and associated method for oxide chemical mechanical planarization Download PDF

Info

Publication number
US20040144038A1
US20040144038A1 US10/730,527 US73052703A US2004144038A1 US 20040144038 A1 US20040144038 A1 US 20040144038A1 US 73052703 A US73052703 A US 73052703A US 2004144038 A1 US2004144038 A1 US 2004144038A1
Authority
US
United States
Prior art keywords
composition
polishing
cmp
abrasive
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/730,527
Inventor
Junaid Ahmed Siddiqui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DA Nanomaterials LLC
Versum Materials US LLC
Original Assignee
Junaid Ahmed Siddiqui
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Junaid Ahmed Siddiqui filed Critical Junaid Ahmed Siddiqui
Priority to US10/730,527 priority Critical patent/US20040144038A1/en
Publication of US20040144038A1 publication Critical patent/US20040144038A1/en
Assigned to DUPONT AIR PRODUCTS NANOMATERIALS reassignment DUPONT AIR PRODUCTS NANOMATERIALS ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIDDIQUI, JUNAID AHMED
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1472Non-aqueous liquid suspensions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Definitions

  • the present invention pertains to a composition and associated methods that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and in particular are useful for oxide CMP.
  • CMP chemical mechanical polishing
  • a substrate e.g., a wafer
  • a CMP slurry typically an abrasive and chemically reactive mixture, is supplied to the pad during CMP processing of the substrate.
  • the pad fixed to the platen
  • substrate are rotated while a wafer carrier system or polishing head applies pressure (downward force) against the substrate.
  • the slurry accomplishes the planarization (polishing) process by chemically and mechanically interacting with the substrate film being planarized due to the effect of the rotational movement of the pad relative to the substrate. Polishing is continued in this manner until the desired film on the substrate is removed with the usual objective being to effectively planarize the substrate (both metallic and dielectric portions).
  • oxide CMP a slurry is used to planarize films comprised of oxide dielectric material (e.g., silicon dioxide).
  • oxide dielectric material e.g., silicon dioxide
  • STI shallow trench isolation
  • a slurry is used to planarize structures comprised of oxide dielectric material and silicon nitride.
  • oxide CMP and STI CMP slurry compositions and associated methods afford planarized substrates characterized to have low defectivity levels, low haze levels, and low levels of scratching.
  • Oxide CMP compositions comprising an abrasive(s), such as colloidal silica, colloidal alumina, are known.
  • compositions are useful in various respects, these prior art compositions (and their associated methods) are not suitable for use in oxide CMP of a substrate comprised of a dielectric oxide material (e.g., silicon dioxide) to afford a high removal rate of oxide during CMP processing while simultaneously affording low levels of defectivity, haze, and scratching (as is highly desirable in semiconductor manufacture).
  • a dielectric oxide material e.g., silicon dioxide
  • the present invention provides a solution to this significant need.
  • the invention in one embodiment is a synergistic polishing composition comprising:
  • the invention is a method for chemical mechanical planarization or chemical mechanical polishing of a substrate comprised of dielectric material, the method comprising the steps of:
  • B) providing a composition for chemical mechanical planarization comprising a) an abrasive; b) a fluoride salt; and c) an acetylenic alcohol; and
  • This invention provides a composition and an associated method that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and which afford low levels of defectivity, haze, and scratching while simultaneously afford high removal rates of dielectric material during CMP processing of substrates comprised of dielectric material in fabricating semiconductor chips/devices.
  • CMP chemical mechanical planarization
  • the invention is a polishing composition
  • a polishing composition comprising an abrasive, a fluoride salt (e.g., ammonium fluoride), and an acetylenic alcohol (e.g., Surfynol® 104, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.).
  • a fluoride salt e.g., ammonium fluoride
  • acetylenic alcohol e.g., Surfynol® 104, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.
  • Suitable abrasives include, but are not limited to, silica, ceria, and titania. Colloidal silica is preferred. The counter-ion associated with colloidal silica is not limited and can be, for example, ammonium, potassium, or sodium. Potassium is preferred. The level of abrasive is not limited but typically is in the range from about 10 weight percent to about 35 weight percent. For colloidal silica the level of abrasive is preferably is in the range from about 20 weight percent to about 30 weight percent.
  • Suitable fluoride salts include ammonium fluoride as well as metal fluoride salts such as sodium fluoride and potassium fluoride. Ammonium fluoride is preferred.
  • the level of fluoride salt according to this invention is relatively low and typically will be in the range from about 0.005 weight percent up to about 0.1 weight percent. Raising the level above about 0.1 weight percents leads to gelation of potassium-stabilized silica sols, such as Syton® OX-K (DuPont Air Products NanoMaterials L.L.C., Carlsbad, Calif.).
  • a preferred (acetylenic) alkyne alcohol compound for the composition of this invention preferably has at least two hydroxyl substituents.
  • a suitable alkyne for use in this invention has at least one alkyne (—C ⁇ C—) functionality but may have more than one.
  • a suitable alkyne is a C 4 -C 22 alkyne, and a preferred alkyne is a C 12 -C 16 alkyne.
  • a particularly preferred alkyne compound is 2,4,7,9-tetramethyl-5-decyn-4,7-diol.
  • an alkyne having fewer than 4 carbon atoms is in the composition, it is disadvantageous because it is a poor nucleophile, and consequently the effectiveness of its assisting in debris removal from the substrate during CMP processing is reduced.
  • an alkyne having greater than about 22 carbon atoms is chosen, it is disadvantageous because its very low (poor) solubility prevents its use in water-based CMP slurries.
  • the level of the acetylenic (alkyne) alcohol component in the composition of this invention is relatively low and typically is in the range from about 0.005 weight percent to about 0.03 weight percent. Above about 0.03 weight percent, it has been found that there results a significantly reduced oxide removal rate. Below about 0.005 weight percent, the level of surfactant is too low to be effective in preventing debris buildup on the substrate and assisting in its removal during CMP processing.
  • pH of the composition of this invention is not limited and can be chosen to be that corresponding to an acidic, a basic, or a neutral value as desired for oxide CMP.
  • the associated methods of this invention entail use of the aforementioned composition (as disclosed supra) for chemical mechanical planarization or chemical mechanical polishing of substrates comprised of dielectric material.
  • a substrate e.g., a wafer
  • a polishing pad which is fixedly attached to a rotatable platen of a CMP polisher.
  • a wafer carrier system or polishing head is used to hold the substrate in place and to apply a downward pressure against the backside of the substrate during CMP processing while the platen and the substrate are rotated.
  • the polishing composition (slurry) is applied (usually continuously) on the pad during CMP processing to effect the removal of material to planarize the substrate.
  • composition and associated methods of this invention are effective for chemical mechanical polishing of a wide variety of substrates, including substrates having dielectric portions that comprise materials having dielectric constants less than 3.3 (low-k materials).
  • Suitable low-k films in substrates include, but are not limited to, organic polymer, carbon-doped oxides, fluorinated silicon glass (FSG), inorganic porous oxide-like materials, and hybrid organic-inorganic materials. Representative low-k materials and deposition methods for these materials are summarized below.
  • polishing composition comprising an abrasive, a fluoride salt, and an acetylenic alcohol acts synergistically and is very effective for oxide CMP while affording low levels of defectivity, haze, and scratching.
  • angstrom(s)—a unit of length
  • BP back pressure, in psi (pounds per square inch) units
  • DF Down force: pressure applied during chemical mechanical planarization, units psi
  • PETEOS plasma enhanced deposition of tetraethoxy silane, dielectric oxide layer.
  • PAD IC-1400 polishing pad used during CMP, supplied by Rodel, Inc, Phoenix, Ariz.
  • PS platen rotational speed of polishing tool, in rpm (revolution(s) per minute)
  • Surfynol® 104E This commercial product is 2,4,7,9tetramethyl-5-decyn-4,7-diol in ethylene glycol in a 50:50 mixture, Air Products and Chemicals, Allentown, Pa.
  • Surfynol® 104 This commercial product is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.
  • PETEOS thickness was measured with a Nanometrics, model # 9200, manufactured by Nanometrics Inc., 1550 Buckeye, Milpitas, Calif. 95035. Twenty-five and forty nine-point polar scans were taken with the respective tools at 5-mm edge exclusion.
  • the CMP tool that was used is an IPEC-Speedfam Avanti 472, manufactured by SpeedFam IPEC, 305 North 54 th Street, Chandler, Ariz., 85226.
  • polishing experiments were conducted using PETEOS (plasma enhanced tetraethoxysilane) wafers. These blanket wafers were purchased from Silicon Valley Microelectronics, 1150 Campbell Ave Calif. 95126. The film thickness specifications are summarized below:
  • PETEOS 15,000 ⁇ on silicon
  • Defectivities of the PETEOS wafers were measured using a Surfscan® 6420 Film Surface Analysis System, supplied by Tencore Corporation, Mountain View, Calif.; for every polished wafer, the defectivity count was measured at 0.18-micron and 0.13-micron resolution.
  • the Surfscan® 6420 detects, counts, and sizes light point defects on semiconductor substrate materials, using laser beam scanning for detecting defects. Defectivity was also measured subsequently for the polished wafers using a hydrofluoric acid dip test method as described below. The total defectivity count values, which are the total light point defect counts for each wafer, are reported in Table 1.
  • Defect counts on the polished wafer surfaces were measured using a HF dip test as follows: in a 5 gallon electronic grade plastic container, 4 gallons of electronic grade 1% HF solution was poured carefully with no agitation. The post-polish PETEOS wafers were then completely immersed in the 1% HF solution for 120 seconds. After the 120 seconds dip test, the HF cleaned wafers were removed from the plastic container, flushed multiple times with deionized water and defectivities were measured using a Surfscan® 6420.
  • Standard potassium-stabilized colloidal silica slurry Syton® OX-K (contains 30 weight-% abrasive) was used for polishing oxide wafers on the IPEC-Speedfam Avanti 472 using the following conditions:
  • Standard potassium-stabilized colloidal silica slurry Syton® OX-K was reformulated using the following components:
  • Example 1 Example 2 pH 10.6 to 10.7 10.6 to 10.7 Pre-HF defectivity count at 0.18 micron 21 17 Pre-HF defectivity count at 0.13 micron 278 134 Post-HF defectivity count at 0.18 micron 241 91 Post-HF defectivity count at 0.13 micron 4598 1632 PETEOS Removal Rate ( ⁇ /min) 3707 3983

Abstract

A composition and associated method for oxide chemical mechanical planarization (or other polishing) are described. The composition and associated method afford high oxide removal rates while they simultaneously afford low levels of defectivity, haze, and scratching during polishing (e.g., CMP). The composition comprises an abrasive, a fluoride salt, and an acetylenic alcohol.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The application claims the benefit of U.S. Provisional Application Serial No. 60/432,358 filed 9 Dec. 2002.[0001]
  • BACKGROUND OF THE INVENTION
  • The present invention pertains to a composition and associated methods that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and in particular are useful for oxide CMP. [0002]
  • Chemical mechanical planarization (chemical mechanical polishing, CMP) is now widely known to those skilled in the art and has been described in numerous patents and open literature publications. Some introductory references on CMP are as follows: “Polishing Surfaces for Integrated Circuits”, by B. L. Mueller and J. S. Steckenrider, Chemtech, February, 1998, pages 38-46; and H. Landis et al., Thin Solids Films, 220 (1992), page 1. [0003]
  • In a typical CMP process, a substrate (e.g., a wafer) is placed in contact with a rotating polishing pad attached to a platen. A CMP slurry, typically an abrasive and chemically reactive mixture, is supplied to the pad during CMP processing of the substrate. During the CMP process, the pad (fixed to the platen) and substrate are rotated while a wafer carrier system or polishing head applies pressure (downward force) against the substrate. The slurry accomplishes the planarization (polishing) process by chemically and mechanically interacting with the substrate film being planarized due to the effect of the rotational movement of the pad relative to the substrate. Polishing is continued in this manner until the desired film on the substrate is removed with the usual objective being to effectively planarize the substrate (both metallic and dielectric portions). [0004]
  • In oxide CMP a slurry is used to planarize films comprised of oxide dielectric material (e.g., silicon dioxide). In shallow trench isolation (STI) CMP a slurry is used to planarize structures comprised of oxide dielectric material and silicon nitride. Furthermore, it is desired that the oxide CMP and STI CMP slurry compositions and associated methods afford planarized substrates characterized to have low defectivity levels, low haze levels, and low levels of scratching. [0005]
  • During oxide CMP and STI CMP, considerable amounts of particles are generated from the abraded substrate materials as debris during the planarization process. These particles, in addition to undersized abrasive particles present in the CMP slurry, are high energy and may adhere to the planarized wafer oxide layer as contaminant causing defects. The particles generated from the abraded substrate materials, in addition to oversized abrasive particles present in the CMP slurry, may cause undesirable scratching on the polished/planarized oxide surface. Therefore, it is desirable to prevent debris particles generated during polishing from the abraded substrate materials, from adhering too strongly to the planarized oxide surface. [0006]
  • Oxide CMP compositions comprising an abrasive(s), such as colloidal silica, colloidal alumina, are known. [0007]
  • The use of ammonium fluoride in post-CMP cleaning compositions is known. The use of various surfactants in metal CMP compositions as well as post-CMP cleaning compositions is known. [0008]
  • While the above compositions are useful in various respects, these prior art compositions (and their associated methods) are not suitable for use in oxide CMP of a substrate comprised of a dielectric oxide material (e.g., silicon dioxide) to afford a high removal rate of oxide during CMP processing while simultaneously affording low levels of defectivity, haze, and scratching (as is highly desirable in semiconductor manufacture). There is a need for compositions (and associated methods) that provide high removal rates for oxide removal during CMP while simultaneously also affording low levels of defectivity, haze and scratching. The present invention provides a solution to this significant need. [0009]
  • BRIEF SUMMARY OF THE INVENTION
  • The invention in one embodiment is a synergistic polishing composition comprising: [0010]
  • a) an abrasive; [0011]
  • b) a fluoride salt; and [0012]
  • c) an acetylenic alcohol. [0013]
  • In another embodiment, the invention is a method for chemical mechanical planarization or chemical mechanical polishing of a substrate comprised of dielectric material, the method comprising the steps of: [0014]
  • A) providing a substrate comprised of dielectric material in contact with a polishing pad; [0015]
  • B) providing a composition for chemical mechanical planarization comprising a) an abrasive; b) a fluoride salt; and c) an acetylenic alcohol; and [0016]
  • C) polishing the substrate with the composition to effect at least partial planarization of the substrate.[0017]
  • DETAILED DESCRIPTION OF THE INVENTION
  • This invention provides a composition and an associated method that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and which afford low levels of defectivity, haze, and scratching while simultaneously afford high removal rates of dielectric material during CMP processing of substrates comprised of dielectric material in fabricating semiconductor chips/devices. [0018]
  • In one embodiment, the invention is a polishing composition comprising an abrasive, a fluoride salt (e.g., ammonium fluoride), and an acetylenic alcohol (e.g., Surfynol® 104, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.). [0019]
  • Suitable abrasives include, but are not limited to, silica, ceria, and titania. Colloidal silica is preferred. The counter-ion associated with colloidal silica is not limited and can be, for example, ammonium, potassium, or sodium. Potassium is preferred. The level of abrasive is not limited but typically is in the range from about 10 weight percent to about 35 weight percent. For colloidal silica the level of abrasive is preferably is in the range from about 20 weight percent to about 30 weight percent. [0020]
  • Suitable fluoride salts include ammonium fluoride as well as metal fluoride salts such as sodium fluoride and potassium fluoride. Ammonium fluoride is preferred. The level of fluoride salt according to this invention is relatively low and typically will be in the range from about 0.005 weight percent up to about 0.1 weight percent. Raising the level above about 0.1 weight percents leads to gelation of potassium-stabilized silica sols, such as Syton® OX-K (DuPont Air Products NanoMaterials L.L.C., Carlsbad, Calif.). [0021]
  • Both mono-hydroxy and poly-hydroxy acetylenic alcohols can be used in this invention. A preferred (acetylenic) alkyne alcohol compound for the composition of this invention preferably has at least two hydroxyl substituents. A suitable alkyne for use in this invention has at least one alkyne (—C≡C—) functionality but may have more than one. A suitable alkyne is a C[0022] 4-C22 alkyne, and a preferred alkyne is a C12-C16 alkyne. A particularly preferred alkyne compound is 2,4,7,9-tetramethyl-5-decyn-4,7-diol. When an alkyne having fewer than 4 carbon atoms is in the composition, it is disadvantageous because it is a poor nucleophile, and consequently the effectiveness of its assisting in debris removal from the substrate during CMP processing is reduced. When an alkyne having greater than about 22 carbon atoms is chosen, it is disadvantageous because its very low (poor) solubility prevents its use in water-based CMP slurries. The level of the acetylenic (alkyne) alcohol component in the composition of this invention is relatively low and typically is in the range from about 0.005 weight percent to about 0.03 weight percent. Above about 0.03 weight percent, it has been found that there results a significantly reduced oxide removal rate. Below about 0.005 weight percent, the level of surfactant is too low to be effective in preventing debris buildup on the substrate and assisting in its removal during CMP processing.
  • pH of the composition of this invention is not limited and can be chosen to be that corresponding to an acidic, a basic, or a neutral value as desired for oxide CMP. [0023]
  • The associated methods of this invention entail use of the aforementioned composition (as disclosed supra) for chemical mechanical planarization or chemical mechanical polishing of substrates comprised of dielectric material. In the methods, a substrate (e.g., a wafer) is placed face-down on a polishing pad which is fixedly attached to a rotatable platen of a CMP polisher. In this manner, the substrate to be polished is placed in direct contact with the polishing pad. A wafer carrier system or polishing head is used to hold the substrate in place and to apply a downward pressure against the backside of the substrate during CMP processing while the platen and the substrate are rotated. The polishing composition (slurry) is applied (usually continuously) on the pad during CMP processing to effect the removal of material to planarize the substrate. [0024]
  • The composition and associated methods of this invention are effective for chemical mechanical polishing of a wide variety of substrates, including substrates having dielectric portions that comprise materials having dielectric constants less than 3.3 (low-k materials). Suitable low-k films in substrates include, but are not limited to, organic polymer, carbon-doped oxides, fluorinated silicon glass (FSG), inorganic porous oxide-like materials, and hybrid organic-inorganic materials. Representative low-k materials and deposition methods for these materials are summarized below. [0025]
    Deposition
    Vendor Trade Name Method Material
    Air Products and MesoElk ® Spin-on Hybrid organic-
    Chemicals inorganic
    Applied Materials Black Diamond CVD Carbon-doped oxide
    Dow Chemical SiLK ™, Spin-on Organic polymer
    Porous SiLK ™
    Honeywell NANOGLASS ® E Spin-on Inorganic oxide-like
    Electronic
    Materials
    Novellus Systems CORAL ® PECVD Carbon-doped oxide
  • While not being bound by any particular theory, the inventor believes that the following considerations may explain why a polishing composition comprising an abrasive, a fluoride salt, and an acetylenic alcohol acts synergistically and is very effective for oxide CMP while affording low levels of defectivity, haze, and scratching. [0026]
  • During oxide CMP and STI CMP, considerable amounts of particles are generated from the abraded substrate materials as debris during the planarization process. These particles, in addition to undersized abrasive particles present in the CMP slurry, are high energy negatively-charged particles and they tend to adhere to the planarized substrate (e.g., wafer) oxide layer as contaminant causing defects. The particles generated from the abraded substrate materials, in addition to oversized abrasive particles present in the CMP slurry, may cause undesirable scratching of the polished/planarized oxide surface during CMP. Therefore, it is desirable to prevent debris particles generated during polishing and abrasive particles from adhering too strongly to the planarized oxide surface. This is accomplished in this invention due to the synergistic combination of the fluoride salt and acetylenic alcohol components of the composition. Due to the high molecular mobility of these additives in the dissolved aqueous phase of a slurry, these dissolved additives are readily effective at the substrate and facilitate removal of the negatively charged debris and abrasive particles from the dielectric wafer which is stabilized with potassium or ammonium ions. The synergy between these two additives blocks the adhesion of debris particles to the wafer surface. As a result of these favorable interactions with substrate debris, the polished dielectric surface has low defectivity, low haze, and a low level of scratching. [0027]
  • As is illustrated in the examples below, there is a synergistic interaction of the ammonium salt and acetylenic alcohol components of the composition of this invention that affords high oxide removal rates and simultaneously affords low levels of defectivity, haze, and scratching during CMP processing. [0028]
  • Glossary [0029]
  • Å: angstrom(s)—a unit of length [0030]
  • BP: back pressure, in psi (pounds per square inch) units [0031]
  • CMP: chemical mechanical planarization=chemical mechanical polishing [0032]
  • CS: carrier speed [0033]
  • DF: Down force: pressure applied during chemical mechanical planarization, units psi [0034]
  • min: minute(s) [0035]
  • ml: milliliter(s) [0036]
  • mV: millivolt(s) [0037]
  • PETEOS: plasma enhanced deposition of tetraethoxy silane, dielectric oxide layer. [0038]
  • psi: pounds per square inch [0039]
  • PAD IC-1400: polishing pad used during CMP, supplied by Rodel, Inc, Phoenix, Ariz. [0040]
  • PS: platen rotational speed of polishing tool, in rpm (revolution(s) per minute) [0041]
  • SF: slurry flow, ml/min [0042]
  • Surfynol® 104E—This commercial product is 2,4,7,9tetramethyl-5-decyn-4,7-diol in ethylene glycol in a 50:50 mixture, Air Products and Chemicals, Allentown, Pa. [0043]
  • (This 50:50 mixture is easy to handle during the preparation of CMP slurry formulations; hence, Surfynol® 104E was chosen over Surfynol® 104 for use in making the compositions used in the examples.) [0044]
  • Surfynol® 104—This commercial product is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa. [0045]
  • EXAMPLES
  • Chemical Mechanical Planarization (Chemical Mechanical Polishing, CMP) Methodology [0046]
  • In the examples presented below, CMP experiments were run using the procedures and experimental conditions given below. [0047]
  • Metrology [0048]
  • PETEOS thickness was measured with a Nanometrics, model # 9200, manufactured by Nanometrics Inc., 1550 Buckeye, Milpitas, Calif. 95035. Twenty-five and forty nine-point polar scans were taken with the respective tools at 5-mm edge exclusion. [0049]
  • CMP Tool [0050]
  • The CMP tool that was used is an IPEC-Speedfam Avanti 472, manufactured by SpeedFam IPEC, 305 North 54[0051] th Street, Chandler, Ariz., 85226. A Rodel IC-1400-k groove pad, supplied by Rodel, Inc, 3804 East Watkins Street, Phoenix, Ariz., 85034, was used on the primary table with a Suba IV under-liner for the blanket wafer studies. Pads were broken-in by polishing twenty-five dummy oxide (deposited by plasma enhanced CVD from a TEOS precursor, PETEOS) wafers. In order to qualify the tool settings and the pad break-in, two PETEOS monitors were polished with Syton® OX-K colloidal silica, supplied by DuPont Air Products NanoMaterials L.L.C., at baseline conditions. During polishing, pad conditioning was done with a 4″ diamond grit, at 5 pounds of down force.
  • Wafers [0052]
  • Polishing experiments were conducted using PETEOS (plasma enhanced tetraethoxysilane) wafers. These blanket wafers were purchased from Silicon Valley Microelectronics, 1150 Campbell Ave Calif. 95126. The film thickness specifications are summarized below: [0053]
  • PETEOS: 15,000 Å on silicon [0054]
  • Defectivity Measurements Using Surfscan® 6420 [0055]
  • Defectivities of the PETEOS wafers were measured using a Surfscan® 6420 Film Surface Analysis System, supplied by Tencore Corporation, Mountain View, Calif.; for every polished wafer, the defectivity count was measured at 0.18-micron and 0.13-micron resolution. The Surfscan® 6420 detects, counts, and sizes light point defects on semiconductor substrate materials, using laser beam scanning for detecting defects. Defectivity was also measured subsequently for the polished wafers using a hydrofluoric acid dip test method as described below. The total defectivity count values, which are the total light point defect counts for each wafer, are reported in Table 1. [0056]
  • Hydrofluoric Acid (HF) Dip Test for Measuring Defectivity on the Polished Wafer Surface [0057]
  • Defect counts on the polished wafer surfaces were measured using a HF dip test as follows: in a 5 gallon electronic grade plastic container, 4 gallons of electronic grade 1% HF solution was poured carefully with no agitation. The post-polish PETEOS wafers were then completely immersed in the 1% HF solution for 120 seconds. After the 120 seconds dip test, the HF cleaned wafers were removed from the plastic container, flushed multiple times with deionized water and defectivities were measured using a Surfscan® 6420. [0058]
  • Example 1 Control Experiment
  • Standard potassium-stabilized colloidal silica slurry Syton® OX-K (contains 30 weight-% abrasive) was used for polishing oxide wafers on the IPEC-Speedfam Avanti 472 using the following conditions:[0059]
  • Down force, DF=7.0 psi [0060]
  • Platen speed, PS=75 rpm [0061]
  • Carrier speed, CS=70 rpm [0062]
  • Back pressure, BP=1.0 psi. [0063]
  • Slurry flow rate, SF=170 ml/min[0064]
  • The defectivity data at 0.18-micron and 0.13-micron are summarized in Table 1. [0065]
  • Example 2 Syton® OX-K Reformulated with Ammonium Fluoride and Surfynol® 104E
  • Standard potassium-stabilized colloidal silica slurry Syton® OX-K was reformulated using the following components: [0066]
  • Components of mixture for preparing 12 Kg of formulated slurry:[0067]
  • 1) Ammonium fluoride (Sigma-Aldrich, P.O. Box 355, Milwaukee, Wis., 53201) as a 5% aqueous solution=9.0 grams [0068]
  • 2) Surfynol® 104E (Air Products and Chemicals, Inc., Allentown, Pa.)=1.2 grams [0069]
  • 3) Syton® OX-K colloidal silica=12,000 grams[0070]
  • Procedure for Mixing the Slurry, 12 Kg Batch Size [0071]
  • In a 5-gallon beaker, 12,000 grams of Syton® OX-K was transferred. Under agitation, 1.2 grams (0.01%) of Surfynol® 104E was added. The mixture was stirred for 20 minutes. To the mixture containing Surfynol® 104E and Syton® OX-K, 9.0 grams of an aqueous 5%-solution of ammonium fluoride was then added. At this point, the pH was measured and recorded as 10.6-10.7. This reformulated slurry was used for polishing experiments on the IPEC-Speedfam Avanti 472 under CMP tool conditions described in Example 1. [0072]
  • In Table 1, defectivity data measured for a reformulated composition containing Syton® OX-K and for a standard Syton® OX-K sample are tabulated. Clearly, the reformulated composition containing Syton® OX-K exhibited a significantly lower number of defects in relation to the standard Syton® OX-K sample (278 versus 134 at 0.13-micron). Table 1 also includes the defectivities measured after the “HF-dip” test. Clearly, the defectivity measured in control sample Example 1 was much higher than that measured in Example 4 for the reformulated sample (4598 versus 1632 at 0.13-micron). [0073]
    TABLE 1
    Pre-HF dip and post-HF Dip Defectivity
    Measurements for Polished Wafers.
    Slurry: Example 1 Example 2
    pH 10.6 to 10.7 10.6 to 10.7
    Pre-HF defectivity count at 0.18 micron 21 17
    Pre-HF defectivity count at 0.13 micron 278 134
    Post-HF defectivity count at 0.18 micron 241 91
    Post-HF defectivity count at 0.13 micron 4598 1632
    PETEOS Removal Rate (Å/min) 3707 3983

Claims (16)

1. A polishing composition comprising:
a) an abrasive;
b) a fluoride salt; and
c) an acetylenic alcohol.
2. The polishing composition of claim 1 wherein the acetylenic alcohol has at least two hydroxyl substituents.
3. The polishing composition of claim 2 wherein the acetylenic alcohol is 2,4,7,9-tetramethyl-5-decyn-4,7-diol.
4. The polishing composition of claim 1 wherein the acetylenic alcohol is a C4-C22 alkyne.
5. The polishing composition of claim 4 wherein the acetylenic alcohol is a C12-C16 alkyne.
6. The polishing composition of claim 1 wherein the abrasive is a colloidal abrasive.
7. The polishing composition of claim 6 wherein the colloidal abrasive is colloidal silica.
8. The polishing composition of claim 1 wherein the fluoride salt is ammonium fluoride.
9. A method for chemical mechanical planarization of a substrate comprised of dielectric material, the method comprising the steps of:
A) providing a substrate comprised of dielectric material in contact with a polishing pad;
B) providing a composition for chemical mechanical planarization comprising a) an abrasive; b) a fluoride salt; and c) an acetylenic alcohol; and
C) polishing the substrate with the composition to effect at least partial planarization of the substrate.
10. The method of claim 9 wherein the acetylenic alcohol of the composition has at least two hydroxyl substituents.
11. The method of claim 10 wherein the acetylenic alcohol of the composition is 2,4,7,9-tetramethyl-5-decyn-4,7-diol.
12. The method of claim 9 wherein the acetylenic alcohol of the composition is a C4-C22 alkyne.
13. The method of claim 12 wherein the acetylenic alcohol of the composition is a C12-C16 alkyne.
14. The method of claim 9 wherein the abrasive of the composition is a colloidal abrasive.
15. The method of claim 14 wherein the colloidal abrasive is colloidal silica.
16. The method of claim 9 wherein the fluoride salt of the composition is ammonium fluoride.
US10/730,527 2002-12-09 2003-12-08 Composition and associated method for oxide chemical mechanical planarization Abandoned US20040144038A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/730,527 US20040144038A1 (en) 2002-12-09 2003-12-08 Composition and associated method for oxide chemical mechanical planarization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43235802P 2002-12-09 2002-12-09
US10/730,527 US20040144038A1 (en) 2002-12-09 2003-12-08 Composition and associated method for oxide chemical mechanical planarization

Publications (1)

Publication Number Publication Date
US20040144038A1 true US20040144038A1 (en) 2004-07-29

Family

ID=32738239

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/730,527 Abandoned US20040144038A1 (en) 2002-12-09 2003-12-08 Composition and associated method for oxide chemical mechanical planarization

Country Status (1)

Country Link
US (1) US20040144038A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20030216045A1 (en) * 2001-12-21 2003-11-20 Applied Materials, Inc. Hydrogen bubble reduction on the cathode using double-cell designs
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20070251156A1 (en) * 2006-04-18 2007-11-01 Siddiqui Junaid A Fluoride-modified silica sols for chemical mechanical planarization
US20080182485A1 (en) * 2007-01-31 2008-07-31 Junaid Ahmed Siddiqui Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
US20110123831A1 (en) * 2009-11-25 2011-05-26 Asahi Glass Company, Limited Method for manufacturing glass substrate for magnetic disk

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6294105B1 (en) * 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6468913B1 (en) * 2000-07-08 2002-10-22 Arch Specialty Chemicals, Inc. Ready-to-use stable chemical-mechanical polishing slurries
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US6527817B1 (en) * 1999-11-15 2003-03-04 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US20030228762A1 (en) * 2002-06-07 2003-12-11 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6736905B2 (en) * 2001-10-19 2004-05-18 Eastman Kodak Company Method of removing material from an interior surface using core/shell particles

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5516346A (en) * 1993-11-03 1996-05-14 Intel Corporation Slurries for chemical mechanical polishing
US5836806A (en) * 1993-11-03 1998-11-17 Intel Corporation Slurries for chemical mechanical polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6309560B1 (en) * 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6294105B1 (en) * 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6471735B1 (en) * 1999-08-17 2002-10-29 Air Liquide America Corporation Compositions for use in a chemical-mechanical planarization process
US6527817B1 (en) * 1999-11-15 2003-03-04 Cabot Microelectronics Corporation Composition and method for planarizing surfaces
US6468913B1 (en) * 2000-07-08 2002-10-22 Arch Specialty Chemicals, Inc. Ready-to-use stable chemical-mechanical polishing slurries
US6736905B2 (en) * 2001-10-19 2004-05-18 Eastman Kodak Company Method of removing material from an interior surface using core/shell particles
US20030228762A1 (en) * 2002-06-07 2003-12-11 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20030216045A1 (en) * 2001-12-21 2003-11-20 Applied Materials, Inc. Hydrogen bubble reduction on the cathode using double-cell designs
US20070251156A1 (en) * 2006-04-18 2007-11-01 Siddiqui Junaid A Fluoride-modified silica sols for chemical mechanical planarization
US8163049B2 (en) 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
US20080182485A1 (en) * 2007-01-31 2008-07-31 Junaid Ahmed Siddiqui Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
US7691287B2 (en) 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
US20110123831A1 (en) * 2009-11-25 2011-05-26 Asahi Glass Company, Limited Method for manufacturing glass substrate for magnetic disk

Similar Documents

Publication Publication Date Title
EP2818526B1 (en) Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US6720264B2 (en) Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
EP1852481B1 (en) Silane containing polishing composition for CMP
US20030143848A1 (en) Chemical mechanical polishing slurry and method for using same
JPWO2007029465A1 (en) Abrasive, method for polishing surface to be polished, and method for manufacturing semiconductor integrated circuit device
WO2005123858A1 (en) CHEMICAL-MECHANICAL POLISHING (CMP) SLURRY CONTAINING CLAY AND CeO2 ABRASIVE PARTICLES AND METHOD OF PLANARIZING SURFACES
JP6880047B2 (en) Surface treatment composition
JP2010267960A (en) Method for chemically-mechanically polishing substrate
JP6423214B2 (en) Low defect chemical mechanical polishing composition
US6979252B1 (en) Low defectivity product slurry for CMP and associated production method
JP6021583B2 (en) Method for polishing a substrate
JP2008124377A (en) Aqueous dispersant for chemical-mechanical polishing, chemical-mechanical polishing method, and kit for preparing aqueous dispersant for chemical-mechanical polishing
US8916473B2 (en) Method for forming through-base wafer vias for fabrication of stacked devices
KR102464055B1 (en) Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
US20040144038A1 (en) Composition and associated method for oxide chemical mechanical planarization
JP6021584B2 (en) Method of polishing using an adjustable polishing compound
TWI752975B (en) Surface treatment composition and surface treatment method using the same
US9293339B1 (en) Method of polishing semiconductor substrate
JP7359554B2 (en) Polishing composition and substrate polishing method with improved defect suppression
US20070011952A1 (en) Chemical-mechanical polishing (CMP) slurry containing clay and CeO2 abrasive particles and method of planarizing surfaces
WO2023084861A1 (en) Cleaning liquid for removing cerium compound, cleaning method, and method for producing semiconductor wafer
TW202041665A (en) Cleaning liquid for removing cerium compounds, cleaning method, and method for producing semiconductor wafer

Legal Events

Date Code Title Description
AS Assignment

Owner name: DUPONT AIR PRODUCTS NANOMATERIALS, PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIDDIQUI, JUNAID AHMED;REEL/FRAME:016486/0375

Effective date: 20050705

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214