US20040144400A1 - Semiconductor processing with a remote plasma source for self-cleaning - Google Patents

Semiconductor processing with a remote plasma source for self-cleaning Download PDF

Info

Publication number
US20040144400A1
US20040144400A1 US10/759,925 US75992504A US2004144400A1 US 20040144400 A1 US20040144400 A1 US 20040144400A1 US 75992504 A US75992504 A US 75992504A US 2004144400 A1 US2004144400 A1 US 2004144400A1
Authority
US
United States
Prior art keywords
reaction chamber
plasma discharge
chamber
remote plasma
piping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/759,925
Inventor
Kiyoshi Satoh
Kazuo Sato
Hideaki Fukuda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/759,925 priority Critical patent/US20040144400A1/en
Publication of US20040144400A1 publication Critical patent/US20040144400A1/en
Priority to US11/758,601 priority patent/US20070227554A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • This invention relates to a CVD (chemical vapor deposition) device equipped with a self-cleaning device.
  • the invention relates to a device that cleans the inside of a deposition chamber using remotely generated active species.
  • 100031 CVD devices have been conventionally used to form insulation films such as silicon oxide, silicon nitride, amorphous carbon or polymer containing benzene ring, conductor films such as tungsten silicide, titanium nitrite or aluminum alloy and high-dielectric films containing PZT (PbZr 1 ⁇ x Ti x O 3 ) or BST (Ba x Sr 1 ⁇ x TiO 3 ) on silicon a substrate or glass substrate.
  • insulation films such as silicon oxide, silicon nitride, amorphous carbon or polymer containing benzene ring
  • conductor films such as tungsten silicide, titanium nitrite or aluminum alloy
  • reaction gas with various compositions or a second reaction gas is supplied within a deposition chamber. These gases cause a chemical reaction by receiving plasma energy and a desired thin film is formed on a semiconductor substrate.
  • films that are generated similarly by chemical reaction adhere to its inner wall and the surface of a wafer support. These adhesive substances are accumulated gradually as film formation is repeated. Then, disengaging from the inner wall and the surface of the support, these adhesive substances sometimes float within the reaction chamber. This causes impurity contamination that leads to defects in manufactured semiconductor circuits.
  • in situ cleaning that cleans the inside while the reaction chamber is in operation is effective.
  • This method is to remove adhesive substances by bringing cleaning gas, which is selected according to the type of adhesive substances, into the reaction chamber to decompose the adhesive substances into gaseous materials. For example, if silicon oxide or silicon nitride, tungsten or its nitride or silicide adheres, CF 4 , C 2 F 6 , C 3 F 8 or NF 3 is used as cleaning gas.
  • active species (fluorine radical) of fluorine atoms or fluorine-containing active species decomposes the substances adhering to the inner wall of the reaction chamber and impurities can be removed in the gas phase.
  • a valve is provided between the second plasma reaction chamber and the reaction chamber to regulate pressure and the second plasma reaction chamber is maintained at a designated pressure.
  • Generated fluorine active species are brought into the deposition chamber through a conduit, and it decomposes and removes adhesive substances on the inner wall of the reaction chamber.
  • an embodiment is to provide a remote plasma discharge chamber comprising materials that are resistant to damage, and at the same time, to provide reaction chamber cleaning without ion bombardment.
  • Another embodiment of this invention is to provide a CVD device in which plasma ignition at the remote plasma discharge chamber is easy and reliable.
  • Yet another embodiment of this invention is to provide a CVD device that quickly exhausts residual gas within piping after supply of activation cleaning gas is stopped.
  • another embodiment of this invention is to provide a plasma CVD device that supplies cleaning gas to the reaction chamber by maintaining the amount of fluorine species that is activated in the remote plasma discharge chamber.
  • a plasma CVD device includes the following embodiments:
  • the CVD device of the preferred embodiments comprises a reaction chamber, a remote plasma discharge chamber that is provided remotely from the reaction chamber, and piping that links the reaction chamber with the remote plasma discharge chamber.
  • the remote plasma discharge chamber activates cleaning gas by plasma discharge energy.
  • the activated cleaning gas is brought into the inside of the reaction chamber through the piping and changes solid substances, which adhere to the inside of the reaction chamber as a consequence of film formation, to gaseous substances, thereby cleaning the inside of the reaction chamber.
  • the device is characterized by at least one of the following:
  • the remote plasma discharge chamber generates active species using radio-frequency oscillating output energy of a preselected frequency
  • the piping is made of materials that are not corroded by the active species.
  • the device further comprises a support provided within the reaction chamber, which supports an object to be or being processed, and a gas-emitting plate provided at a position facing the support within the reaction chamber.
  • the plate uniformly supplies reaction gas to the object being processed to form a film onto the object being processed, wherein the activated cleaning gas is supplied through piping into the reaction chamber from holes provided through the gas-emitting plate.
  • the preselected frequency is 300 kHz-500 kHz and the active species is a fluorine activated species.
  • the inside surface of the piping is made of fluorine-passivated stainless steel, aluminum or aluminum alloy.
  • the size of the opening of the valve, when fully opened is substantially equal to the inner diameter of the piping, and the valve does not have projections, when fully opened, with respect to the inner surface of the piping.
  • the valve has an opening, when fully opened, such that the pressure drop across the valve is preferably less than about 0.25 Torr (or less than about 5% of the inlet pressure), more preferably less than about 0.1 Torr (or less than about 1% of the inlet pressure, and most preferably substantially no pressure loss is caused.
  • the piping is preferably straight in the vicinity of the valve. Most preferably, all three of these features are combined to produce an efficient, self-cleaning CVD reactor.
  • radio-frequency (e.g., 400 kHz) oscillating output allows manufacturing the remote plasma discharge chamber from anodized aluminum alloy, for example.
  • it is unnecessary to use sapphire or quartz, which are required when conventional microwave output is used. Risk of damage during processing and problems of fluorine active species consumption are thereby reduced.
  • damage to electrodes by ion bombardment at the time of cleaning and deterioration of electrode surfaces can successfully be prevented.
  • complex tuning circuits are unnecessary and miniaturization of the remote plasma discharge chamber and lower cost can be realized.
  • a phenomenon wherein powdered aluminum fluoride adsorbs onto the electrode surface is reduced or eliminated and device downtime due to device maintenance can be considerably shortened. As a result, productivity is improved.
  • FIG. 1 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a first embodiment of the present invention.
  • FIG. 2 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a second embodiment of the invention.
  • FIG. 3 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a third embodiment of the invention.
  • FIGS. 4 ( a ) and 4 ( b ) are schematic diagrams, each showing a cross-sectional view of a valve employed by the preferred embodiments; FIG. 4( a ) shows a closed state of the valve, and FIG. 4( b ) shows an open state of the valve.
  • FIG. 5 is a schematic diagram showing a cross-sectional view of a substrate-processing device according to a fourth embodiment of the present invention.
  • microwave-transparent materials such as sapphire and quartz.
  • Such materials tend to break easily, particularly under thermal stresses of plasma generation, and it is difficult to connect them with metal conduits or piping.
  • quartz it is necessary to replace quartz regularly because it is easily etched by fluorine active species.
  • sapphire is resistant to etching by fluorine active species, sapphire is expensive, and hence it increases the cost of a device.
  • the internal surface of the piping that brings fluorine species into the reaction chamber is typically made of TeflonTM or similar materials. TeflonTM, however easily adsorbs or absorbs the products of dissociating fluoride and NF 3 gases. Dissociated or recombined plasma products from NF 3 gas, which is absorbed on the internal surface of the piping, is released from the internal surface of the piping after supply of cleaning gas is stopped. It remains within the piping and its residual gas diffuses to reaction chamber. When the reaction chamber comprises a second plasma discharge chamber, the released gases can cause ignition failure of plasma discharge. Moreover, chemical reaction can occur if reaction gas for film formation flows into an area where residual gas remains, and undesired films, particles or powder are generated within the piping.
  • a pressure of about 4-20 Torr pressure is required within the remote plasma discharge chamber.
  • a valve to regulate pressure is provided between the remote plasma chamber and the downstream reaction chamber. Pressure within the remote plasma chamber can be increased by keeping the valve only partially open, leaving some obstruction within the flow path.
  • restricting the flow using a valve to raise pressure creates different inconveniences, such as deactivation of fluorine active species and plasma burning. Fluorine active species generated within the remote plasma discharge chamber is deactivated by contact with the metal surface.
  • Fluorine active species generated in the remote plasma discharge chamber radiates a great volume of heat energy when losing activation by contact with the metal surface. Because of this heat energy, the temperature of the contact surface rises.
  • O-rings made of fluorine-containing rubber and other materials are typically used to seal the inside from the external environment. The above-mentioned overheating caused by contact with fluorine active species destroys O-rings. Particularly, within the above-mentioned valve for pressure regulation, there is a risk that O-rings are broken off. If the O-rings are damaged, piping airtightness cannot be maintained.
  • reaction gas used when forming a film onto substrate within the reaction chamber can flow backward or diffuse from the deposition chamber to the remote plasma discharge chamber.
  • the reaction gas can form solid substances on the remote plasma discharge chamber surfaces in a powdered state due to imperfect reaction. If these solid substances then in a powder state flow into the reaction chamber when forming a film, particles can contaminate the substrate.
  • reaction gas adsorbed on the internal surface of the remote plasma discharge chamber raises the ignition electric potential required for plasma discharge in the remote plasma discharge chamber. If the ignition electric potential value becomes higher than the design value for the remote plasma discharge chamber, it is possible that plasma discharge cannot be executed.
  • the remote plasma discharge chamber is desirably sealable from the reaction chamber. However, this would obviously cause reduction of fluorine active species that can reach the reaction chamber and a satisfactory cleaning rate and performance can not be achieved.
  • a CVD device includes the following embodiments and can resolve the above problems:
  • the CVD device comprises a reaction chamber, a plasma discharge chamber that is provided remotely from the reaction chamber (i.e., a remote plasma source), and piping that links the reaction chamber and the remote plasma discharge chamber.
  • the remote plasma discharge chamber activates cleaning gas by plasma discharge energy.
  • the activated cleaning gas is brought into the inside of the reaction chamber through the piping and etches solid substances that adhere to the inside of the reaction chamber as a consequence of film formation, thereby cleaning the inside of the reaction chamber.
  • the device is characterized by at least one of the following:
  • the remote plasma discharge chamber generates active species using radio-frequency oscillating output energy of a preselected frequency
  • the piping is made of materials that are not corroded by the active species.
  • the device further comprises a support provided within the reaction chamber, which supports an object to be or being processed, and a gas-emitting plate provided at a position facing the support within the reaction chamber in order to uniformly supply reaction gas to the object being processed to form a film onto the object being processed, wherein the activated cleaning gas is supplied through piping into the reaction chamber from holes provided on the gas-emitting plate.
  • the device is configured for single-pass, horizontal, laminar gas flow through a cold-wall reactor.
  • the device further comprises a susceptor provided within the reaction chamber, which supports an object to be processed and which adsorbs radiant heat provided through transparent chamber walls.
  • the activated cleaning gas is supplied through an inlet in the chamber walls upstream of the susceptor.
  • the preselected frequency is about 300 kHz-500 kHz.
  • the active species is fluorine activated species.
  • the inside surface of the piping is made of fluorine-passivated stainless steel, aluminum, or aluminum alloy.
  • the CVD device includes a gas conduit to bring reaction gas into the reaction chamber separately from the cleaning gas.
  • the CVD device is configured for plasma CVD with structures for in situ plasma generation.
  • One end of the gas conduit is linked with the reaction chamber.
  • One end of the gas conduit is linked with the piping.
  • the CVD device includes a valve at a predetermined position of the piping between the remote plasma discharge chamber and the reaction chamber.
  • the inside of the valve is made of fluorine-passivated aluminum.
  • One end of the gas conduit is linked to the piping at a predetermined position between the valve and the reaction chamber.
  • a combination of (a) and (c) can include any of the following features:
  • the preselected frequency is 300 kHz-500 kHz.
  • the active species is fluorine activated species.
  • the piping and valve are heated at a predetermined temperature.
  • a gas conduit to bring reaction gas into said reaction chamber is included.
  • One end of the gas conduit is linked to the reaction chamber.
  • One of the gas conduit is linked to the piping.
  • a combination of (a), (b), and (c) can be used to enhance the advantageous effects.
  • the advantages of such features are described above.
  • FIG. 1 is a schematic cross section of an exemplary a plasma CVD device according to this embodiment.
  • a plasma CVD device 1 which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2 , a support 3 provided within the reaction chamber to support the semiconductor wafer 9 , a showerhead 4 that is positioned to face the support 3 and is used to jet out reaction gas uniformly onto the semiconductor wafer 9 , an outlet 20 to exhaust reaction gases and byproducts from the reaction chamber 2 , and a remote plasma discharge chamber 13 .
  • the remote plasma discharge chamber 13 is positioned remotely from reaction chamber 2 and is linked to the showerhead 4 via piping 14 and valve 15 .
  • the remote plasma discharge chamber 13 has characteristics wherein it generates active species using radio-frequency oscillating output energy of the designated frequency and the piping 14 is made of materials that are not corroded by the active species.
  • reaction chamber 2 On one side of the reaction chamber 2 , an opening 19 is formed and the reaction chamber is connected to a transfer chamber (not shown) to bring a semiconductor wafer or other substrate in and carry it out via a gate valve 18 .
  • the support 3 that is provided within the reaction chamber 2 and is used to place the semiconductor wafer 9 is made of anodized aluminum or aluminum alloy and is grounded 27 to constitute one side of an electrode of plasma discharge.
  • the reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation.
  • a ring-shape heating element 26 is embedded and the semiconductor wafer's temperature is controlled at a predetermined temperature using a temperature controller (not shown).
  • the support 3 is connected to a driving mechanism 25 that moves the support 3 up and down through a support piston 29 .
  • the showerhead 4 is provided at a position facing the support 3 .
  • thousands of fine holes are provided to inject reaction gas onto the semiconductor wafer 9 .
  • the showerhead 4 is electrically connected to a radio-frequency oscillator 8 via matching circuit 10 and constitutes another electrode of plasma discharge.
  • a reaction gas conduit 11 is connected to the piping 14 .
  • the number of the gas conduit 11 is not limited to one. According to the type of reaction gas, the necessary number of gas conduits can be installed.
  • One end of the gas conduit 11 constitutes a gas inlet port 5 to cause reaction gas to flow in and the other end constitutes a reaction gas exit port 7 to cause gas to flow out to the showerhead 4 .
  • a mass flow controller (not shown) and valve 6 are positioned in the middle of the reaction gas conduit 11 .
  • an outlet 20 is provided on the side wall of the reaction chamber 2 .
  • the outlet 20 is connected to a vacuum exhaust pump (not shown) through piping 17 .
  • a conductance-controlling valve 21 is provided between the outlet 20 and the vacuum pump to regulate pressure within the reaction chamber 2 .
  • the conductance-controlling valve 21 is electrically connected to an external regulator 28 .
  • a pressure gauge 28 a is preferably provided to measure pressure within the reaction chamber 2 .
  • This pressure gauge 28 a is electrically connected to the regulator 28 .
  • the remote plasma discharge chamber 13 is remotely provided from the reaction chamber 2 .
  • the remote plasma discharge chamber 13 is made of anodized aluminum alloy.
  • the remote plasma discharge chamber 13 is linked to the showerhead 4 within the reaction chamber through piping 14 .
  • a valve 15 is provided in the middle of the piping 14 .
  • the internal surface of this piping 14 is preferably made of fluorine-passivated stainless steel, but aluminum or fluorine-passivated aluminum alloy can be also used.
  • the internal surface of the valve 15 is made of fluorine-passivated aluminum alloy.
  • One end of the piping 14 constitutes a cleaning gas inlet port 12 to cause cleaning gas to flow in and the other end constitutes a cleaning gas exit port 16 to bring cleaning gas into the showerhead 4 .
  • fluorine-containing gases such as nitrogen fluoride, carbon fluoride and chlorine fluoride
  • mixed gas of nitrogen or carbon fluoride or mixed gases of the foregoing gases with oxygen, nitrogen or inert gas can be used.
  • mixed gases of NF 3 , CIF 3 , CF 4 , C 2 F 6 , C 3 F 8 with oxygen, mixed gas of NF 3 with nitrogen, mixed gas of NF 3 with dilute gas can be used.
  • dilute gas helium, argon, neon, xenon, or krypton can be used.
  • An observation window 23 is preferably also provided on the side wall of the reaction chamber 2 and a charged coupled device (CCD) detector 22 is mounted on the observation window. Furthermore, a monitor 24 is installed on the CCD detector 22 .
  • the observation window 23 is preferably made of sapphire, but aluminum oxide can also be used. Also, in addition to the CCD detector, a photomultiplier tube or a photoelectric converter can also be used.
  • Operation of the plasma CVD device according to this embodiment is explained. Operation is roughly divided into two sequences: (1) a thin film formation sequence, forming a film on the semiconductor wafer 9 , and (2) a cleaning sequence, cleaning surfaces on the inside of the reaction chamber.
  • the thin film formation sequence is illustrated as forming silicon oxide on the semiconductor wafer 9 as an example.
  • the inside of the reaction chamber 2 is evacuated and exhausted by an external vacuum pump (not shown) through the outlet 20 .
  • Pressure within the reaction chamber can be regulated in a range from 1 Torr to 8 Torr by the degree of opening of the conductance-controlling valve 21 .
  • the support 3 heated by the heating element 26 controls the semiconductor wafer 9 at a designated temperature, preferably 300° C.-420° C. (572° F.-788° F.), using the temperature controller (not shown).
  • reaction gases SiH 4 , NH 3 and N 2 , the flow of which is controlled by the mass flow controller (not shown), flow in from a reaction gas inlet port 5 and are brought into the showerhead 4 through the gas exit ports 7 after passing the valve 6 .
  • reaction gases SiH 4 and NH 3 flow in from the reaction gas inlet port 5 and only N 2 flows in from a cleaning gas inlet port 12 .
  • N 2 gas that flows in from the inlet port 12 , even though the valve 15 is not provided.
  • Mixed reaction gases are injected uniformly from the fine holes formed at the lower side of the showerhead 4 onto the semiconductor wafer 9 .
  • Radio-frequency power of 13.56 MHz or mixed power of 13.56 MHz and 430 kHz is applied to the showerhead 4 by the radio-frequency oscillator 8 .
  • a plasma reaction domain is formed in the space between the showerhead 4 , which is serves as one electrode for in situ plasma generation within the reaction chamber 2 , and the support 3 , which serves as the other electrode.
  • Molecules of the reaction gas within that domain are activated and ionized by plasma energy. Ionized molecules cause chemical reaction on semiconductor substrate 9 and silicon nitride is formed.
  • the valve 6 Upon termination of thin film formation processing, the valve 6 is closed and at the same time the gate valve 18 is opened.
  • the processed semiconductor wafer 9 is carried out to an adjoining transfer chamber (not shown) by an automatic transfer robot (not shown) through the opening 19 .
  • an unprocessed semiconductor wafer is carried in from the transfer chamber, the gate valve 18 is closed, and the above sequence is repeated.
  • Mixed gas of NF 3 and argon which is used as cleaning gas, is provided at a designated flow rate into the cleaning gas inlet port 12 and is brought into the remote plasma discharge chamber 13 .
  • Preferred flow rates for the fluorine-containing gas are between about 0.5 slm and 1.5 slm; preferred flow rates for the carrier gas are about 0.5 slm and 4 slm.
  • the inert carrier gas is about 2 to 3 times the flow of the fluorine-containing gas.
  • radio-frequency output from 300 kHz to 500 kHz is applied to the flowing cleaning gas with power from 1,000 W to 5,000 W. With this energy, cleaning gas is dissociated and activated at a given efficiency and fluorine active species is generated.
  • FIG. 2 shows another example according to this embodiment.
  • a plasma CVD device 30 of FIG. 2 includes a link between one end of the reaction conduit 11 and piping 14 at a junction 31 positioned between the remote plasma discharge chamber 13 and a gas exit port 32 . Reaction gas and cleaning gas are mixed at the junction 31 and are brought into a showerhead 4 from one gas inlet port 32 .
  • the device 30 can be otherwise similar to the device 1 of FIG. 1.
  • the valve 15 is set up at the plasma discharge chamber side before the junction 31 .
  • the valve 15 preferably fluorine-passivated aluminum, aluminum alloy, stainless steel or nickel material is used, but aluminum or aluminum alloy can also be used.
  • fluorocarbon polymers such as PTFE (polytetrafluoroethylene), PFA (tetrafluoroethylene.perfluoroalkylvinyl ether copolymer) or PCTFE (polychlorotrifluoroethylene) or perfluoroelastomer is used, but resin or fluorine-containing rubber (e.g., VITON® or Kalrez®) that has heat-resistance and corrosion resistance can also be used.
  • PTFE polytetrafluoroethylene
  • PFA tetrafluoroethylene.perfluoroalkylvinyl ether copolymer
  • PCTFE polychlorotrifluoroethylene
  • resin or fluorine-containing rubber e.g., VITON® or Kalrez®
  • valve 15 can be removed.
  • one end of the reaction gas conduit 11 is connected to the piping 14 at a designated position between the remote plasma discharge chamber 13 and the gas exit port 32 and constitutes a junction.
  • Plasma CVD operation and cleaning operation can be conducted as described for Embodiment 1.
  • FIG. 3 is a schematic diagram showing a cross sectional view of a preferable implementation example of a plasma CVD device according to this embodiment.
  • a plasma CVD device 1 which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2 , a support 3 provided within the reaction chamber 2 to place the semiconductor wafer 9 , a showerhead 4 that positioned facing the support 3 and is used to inject reaction gas uniformly onto the semiconductor wafer 9 , an outlet 20 to exhaust the inside of reaction chamber 2 .
  • the remote plasma discharge chamber 13 is positioned remotely from reaction chamber 2 and is linked to the showerhead 4 via piping 14 and valve 15 .
  • the remote plasma discharge chamber 13 has characteristics wherein it generates active species using radio-frequency oscillating output energy of the designated frequency.
  • reaction chamber 2 On one side of the reaction chamber 2 , an opening 19 is formed and the reaction chamber 2 is connected to a transfer chamber (not shown) to bring a semiconductor wafer or other substrate in and carry it out via a gate valve 18 .
  • the support 3 that is provided within the reaction chamber 2 and is used to place the semiconductor wafer 9 is made of anodized aluminum or aluminum alloy and is grounded 27 to constitute one electrode for in situ plasma discharge.
  • the reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation.
  • a ring-shape heating element 26 is embedded and the semiconductor wafer's temperature is controlled at a designated temperature using a temperature controller (not shown).
  • the support 3 is connected to a driving mechanism 25 that moves the support 3 up and down through a support piston 29 .
  • the support 3 that supports the semiconductor wafer 9 is not necessarily limited to being made of anodized aluminum or aluminum alloy; instead a ceramic heater can be used.
  • the ceramic heater has a ceramic plate, and a resistance heating element embedded and a metal element forms one electrode for in situ plasma discharge.
  • the metal element is grounded to the electrode of plasma discharge if possible.
  • a ceramic plate has excellent corrosion resistance to aluminum nitride, magnesium oxide, aluminum oxide, etc. and is made of material that has sufficient thermal conductivity to serve as a heater.
  • tungsten is used for the resistance-heating element.
  • tungsten or molybdenum can be used.
  • the showerhead 4 is provided at the position facing the support 3 .
  • the showerhead 4 is electrically connected to a radio-frequency oscillator 8 via matching circuit 10 and makes up another electrode for the in situ plasma discharge.
  • a reaction gas conduit 11 is connected to piping 14 .
  • the number of the gas conduit 11 is not limited to one. According to the type of reaction gas, the necessary number of gas conduits can be installed.
  • One end of the gas conduit 11 constitutes a gas inlet port 5 to cause reaction gas to flow in and other end is connected to the piping 14 at the junction 31 .
  • Reaction gas is brought in from gas exit port 7 to the inside of the showerhead 4 via the piping 14 .
  • a mass flow controller (not shown) and the valve 6 are set up in the middle of the reaction gas conduit 11 .
  • an outlet 20 is provided on the side wall of the reaction chamber 2 .
  • the outlet 20 is connected to a vacuum exhaust pump (not shown) through piping 17 .
  • a conductance-controlling valve 21 is provided between the outlet 20 and the vacuum pump to regulate pressure within the reaction chamber 2 .
  • the conductance-controlling valve 21 is electrically connected to an external regulator 28 .
  • a pressure gauge 28 a is preferably provided to measure pressure within the reaction chamber.
  • the pressure gauge is electrically connected to the regulator 28 .
  • the remote plasma discharge chamber 13 of this embodiment is positioned remotely from the reaction chamber 2 .
  • the remote plasma discharge chamber 13 is a radio-frequency electric discharge device that uses frequency in a radio frequency range from 300 kHz to 500 kHz. It is not desirable to use microwaves of around 2.45 GHz for the frequency of the remote plasma discharge chamber as mentioned earlier, because it requires an electric discharge chamber that deteriorates easily.
  • an automatic matching transformer must be installed between the radio-frequency oscillator and the remote plasma discharge chamber to realize stable plasma discharge. Adding this automatic matching transformer increases cost.
  • a frequency range of 300 kHz to 500 kHz efficiently enables activation of the cleaning gas, allows a plasma discharge chamber made of materials that do not easily deteriorate and realizes a more compact device itself.
  • the range is from 350 kHz to 450 kHz and more preferably it is 400 kHz to 430 kHz.
  • the remote plasma discharge chamber 13 is preferably made of anodized aluminum alloy.
  • the remote plasma discharge chamber 13 is linked to the showerhead 4 within the reaction chamber 2 through the piping 14 .
  • a valve 15 is provided in the middle of the piping 14 .
  • the piping 14 is a straight-line structure. Its internal diameter is at least ⁇ fraction (1/2) ⁇ inch, but preferably more than one inch.
  • the valve 15 is characterized in that no structure to restrict the flow exists within the passage when it is open. The internal diameter of the open passage is not much extremely smaller than the piping 14 and preferably is the same.
  • the pressure drop is less than about 0.25 Torr (or less than about 5% of the inlet pressure) across the valve 15 , more preferably less than about 0.1 Torr (or less than about 1% of the inlet pressure).
  • the piping 14 is made of aluminum or aluminum alloy, but corrosionresistant stainless steel can also be used.
  • One end of the piping 14 is connected to the remote plasma discharge chamber 13 and other end constitutes a gas exit port 7 used to bring cleaning gas into the showerhead 4 .
  • a cleaning gas inlet port 12 is provided to bring cleaning gas into the remote plasma discharge chamber 13 . After being controlled at the designated flow by the mass flow controller (not shown), cleaning gas is brought into the cleaning gas inlet port 12 .
  • the piping 14 and the valve 15 are preferably heated by a heater (not shown) to a temperature that prevents reaction gas and cleaning gas from adsorbing of the surfaces thereof.
  • the temperature of the piping 14 and valve 15 can be selected according to the types of reaction gas and cleaning gas. Further, if needed, portions of the conduit 11 , the valve 6 and the gas inlet port 5 can also be heated by heaters (not shown) at a designated temperature.
  • FIG. 4 the cross-section of the valve 15 used in the present embodiments is shown.
  • FIG. 4( a ) shows a closed state of the valve 15 while FIG. 4( b ) shows an open state of the valve 15 .
  • the valve 15 comprises a body 24 made of aluminum or aluminum alloy.
  • a valve body 30 is fixed to a shaft 32 by a bolt 32 .
  • an O-ring 34 which attains airtightness by sealing the inside 35 of the body 24 , is mounted.
  • portions of the piping 14 (FIG. 3) to be connected to the remote plasma discharge chamber can be mounted.
  • portions the piping 14 to be connected to the gas exit port 7 can be mounted.
  • the mounting direction at the openings 23 and 22 is not limited and can be changed according to circumstances.
  • Material used for the body 24 of the valve 15 is not limited to aluminum or aluminum alloy. Other materials that have excellent resistance to corrosion, such as stainless steel, can also be used.
  • the valve body 30 is made of aluminum or aluminum alloy, but metals excellent in corrosion resistance such as nickel, titanium, stainless steel or resins excellent in corrosion resistance such as polyimide resin can be used.
  • the bolt 33 and the shaft 32 are made of metals that have excellent resistance to corrosion, such as aluminum, aluminum alloy, nickel and stainless steel.
  • the O-ring 34 comprises an elastic material that is resistant to deterioration by the flowing gas to be used.
  • the O-ring 34 preferably comprises fluorine-containing rubber, and more preferably a perfluoroelastomer.
  • valve body 30 in its closed state, the valve body 30 is at the position shown in FIG. 4( a ).
  • the O-ring 34 mounted on the valve body 30 seals the inside 35 of the body 24 .
  • FIG. 4( b ) when the valve 15 is open, the valve body 30 is pulled up into the space 36 within the body 24 of the valve 15 and is stored. The vertical motion of the valve body 30 is performed by moving the shaft 32 by a driving mechanism (not shown) of the valve 15 .
  • FIG. 4( b ) when the valve 15 is open, the valve body 30 and the shaft 32 are stored entirely within the space 36 and are completely removed from the passage defined between the opening 23 and the opening 22 .
  • there is no structure hindering cleaning gas flowing through the valve 15 when the valve body 30 is in the position of FIG. 4( a ), there is no structure hindering cleaning gas flowing through the valve 15 .
  • fluorine-containing gases such as nitrogen fluoride, carbon fluoride and chlorine fluoride
  • mixed gas of nitrogen or carbon fluoride or mixed gases of those gases with oxygen or inactive gas can be used.
  • mixed gases of NF 3 , CIF 3 , CF 4 , C 2 F 6 , C 3 F 8 with oxygen, mixed gas of NF 3 with nitrogen, mixed gas of NF 3 with dilute gas can be used.
  • dilute gas helium, argon, neon, xenon, or krypton can be used.
  • operation of the plasma CVD device according to this embodiment is explained. As described above, operation is roughly divided into two sequences: (1) thin film formation on the semiconductor wafer 9 , and (2) cleaning the inside of the reaction chamber.
  • the thin film formation sequence is explained by reference to forming silicon oxide onto the semiconductor wafer 9 as an example.
  • the inside of the reaction chamber 2 is evacuated and exhausted by an external vacuum pump (not shown) through the outlet 20 .
  • Pressure within the reaction chamber can be regulated in a range from 1 Torr to 8 Torr by the angle of opening of the conductance-controlling valve 21 .
  • the support 3 heated by the heating element 26 controls the semiconductor wafer 9 at a designated temperature, preferably 300° C.-420° C. (572° F.-788° F.) using the temperature controller (not shown).
  • reaction gases SiH 4 , NH 3 and N 2 , the flow of which is controlled by the mass flow controller (not shown), flow in from the reaction gas inlet port 5 and are brought into the showerhead 4 through the gas exit port 7 after passing through the valve 6 .
  • an influx of SiH 4 , NH 3 and N 2 gases into the remote plasma discharge chamber 13 is prevented by closing the valve 15 .
  • the reaction gases are injected uniformly from the fine holes formed at the lower side of the showerhead 4 onto the semiconductor wafer 9 .
  • Radio-frequency power of 13.56 MHz or mixed power of 13.56 MHz and 430 kHz is applied to the showerhead 4 by the radio-frequency oscillator 8 .
  • a plasma reaction domain is formed in the space between the showerhead 4 , which constitutes one electrode, and the support 3 , which constitutes another electrode. Molecules of the reaction gas within that domain are activated by plasma energy and silicon nitride is formed on the semiconductor substrate 9 .
  • valve 6 Upon termination of thin film formation processing, the valve 6 is closed and at the same time the valve 18 is opened.
  • the processed semiconductor wafer 9 is carried out to an adjoining transfer chamber (not shown) by an automatic transfer robot (not shown) through the opening 19 .
  • an unprocessed semiconductor wafer is carried in from the transfer chamber, the gate valve 18 is closed, and the above sequence is repeated.
  • radio frequency output is set so that unnecessary products adhering to the inside of the reaction chamber 2 are removed at an acceptable rate.
  • a preferable range for radio frequency output range is from 1,500 W to 3,000 W and a more preferred range is from 2,000 W to 3,000 W. With this energy, cleaning gas is dissociated and activated at a certain efficiency to generate fluorine active species.
  • the piping 14 and the valve 15 are preferably heated at a temperature from 100° C. to 200° C. (from 212° F. to 392° F.), facilitating rapid purging of the gas flowing inside.
  • a temperature from 100° C. to 200° C. (from 212° F. to 392° F.)
  • cleaning gas containing fluorine active species is used to clean the reaction chamber 2
  • solid ammonium fluoride is generated if NH 3 and fluorine active species are mixed, and the inside of the piping 14 is contaminated.
  • the piping 14 and the valve 15 are more preferably heated at least at 120° C. (248° F.).
  • TEOS [Si(OC2H5)4]
  • heating the piping 14 and the valve 15 to at least 120° C. (248° F.) also prevents liquifying TEOS as it flows.
  • the temperature of the valve 15 and the piping 14 is determined according to the type of reaction gas to flow into the reaction chamber 2 , but restricted by the heat-resistance temperature of the valve 15 . In the illustrated embodiment, the upper limit of the temperature is about 200° C. (392° F.).
  • a chemical vapor deposition (CVD) device 110 is illustrated in accordance with a fourth embodiment of the invention.
  • the illustrated CVD reactor 110 includes a cold-wall reaction chamber 112 .
  • the deposition or reaction chamber 112 comprises quartz, which is transparent to certain wavelengths of radiant energy, which will be understood in view of the description of the heating system described below.
  • a plurality of radiant heat sources is supported outside the chamber 112 to provide heat energy in the chamber 112 without appreciable absorption by the quartz chamber 112 walls. While the preferred embodiments are described in the context of a “cold wall” CVD reactor for processing semiconductor wafers, it will be understood that the processing methods described herein will have utility in conjunction with other heating/cooling systems, such as those employing inductive or resistive heating.
  • the illustrated radiant heat sources comprise an upper heating assembly of elongated tube-type radiant heating elements 113 .
  • the upper heating elements 113 are preferably disposed in spaced-apart parallel relationship and also substantially parallel with the reactant gas flow path through the underlying reaction chamber 112 .
  • a lower heating assembly comprises similar elongated tube-type radiant heating elements 114 below the reaction chamber 112 , preferably oriented transverse to the upper heating elements 113 .
  • a portion of the radiant heat is diffusely reflected into the chamber 112 by rough specular reflector plates (not shown) above and below the upper and lower lamps 113 , 114 , respectively.
  • a plurality of spot lamps 115 supply concentrated heat to the underside of the substrate support structure (described below), to counteract a heat sink effect created by cold support structures extending through the bottom of the reaction chamber 112 .
  • Each of the elongated tube type heating elements 113 , 114 is preferably a high intensity tungsten filament lamp having a transparent quartz envelope containing a halogen gas, such as iodine. Such lamps produce full-spectrum radiant heat energy transmitted through the walls of the reaction chamber 112 without appreciable absorption. As is known in the art of semiconductor processing equipment, the power of the various lamps 113 , 114 , 115 can be controlled independently or in grouped zones in response to temperature sensors.
  • a substrate preferably comprising a silicon wafer 116 , is shown supported within the reaction chamber 112 upon a substrate support structure 118 .
  • the substrate of the illustrated embodiment is a single-crystal silicon wafer, it will be understood that the term “substrate” broadly refers to any workpiece on which a layer is to be deposited. Moreover, cleaning and prevention of contamination is often required in depositing layers on other substrates, including, without limitation, the deposition of optical thin films on glass or other substrates.
  • the illustrated support structure 118 includes a substrate holder 20 , upon which the wafer 116 rests, and a support spider 122 .
  • the spider 122 is mounted to a shaft 124 , which extends downwardly through a tube 126 depending from the chamber lower wall.
  • the tube 126 communicates with a source of purge or sweep gas which can flow during processing, inhibiting process gases from escaping to the lower section of the chamber 112 .
  • a plurality of temperature sensors are positioned in proximity to the wafer 116 .
  • the temperature sensors may take any of a variety of forms, such as optical pyrometers or thermocouples.
  • the number and positions of the temperature sensors are selected to promote temperature uniformity, as will be understood in light of the description below of the preferred temperature controller.
  • the temperature sensors directly or indirectly sense the temperature of positions in proximity to the wafer.
  • the temperature sensors comprise thermocouples, including a first or central thermocouple 128 , suspended below the wafer holder 120 in any suitable fashion.
  • the illustrated central thermocouple 128 passes through the spider 122 in proximity to the wafer holder 120 .
  • the device 110 further includes a plurality of secondary or peripheral thermocouples, also in proximity to the wafer 116 , including a leading edge or front thermocouple 129 , a trailing edge or rear thermocouple 130 , and a side thermocouple (not shown).
  • Each of the peripheral thermocouples is housed within a slip ring 132 , which surrounds the substrate holder 120 and the wafer 116 .
  • Each of the central and peripheral thermocouples are connected to a temperature controller, which sets the power of the various heating elements 113 , 114 , 115 in response to the readings of the thermocouples.
  • the slip ring 132 absorbs and emits radiant heat during high temperature processing, such that it compensates for a tendency toward greater heat loss or absorption at wafer edges, a phenomenon which is known to occur due to a greater ratio of surface area to volume in regions near such edges. By minimizing edge losses, the slip ring 132 can reduce the risk of radial temperature non-uniformities across the wafer 116 .
  • the slip ring 132 can be suspended by any suitable means.
  • the illustrated slip ring 132 rests upon elbows 134 , which depend from a front chamber divider 36 , and a rear chamber divider 38 .
  • the dividers 36 , 38 desirably are formed of quartz. In some arrangements, the rear divider 138 can be omitted.
  • the illustrated reaction chamber 112 includes an inlet port 140 for the injection of reactant and carrier gases for deposition by CVD, and the wafer 116 can also be received therethrough.
  • An outlet port 142 is on the opposite side of the chamber 112 , with the wafer support structure 118 positioned between the inlet 140 and outlet 142 .
  • An inlet component 150 is fitted to the reaction chamber 112 , adapted to surround the inlet port 140 , and includes a horizontally elongated slot 152 through which the wafer 116 can be inserted.
  • a generally vertical inlet 154 receives gases from remote sources and communicates such gases with the slot 152 and the inlet port 140 .
  • the inlet 154 can include gas injectors as described in U.S. Pat. No. 5,221,556, issued Hawkins et al., or as described with respect to FIGS. 21-26 in U.S. patent application Ser. No. 08/637,616, filed Apr. 25, 1996, the disclosures of which are hereby incorporated by reference. Such injectors are designed to maximize uniformity of gas flow for the single-wafer reactor.
  • An outlet component 156 similarly mounts to the process chamber 112 such that an exhaust opening 158 aligns with the outlet port 142 and leads to exhaust conduits 159 .
  • the conduits 159 can communicate with suitable vacuum means (not shown) for drawing process gases through the chamber 112 .
  • process gases are drawn through the reaction chamber 112 and a downstream scrubber (not shown).
  • a pump or fan is preferably included to aid in drawing process gases through the chamber 112 , and to evacuate the chamber for low pressure processing.
  • Wafers are preferably passed from a handling chamber (not shown), which is isolated from the surrounding environment, through the slot 152 by a pick-up device.
  • the handling chamber and the processing chamber 112 are preferably separated by a gate valve (not shown) of the type disclosed in U.S. Pat. No. 4,828,224, the disclosure of which is hereby incorporated herein by reference.
  • the preferred device 110 also includes a source of excited species positioned upstream from the chamber 112 .
  • the excited species source of the illustrated embodiment comprises a power generator connected to a remote plasma discharge chamber 13 .
  • the remote plasma discharge chamber 13 is connected to the deposition chamber 112 by way of piping 14 having a valve 15 thereon.
  • One end of the piping 14 constitutes a cleaning gas inlet port 12 to cause cleaning gas to flow into the remote plasma discharge chamber 13 .
  • the other end of the piping 14 constitutes a cleaning gas exit port 16 to bring cleaning gas into the horizontal flow path defined between the inlet 140 and outlet 142 of the reaction chamber 112 .
  • the inlet end 12 of the piping 14 is shown connected to multiple gas sources.
  • a source of cleaning gas 163 is coupled to the inlet end 12 of the piping for introduction of cleaning gas into the remote plasma discharge chamber 13 .
  • a source of carrier gas 164 is also preferably coupled to the gas line 12 .
  • the gas sources 163 , 164 can comprise gas tanks, bubblers, etc., depending upon the form and volatility of the reactant species.
  • Each gas line can be provided with a separate mass flow controller (MFC) and valves, as shown, to allow selection of relative amounts of carrier and reactant species introduced to the remote plasma discharge chamber and thence into the reaction chamber 112 .
  • MFC mass flow controller
  • One or more further branch lines 165 can also be provided for additional reactants.
  • source gases connected to the branch line(s) can be connected to sources useful for plasma assisting deposition within the chamber.
  • the remote plasma discharge chamber 13 can be used not only for cleaning, but also for providing activated reactants for plasma CVD.
  • a separate remote plasma source can be provided for deposition reactants.
  • the chamber 13 , piping 14 and valve 15 can be as described above with respect to any of the embodiments of FIGS. 1 - 4 .
  • the valve 15 can be optionally omitted, and replaced with a flow of carrier or inert gas through the remote plasma discharge chamber 13 (without applying dissociating energy) during the deposition phase of the process.
  • the device 110 of FIG. 5 can be used for depositing films of various compositions by CVD, including epitaxial silicon, polysilicon, silicon oxide and silicon nitride.
  • the remote plasma discharge chamber 13 can provide activated reactants for assisting reactions in CVD, thus lowering thermal needs for this deposition.
  • NH 3 ammonia
  • SiH 4 sccm silane
  • Nitrogen continues to flow at the same flow rate, and temperature and pressure are maintained at about 780?C and 50 Torr.
  • Ammonia and silane flow are continued for about 90 seconds, reacting at the substrate surface to deposit 430 a layer of silicon nitride with a thickness of about 3 nm.
  • one or more of the reactants can be activated through the remote plasma discharge chamber 13 , thus lowering the temperature for the same deposition rate.
  • the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • a carrier flow of N 2 gas is maintained at about 15 slm while about 350 sccm silane is introduced.
  • Employing disilane can advantageously improve deposition rates.
  • Pressure continues to be maintained at about 50 Torr, and the temperature held steady at about 680?C.
  • a polysilicon electrode layer of about 150 nm is deposited 637 . It will be understood that the polysilicon formed by this method would be doped for appropriate conductivity after deposition 637 , though in situ doping (during deposition) is also contemplated.
  • in situ doping common doping sources such as phosphine, arsine or diborane can be added to the silane flow.
  • the chamber can be backfilled to about atmospheric pressure for an H 2 /SiH 4 polysilicon process.
  • one or more of the reactants can be activated through the remote plasma discharge chamber 13 , thus lowering the temperature for the same deposition rate.
  • the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • the polysilicon layer is in situ doped with germanium in order to lower the electrical workfunction at the gate/dielectric interface.
  • germanium for example, a germane (1.5% in H 2 ) flow of about 100 sccm to 1,000 sccm can be added to the silane flow.
  • the temperature of the deposition is preferably maintained between about 550° C. and 650° C., more preferably at about 600° C. ⁇ 15° C.
  • a germanium content in the resulting poly-SiGe layer is about 10% to 60%.
  • one or more of the reactants can be activated through the remote plasma discharge chamber 13 , thus lowering the temperature for the same deposition rate.
  • the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • fluorine active species can be provided through the remote plasma discharge chamber 13 , as described with respect to the previous embodiments.
  • Suitable cleaning gases following silicon deposition include HCl or NF 3 /Cl 2 provided through the remote plasma discharge chamber 13 .
  • Cleaning gases following silicon oxide or silicon nitride deposition can be as described with respect to the previous embodiments, and preferably include fluorine containing gases.
  • a process using both of the species NF 3 and Cl 2 at a temperature in the range of 20° C. to 800° C., and preferably 500° C. to 800° C., and at a pressure compatible with the remote plasma generator working range (typically 0.5 to 5 Torr for this process) can be performed in order to remove deposited layers formed of silicon, silicon nitride, silicon oxynitride and/or silicon dioxide.
  • NF 3 and Cl 2 are dissociated when flowing through the remote plasma discharge chamber 13 by applying between about 1,000 W and 5,000 W of radio frequency energy, preferably between about 2,000 W and 3,000 W of 300 kHz to 500 kHz energy.
  • NF 3 , Cl 2 and N 2 flow through the remote plasma discharge chamber 13 .
  • the N 2 flow helps increasing the etch rate and increase the overall gas velocity.
  • the NF 3 :Cl 2 flow ratio and the temperature can be adjusted in order to increase the selectivity of the silicon nitride etch versus silicon dioxide, eventually to infinite, such that the silicon dioxide is untouched by the etch. Further details are provided in Suto et al, “Highly selective etching of Si 3 N 4 to SiO 2 employing fluorine and chlorine atoms generated by microwave discharge”, J. E LECTROCHEMICAL S OCIETY , Vol. 136, No 7, July 1989, p.

Abstract

A plasma CVD device includes a reaction chamber, a remote plasma discharge chamber that is provided remotely from the reaction chamber, and piping that links the reaction chamber and the remote plasma discharge chamber. The remote plasma discharge chamber activates cleaning gas by plasma discharge energy, and the activated cleaning gas is introduced into the inside of the reaction chamber through the piping and changes solid substances that adhere to the inside of the reaction chamber in consequence of film formation, to gaseous substances, thereby cleaning the inside of the reaction chamber. The device is characterized by at least one of the following: (a) the remote plasma discharge chamber generates active species using radio frequency oscillating output energy of a preselected frequency; (b) the piping is made of materials that are not corroded by the active species; or (c) the piping is provided with a through-flow type valve.

Description

    REFERENCE TO RELATED APPLICATION
  • The present application is a continuation of U.S. Ser. No. 09/764,523, filed Jan. 18, 2001 and claims the priority benefit of U.S. provisional application No. 60/176,592, filed Jan. 18, 2000.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • This invention relates to a CVD (chemical vapor deposition) device equipped with a self-cleaning device. In particular, the invention relates to a device that cleans the inside of a deposition chamber using remotely generated active species. [0003]
  • 2. Description of the Related Art [0004]
  • 100031 CVD devices have been conventionally used to form insulation films such as silicon oxide, silicon nitride, amorphous carbon or polymer containing benzene ring, conductor films such as tungsten silicide, titanium nitrite or aluminum alloy and high-dielectric films containing PZT (PbZr[0005] 1−xTixO3) or BST (BaxSr1−xTiO3) on silicon a substrate or glass substrate.
  • To form these films, reaction gas with various compositions or a second reaction gas is supplied within a deposition chamber. These gases cause a chemical reaction by receiving plasma energy and a desired thin film is formed on a semiconductor substrate. Within a reaction chamber, films that are generated similarly by chemical reaction adhere to its inner wall and the surface of a wafer support. These adhesive substances are accumulated gradually as film formation is repeated. Then, disengaging from the inner wall and the surface of the support, these adhesive substances sometimes float within the reaction chamber. This causes impurity contamination that leads to defects in manufactured semiconductor circuits. [0006]
  • To remove contaminants adhering to the inner wall of the reaction chamber, in situ cleaning that cleans the inside while the reaction chamber is in operation is effective. This method is to remove adhesive substances by bringing cleaning gas, which is selected according to the type of adhesive substances, into the reaction chamber to decompose the adhesive substances into gaseous materials. For example, if silicon oxide or silicon nitride, tungsten or its nitride or silicide adheres, CF[0007] 4, C2F6, C3F8 or NF3 is used as cleaning gas. In this case, active species (fluorine radical) of fluorine atoms or fluorine-containing active species decomposes the substances adhering to the inner wall of the reaction chamber and impurities can be removed in the gas phase.
  • In the case of a plasma CVD device, because a plasma excitation device used for film formation is also used for activation of cleaning gas, large ion bombardment is caused between electrodes by high radio frequency (RF) power applied to the cleaning gas. As a result, the surface of electrodes is damaged; a surface layer comes off to cause impurity contamination. It becomes necessary to replace damaged parts frequently, which increases operation cost. [0008]
  • To solve these shortcomings caused by ion bombardment, remote plasma cleaning was developed. In U.S. Pat. No. 5,788,778, issued August, 4, 1998, and U.S. Pat. No. 5,844,195, issued Dec. 1, 1998, which are herein incorporated by reference, a method is disclosed in which NF[0009] 3 is used as a cleaning gas and plasma excitation that activates NF3 is performed using microwaves in the second plasma discharge chamber, which is different and is separated from the reaction chamber. According to this method, flow-controlled NF3 is brought into the second plasma discharge chamber, it is dissociated and activated by 2.45 GHz microwaves supplied to the plasma discharge chamber from a microwave oscillator through a waveguide, and fluorine active species are generated. At this time, to achieve microwave plasma discharge efficiently, a valve is provided between the second plasma reaction chamber and the reaction chamber to regulate pressure and the second plasma reaction chamber is maintained at a designated pressure. Generated fluorine active species are brought into the deposition chamber through a conduit, and it decomposes and removes adhesive substances on the inner wall of the reaction chamber.
  • In U.S. Pat. No. 5,788,799, issued Aug. 4, 1998, which is herein incorporated by reference, it is disclosed that for the conduit that brings fluorine active species into the reaction chamber, aluminum is preferable to stainless steel and that Teflon materials such as polytetrafluoroethylene (PTFE) are the most preferable. [0010]
  • In U.S. Pat. No. 5,844,195, issued Dec. 1, 1998, which is herein incorporated by reference, along with activation of cleaning gas in the second plasma discharge chamber, it is disclosed that cleaning gas is supplementarily activated further using radio-frequency plus true electric discharge in the reaction chamber and that a filter is provided between the second plasma discharge chamber and the reaction chamber to remove undesirable particles. This technology is also reflected in the teachings of U.S. Pat. No. 5,788,778. [0011]
  • While the above-mentioned remote plasma cleaning methods alleviated the problems caused by ion bombardment, there remains a need for improvement in these methods. [0012]
  • SUMMARY OF THE INVENTION
  • Among various embodiments of the present invention, an embodiment is to provide a remote plasma discharge chamber comprising materials that are resistant to damage, and at the same time, to provide reaction chamber cleaning without ion bombardment. [0013]
  • Another embodiment of this invention is to provide a CVD device in which plasma ignition at the remote plasma discharge chamber is easy and reliable. [0014]
  • Yet another embodiment of this invention is to provide a CVD device that quickly exhausts residual gas within piping after supply of activation cleaning gas is stopped. [0015]
  • Further, another embodiment of this invention is to provide a plasma CVD device that supplies cleaning gas to the reaction chamber by maintaining the amount of fluorine species that is activated in the remote plasma discharge chamber. [0016]
  • That is, a plasma CVD device according to this invention includes the following embodiments: [0017]
  • The CVD device of the preferred embodiments comprises a reaction chamber, a remote plasma discharge chamber that is provided remotely from the reaction chamber, and piping that links the reaction chamber with the remote plasma discharge chamber. The remote plasma discharge chamber activates cleaning gas by plasma discharge energy. The activated cleaning gas is brought into the inside of the reaction chamber through the piping and changes solid substances, which adhere to the inside of the reaction chamber as a consequence of film formation, to gaseous substances, thereby cleaning the inside of the reaction chamber. The device is characterized by at least one of the following: [0018]
  • (a) the remote plasma discharge chamber generates active species using radio-frequency oscillating output energy of a preselected frequency; [0019]
  • (b) the piping is made of materials that are not corroded by the active species; or [0020]
  • (c) the piping is provided with a through-flow type valve. [0021]
  • According to one embodiment, the device further comprises a support provided within the reaction chamber, which supports an object to be or being processed, and a gas-emitting plate provided at a position facing the support within the reaction chamber. The plate uniformly supplies reaction gas to the object being processed to form a film onto the object being processed, wherein the activated cleaning gas is supplied through piping into the reaction chamber from holes provided through the gas-emitting plate. [0022]
  • With regard to (a) above, in an embodiment, the preselected frequency is 300 kHz-500 kHz and the active species is a fluorine activated species. With regard to (b) above, in an embodiment, the inside surface of the piping is made of fluorine-passivated stainless steel, aluminum or aluminum alloy. With regard to (c) above, in an embodiment, the size of the opening of the valve, when fully opened, is substantially equal to the inner diameter of the piping, and the valve does not have projections, when fully opened, with respect to the inner surface of the piping. Namely, the valve has an opening, when fully opened, such that the pressure drop across the valve is preferably less than about 0.25 Torr (or less than about 5% of the inlet pressure), more preferably less than about 0.1 Torr (or less than about 1% of the inlet pressure, and most preferably substantially no pressure loss is caused. In the above, the piping is preferably straight in the vicinity of the valve. Most preferably, all three of these features are combined to produce an efficient, self-cleaning CVD reactor. [0023]
  • As a result of (a), the following advantages can be realized: use of radio-frequency (e.g., 400 kHz) oscillating output allows manufacturing the remote plasma discharge chamber from anodized aluminum alloy, for example. Thus, it is unnecessary to use sapphire or quartz, which are required when conventional microwave output is used. Risk of damage during processing and problems of fluorine active species consumption are thereby reduced. In addition, damage to electrodes by ion bombardment at the time of cleaning and deterioration of electrode surfaces can successfully be prevented. Moreover, complex tuning circuits are unnecessary and miniaturization of the remote plasma discharge chamber and lower cost can be realized. Furthermore, a phenomenon wherein powdered aluminum fluoride adsorbs onto the electrode surface is reduced or eliminated and device downtime due to device maintenance can be considerably shortened. As a result, productivity is improved. [0024]
  • As a result of (b), the following advantages can be realized: use of materials inert to fluorine active species for internal surfaces of the piping and the valve, instead of resin materials such as PFA, adsorption of fluorine active species or fluoride gas onto the internal surface of the piping or the valve can be eliminated. Thus, the occurrence of fluorine active species or fluoride gas being released from the internal surface of the piping and the valve after cleaning is completed and remaining within the remote plasma discharge chamber is reduced or eliminated. Accordingly, the occurrence of plasma ignition failure can be controlled. Moreover, when supply of fluorine-containing gas is stopped, fluorine active species is promptly discharged from the piping and the remote plasma discharge chamber. Reduction of fluorine adsorption also increases the amount of fluorine active species brought into the reaction chamber, thereby maintaining the activity of active species and improving cleaning efficiency. [0025]
  • As a result of (c), the following advantages can be realized: use of rectilinear piping with a large internal diameter and a valve that does not restrict flow between the remote plasma discharge chamber and the reaction chamber, deactivation (recombination) of fluorine active species is reduced, due to reduced collisions with the piping surface and structure within the valve. Accordingly, applying radio frequency power of less than 3,000 W to the remote plasma discharge chamber, high-speed cleaning at over 2 micron/min becomes possible. Furthermore, reduced collisions also minimizes thermal energy generated when fluorine active species is deactivated, thus reducing overheating of the piping and the valve. Heat damage to O-rings and other components, and consequent generation of particles is also reduced or eliminated. The frequency with which damaged parts are replaced thus decreases, and operating costs of the device can be decreased while at the same time increasing productivity of the device. [0026]
  • The skilled artisan will readily appreciate in view of the present disclosure that, while each of features (a), (b), and (c) are advantageous in and of themselves, combining two or all of (a), (b) and (c) will synergistically enhance the advantageous effects.[0027]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other aspects of the invention will be readily apparent from the detailed description below and the appended drawings, which are meant to illustrate and not to limit the invention, in which like reference numerals are used to indicated like parts, and in which: [0028]
  • FIG. 1 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a first embodiment of the present invention. [0029]
  • FIG. 2 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a second embodiment of the invention. [0030]
  • FIG. 3 is a schematic diagram showing a cross-sectional view of a substrate-processing device, constructed in accordance with a third embodiment of the invention. [0031]
  • FIGS. [0032] 4(a) and 4(b) are schematic diagrams, each showing a cross-sectional view of a valve employed by the preferred embodiments; FIG. 4(a) shows a closed state of the valve, and FIG. 4(b) shows an open state of the valve.
  • FIG. 5 is a schematic diagram showing a cross-sectional view of a substrate-processing device according to a fourth embodiment of the present invention.[0033]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Problems of Conventional Remote Plasma Source Technology [0034]
  • The conventional remote plasma source technology discussed earlier entails the following problems: [0035]
  • First, to use microwave plasma, it is necessary to manufacture the second plasma discharge chamber using microwave-transparent materials, such as sapphire and quartz. Such materials tend to break easily, particularly under thermal stresses of plasma generation, and it is difficult to connect them with metal conduits or piping. Also, it is necessary to replace quartz regularly because it is easily etched by fluorine active species. Although sapphire is resistant to etching by fluorine active species, sapphire is expensive, and hence it increases the cost of a device. [0036]
  • Further, in connection with the material used for the piping connecting the reaction chamber and the remote plasma discharge chamber, the following problems are caused: [0037]
  • The internal surface of the piping that brings fluorine species into the reaction chamber is typically made of Teflon™ or similar materials. Teflon™, however easily adsorbs or absorbs the products of dissociating fluoride and NF[0038] 3 gases. Dissociated or recombined plasma products from NF3 gas, which is absorbed on the internal surface of the piping, is released from the internal surface of the piping after supply of cleaning gas is stopped. It remains within the piping and its residual gas diffuses to reaction chamber. When the reaction chamber comprises a second plasma discharge chamber, the released gases can cause ignition failure of plasma discharge. Moreover, chemical reaction can occur if reaction gas for film formation flows into an area where residual gas remains, and undesired films, particles or powder are generated within the piping. These products accumulate within the piping, later flow into the reaction chamber, and cause impurity contamination on the semiconductor substrate surface. To prevent this from happening, it is possible to remove residual gas by purging the inside of the piping using helium or argon gas for many hours. However, this process remarkably lowers the productivity of the semiconductor-processing device.
  • Furthermore, if the internal surface of the piping that brings fluorine active species into the reaction chamber is made of stainless steel, aluminum, or aluminum alloy, fluoride is formed due to reaction between the surface within the piping and fluorine active species, and the amount of fluorine active species brought into the reaction chamber is decreased. As a result, the cleaning time of the reaction chamber increases and the productivity of the device drops. [0039]
  • In connection with the structure or function of the piping connecting the reaction chamber and the remote plasma discharge chamber, the following problems are caused: [0040]
  • To realize a faster cleaning rate, high power microwave energy can be used to generate the plasma. However, such energetic plasma causes the remote plasma chamber to deteriorate, and particles which pollute the downstream reaction chamber are generated. Providing a filter between the deposition chamber and the reaction chamber to prevent these undesirable particles from flowing into the reaction chamber lowers the cleaning rate due to deactivation or recombination of fluorine active species, which is mentioned later. Thus the primary object of hastening the cleaning rate is negated. [0041]
  • Further, within the remote plasma discharge chamber, to dissociate NF[0042] 3 with high efficiency to generate fluorine active species, a pressure of about 4-20 Torr pressure is required within the remote plasma discharge chamber. To achieve this pressure, a valve to regulate pressure is provided between the remote plasma chamber and the downstream reaction chamber. Pressure within the remote plasma chamber can be increased by keeping the valve only partially open, leaving some obstruction within the flow path. However, restricting the flow using a valve to raise pressure creates different inconveniences, such as deactivation of fluorine active species and plasma burning. Fluorine active species generated within the remote plasma discharge chamber is deactivated by contact with the metal surface. While being brought into the reaction chamber where film formation is performed through a conduit, generated fluorine active species returns to molecules by colliding with the valve that restricts the flow by narrowing a passage, or it is deactivated by reacting with the valve surface. As a result, the amount of fluorine active species declines. Similarly, if piping from the second plasma discharge chamber to the reaction chamber is too long or bends at an acute angle, due to higher contact probability with the piping surface en route or by colliding with the corner portion of the bent piping, the amount of fluorine active species decreases. Decreased fluorine active species lowers the cleaning rate within the downstream deposition chamber and results in insufficient cleaning.
  • Fluorine active species generated in the remote plasma discharge chamber radiates a great volume of heat energy when losing activation by contact with the metal surface. Because of this heat energy, the temperature of the contact surface rises. For piping connecting the second plasma discharge chamber to the reaction chamber and valves mounted on the piping, O-rings made of fluorine-containing rubber and other materials are typically used to seal the inside from the external environment. The above-mentioned overheating caused by contact with fluorine active species destroys O-rings. Particularly, within the above-mentioned valve for pressure regulation, there is a risk that O-rings are broken off. If the O-rings are damaged, piping airtightness cannot be maintained. As a result, impurity contamination occurs due to outside air penetration into the reaction chamber, or leakage of gases harmful to humans, such as fluorine active species, takes place. Deteriorated O-ring material flows within the piping to cause internal contamination to a semiconductor-processing device including the reaction chamber. Also, if fluorine-containing rubber (e.g., VITON® or Karlez®) that is used for a movable part within the piping such as a shaft seal for the valves is overheated, it deteriorates, loses its elasticity and hinders mobility of the parts. [0043]
  • It is necessary to replace damaged parts frequently and this increases the operation cost. Needless to say, parts replacement reduces the operation hours of the device and lowers productivity. [0044]
  • On the other hand, if a valve with a stoppage function is not provided between the remote plasma discharge chamber and the downstream reaction chamber, reaction gas used when forming a film onto substrate within the reaction chamber can flow backward or diffuse from the deposition chamber to the remote plasma discharge chamber. The reaction gas can form solid substances on the remote plasma discharge chamber surfaces in a powdered state due to imperfect reaction. If these solid substances then in a powder state flow into the reaction chamber when forming a film, particles can contaminate the substrate. Moreover, reaction gas adsorbed on the internal surface of the remote plasma discharge chamber raises the ignition electric potential required for plasma discharge in the remote plasma discharge chamber. If the ignition electric potential value becomes higher than the design value for the remote plasma discharge chamber, it is possible that plasma discharge cannot be executed. To prevent backward flow and diffusion of reaction gas, the remote plasma discharge chamber is desirably sealable from the reaction chamber. However, this would obviously cause reduction of fluorine active species that can reach the reaction chamber and a satisfactory cleaning rate and performance can not be achieved. [0045]
  • Basic Structures of CVD Devices of the Preferred Embodiments [0046]
  • A CVD device according to this invention includes the following embodiments and can resolve the above problems: [0047]
  • The CVD device comprises a reaction chamber, a plasma discharge chamber that is provided remotely from the reaction chamber (i.e., a remote plasma source), and piping that links the reaction chamber and the remote plasma discharge chamber. The remote plasma discharge chamber activates cleaning gas by plasma discharge energy. The activated cleaning gas is brought into the inside of the reaction chamber through the piping and etches solid substances that adhere to the inside of the reaction chamber as a consequence of film formation, thereby cleaning the inside of the reaction chamber. The device is characterized by at least one of the following: [0048]
  • (a) The remote plasma discharge chamber generates active species using radio-frequency oscillating output energy of a preselected frequency; [0049]
  • (b) the piping is made of materials that are not corroded by the active species; or [0050]
  • (c) the piping is provided with a through-flow type valve. [0051]
  • In several of the embodiments, the device further comprises a support provided within the reaction chamber, which supports an object to be or being processed, and a gas-emitting plate provided at a position facing the support within the reaction chamber in order to uniformly supply reaction gas to the object being processed to form a film onto the object being processed, wherein the activated cleaning gas is supplied through piping into the reaction chamber from holes provided on the gas-emitting plate. [0052]
  • In another embodiment, the device is configured for single-pass, horizontal, laminar gas flow through a cold-wall reactor. The device further comprises a susceptor provided within the reaction chamber, which supports an object to be processed and which adsorbs radiant heat provided through transparent chamber walls. The activated cleaning gas is supplied through an inlet in the chamber walls upstream of the susceptor. [0053]
  • Although each of (a), (b), and (c) can be adopted independently of each other, a combination of (a) and (b), for example, can include any of the following features: [0054]
  • The preselected frequency is about 300 kHz-500 kHz. [0055]
  • The active species is fluorine activated species. [0056]
  • The inside surface of the piping is made of fluorine-passivated stainless steel, aluminum, or aluminum alloy. [0057]
  • The CVD device includes a gas conduit to bring reaction gas into the reaction chamber separately from the cleaning gas. [0058]
  • The CVD device is configured for plasma CVD with structures for in situ plasma generation. [0059]
  • One end of the gas conduit is linked with the reaction chamber. [0060]
  • One end of the gas conduit is linked with the piping. [0061]
  • The CVD device includes a valve at a predetermined position of the piping between the remote plasma discharge chamber and the reaction chamber. [0062]
  • The inside of the valve is made of fluorine-passivated aluminum. [0063]
  • One end of the gas conduit is linked to the piping at a predetermined position between the valve and the reaction chamber. [0064]
  • For example, a combination of (a) and (c) can include any of the following features: [0065]
  • The preselected frequency is 300 kHz-500 kHz. [0066]
  • The active species is fluorine activated species. [0067]
  • The piping and valve are heated at a predetermined temperature. [0068]
  • A gas conduit to bring reaction gas into said reaction chamber is included. [0069]
  • One end of the gas conduit is linked to the reaction chamber. [0070]
  • One of the gas conduit is linked to the piping. [0071]
  • A combination of (a), (b), and (c) can be used to enhance the advantageous effects. The advantages of such features are described above. [0072]
  • [0073] Embodiment 1
  • Main Structures [0074]
  • A first embodiment will be explained with reference to FIG. 1. [0075]
  • FIG. 1 is a schematic cross section of an exemplary a plasma CVD device according to this embodiment. A [0076] plasma CVD device 1, which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2, a support 3 provided within the reaction chamber to support the semiconductor wafer 9, a showerhead 4 that is positioned to face the support 3 and is used to jet out reaction gas uniformly onto the semiconductor wafer 9, an outlet 20 to exhaust reaction gases and byproducts from the reaction chamber 2, and a remote plasma discharge chamber 13. The remote plasma discharge chamber 13 is positioned remotely from reaction chamber 2 and is linked to the showerhead 4 via piping 14 and valve 15. The remote plasma discharge chamber 13 has characteristics wherein it generates active species using radio-frequency oscillating output energy of the designated frequency and the piping 14 is made of materials that are not corroded by the active species.
  • On one side of the [0077] reaction chamber 2, an opening 19 is formed and the reaction chamber is connected to a transfer chamber (not shown) to bring a semiconductor wafer or other substrate in and carry it out via a gate valve 18.
  • The [0078] support 3 that is provided within the reaction chamber 2 and is used to place the semiconductor wafer 9 is made of anodized aluminum or aluminum alloy and is grounded 27 to constitute one side of an electrode of plasma discharge. The reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation. Within the illustrated support 3, a ring-shape heating element 26 is embedded and the semiconductor wafer's temperature is controlled at a predetermined temperature using a temperature controller (not shown). The support 3 is connected to a driving mechanism 25 that moves the support 3 up and down through a support piston 29.
  • Within the [0079] reaction chamber 2, the showerhead 4 is provided at a position facing the support 3. In the showerhead 4, thousands of fine holes are provided to inject reaction gas onto the semiconductor wafer 9. The showerhead 4 is electrically connected to a radio-frequency oscillator 8 via matching circuit 10 and constitutes another electrode of plasma discharge. To bring reaction gas to be used for film formation from the showerhead 4, a reaction gas conduit 11 is connected to the piping 14. The number of the gas conduit 11 is not limited to one. According to the type of reaction gas, the necessary number of gas conduits can be installed. One end of the gas conduit 11 constitutes a gas inlet port 5 to cause reaction gas to flow in and the other end constitutes a reaction gas exit port 7 to cause gas to flow out to the showerhead 4. In the middle of the reaction gas conduit 11, a mass flow controller (not shown) and valve 6 are positioned.
  • On the side wall of the [0080] reaction chamber 2, an outlet 20 is provided. The outlet 20 is connected to a vacuum exhaust pump (not shown) through piping 17. Between the outlet 20 and the vacuum pump, a conductance-controlling valve 21 is provided to regulate pressure within the reaction chamber 2. The conductance-controlling valve 21 is electrically connected to an external regulator 28.
  • Additionally, a [0081] pressure gauge 28 a is preferably provided to measure pressure within the reaction chamber 2. This pressure gauge 28 a is electrically connected to the regulator 28.
  • Remote Plasma Discharge Chamber [0082]
  • The remote [0083] plasma discharge chamber 13 according to this embodiment is remotely provided from the reaction chamber 2. The remote plasma discharge chamber 13 is made of anodized aluminum alloy. The remote plasma discharge chamber 13 is linked to the showerhead 4 within the reaction chamber through piping 14. In the middle of the piping 14, a valve 15 is provided. The internal surface of this piping 14 is preferably made of fluorine-passivated stainless steel, but aluminum or fluorine-passivated aluminum alloy can be also used. Also similarly, the internal surface of the valve 15 is made of fluorine-passivated aluminum alloy. One end of the piping 14 constitutes a cleaning gas inlet port 12 to cause cleaning gas to flow in and the other end constitutes a cleaning gas exit port 16 to bring cleaning gas into the showerhead 4.
  • For cleaning gas flowing in from the cleaning [0084] gas inlet port 12, fluorine-containing gases such as nitrogen fluoride, carbon fluoride and chlorine fluoride, mixed gas of nitrogen or carbon fluoride or mixed gases of the foregoing gases with oxygen, nitrogen or inert gas can be used. Specifically, mixed gases of NF3, CIF3, CF4, C2F6, C3F8 with oxygen, mixed gas of NF3 with nitrogen, mixed gas of NF3 with dilute gas can be used. For dilute gas, helium, argon, neon, xenon, or krypton can be used.
  • An [0085] observation window 23 is preferably also provided on the side wall of the reaction chamber 2 and a charged coupled device (CCD) detector 22 is mounted on the observation window. Furthermore, a monitor 24 is installed on the CCD detector 22. The observation window 23 is preferably made of sapphire, but aluminum oxide can also be used. Also, in addition to the CCD detector, a photomultiplier tube or a photoelectric converter can also be used.
  • Plasma CVD Operation [0086]
  • Operation of the plasma CVD device according to this embodiment is explained. Operation is roughly divided into two sequences: (1) a thin film formation sequence, forming a film on the [0087] semiconductor wafer 9, and (2) a cleaning sequence, cleaning surfaces on the inside of the reaction chamber. The thin film formation sequence is illustrated as forming silicon oxide on the semiconductor wafer 9 as an example.
  • First, the inside of the [0088] reaction chamber 2 is evacuated and exhausted by an external vacuum pump (not shown) through the outlet 20. Pressure within the reaction chamber can be regulated in a range from 1 Torr to 8 Torr by the degree of opening of the conductance-controlling valve 21.
  • Next, the [0089] support 3 heated by the heating element 26 controls the semiconductor wafer 9 at a designated temperature, preferably 300° C.-420° C. (572° F.-788° F.), using the temperature controller (not shown).
  • Subsequently, reaction gases, SiH[0090] 4, NH3 and N2, the flow of which is controlled by the mass flow controller (not shown), flow in from a reaction gas inlet port 5 and are brought into the showerhead 4 through the gas exit ports 7 after passing the valve 6. In the embodiment of FIG. 2, described in more detail below, reaction gases SiH4 and NH3 flow in from the reaction gas inlet port 5 and only N2 flows in from a cleaning gas inlet port 12. In this case, an influx of SiH4 and NH3 gases into the remote plasma discharge chamber 13 is prevented by N2 gas that flows in from the inlet port 12, even though the valve 15 is not provided. Mixed reaction gases are injected uniformly from the fine holes formed at the lower side of the showerhead 4 onto the semiconductor wafer 9.
  • Radio-frequency power of 13.56 MHz or mixed power of 13.56 MHz and 430 kHz is applied to the [0091] showerhead 4 by the radio-frequency oscillator 8. As a result, a plasma reaction domain is formed in the space between the showerhead 4, which is serves as one electrode for in situ plasma generation within the reaction chamber 2, and the support 3, which serves as the other electrode. Molecules of the reaction gas within that domain are activated and ionized by plasma energy. Ionized molecules cause chemical reaction on semiconductor substrate 9 and silicon nitride is formed.
  • Upon termination of thin film formation processing, the [0092] valve 6 is closed and at the same time the gate valve 18 is opened. The processed semiconductor wafer 9 is carried out to an adjoining transfer chamber (not shown) by an automatic transfer robot (not shown) through the opening 19. After the reaction chamber 2 is evacuated and exhausted, an unprocessed semiconductor wafer is carried in from the transfer chamber, the gate valve 18 is closed, and the above sequence is repeated.
  • While the thin film formation sequence is continuously preformed, undesirable products adhere to the inner wall of the [0093] reaction chamber 2 and the surface and sides of the support. The undesirable products gradually accumulate, slough and float within the reaction chamber to cause particle contamination. Consequently, it is necessary to clean the inside of the reaction chamber 2 regularly (for example, after each thin film formation processing between wafer unloading and loading the next wafer). In the following, the cleaning sequence to remove silicon nitride adhering to the inner wall of the reaction chamber 2 is explained.
  • Cleaning Operation [0094]
  • Mixed gas of NF[0095] 3 and argon, which is used as cleaning gas, is provided at a designated flow rate into the cleaning gas inlet port 12 and is brought into the remote plasma discharge chamber 13. Preferred flow rates for the fluorine-containing gas are between about 0.5 slm and 1.5 slm; preferred flow rates for the carrier gas are about 0.5 slm and 4 slm. Desirably, the inert carrier gas is about 2 to 3 times the flow of the fluorine-containing gas. Within the remote plasma discharge chamber 13, radio-frequency output from 300 kHz to 500 kHz is applied to the flowing cleaning gas with power from 1,000 W to 5,000 W. With this energy, cleaning gas is dissociated and activated at a given efficiency and fluorine active species is generated.
  • Generated fluorine active species is brought into the [0096] showerhead 4 through the piping 14 and the valve 15, the inside of which has been fluorine-passivated. Fluorine active species that is injected into the reaction chamber 2 from the showerhead 4 causes chemical reaction with solid silicon nitride adhering to the inner wall and other surfaces of the reaction chamber 2 and changes the solid adhesive substance to a gaseous substance. As a result, the number of gas molecules within the reaction chamber increases, but pressure within the reaction chamber is always maintained at a specific value by a controller 28 that controls the opening size or angle of the conductance-controlling valve 21 in real-time in response to pressure within the reaction chamber measured by the pressure gauge 28 a.
  • Initially when fluorine active species flows into the [0097] reaction chamber 2, fluorine active species and solid silicon nitride react violently and emit light. This emission of light is detected by the CCD detector through the observation window 23 and can be confirmed by the monitor 24. As time elapses, reaction between fluorine active species and solid silicon nitride subsides and it becomes impossible to confirm emission of light. Moreover, the opening angle of the conductance-controlling valve 21 approaches a certain value. When this opening angle nearly matches an opening angle of a value (saved in a memory) predetermined for a state where no adhesive substances exist, the controller 28 senses completion of cleaning and stops supplying NF3 and at the same time continues to supply only argon gas. Argon gas completely purges fluorine active species that remains within the remote plasma electric charge chamber, within the reaction chamber and within the piping 14, concluding the cleaning sequence.
  • [0098] Embodiment 2
  • Structures [0099]
  • FIG. 2 shows another example according to this embodiment. Unlike the [0100] plasma CVD device 1 of FIG. 1, a plasma CVD device 30 of FIG. 2 includes a link between one end of the reaction conduit 11 and piping 14 at a junction 31 positioned between the remote plasma discharge chamber 13 and a gas exit port 32. Reaction gas and cleaning gas are mixed at the junction 31 and are brought into a showerhead 4 from one gas inlet port 32. The device 30 can be otherwise similar to the device 1 of FIG. 1.
  • In the illustrated example, the [0101] valve 15 is set up at the plasma discharge chamber side before the junction 31. For the internal surface of the piping 14 and the valves 6, 15, preferably fluorine-passivated aluminum, aluminum alloy, stainless steel or nickel material is used, but aluminum or aluminum alloy can also be used. For sealing materials of the valves 6, 15, preferably fluorocarbon polymers such as PTFE (polytetrafluoroethylene), PFA (tetrafluoroethylene.perfluoroalkylvinyl ether copolymer) or PCTFE (polychlorotrifluoroethylene) or perfluoroelastomer is used, but resin or fluorine-containing rubber (e.g., VITON® or Kalrez®) that has heat-resistance and corrosion resistance can also be used.
  • As a variation of the implementation example shown in FIG. 2, the [0102] valve 15 can be removed. In this case, one end of the reaction gas conduit 11 is connected to the piping 14 at a designated position between the remote plasma discharge chamber 13 and the gas exit port 32 and constitutes a junction.
  • Plasma CVD operation and cleaning operation can be conducted as described for [0103] Embodiment 1.
  • [0104] Embodiment 3
  • Main Structures [0105]
  • FIG. 3 is a schematic diagram showing a cross sectional view of a preferable implementation example of a plasma CVD device according to this embodiment. A [0106] plasma CVD device 1, which is used to form a thin film on a semiconductor wafer 9 or other substrate, comprises a reaction chamber 2, a support 3 provided within the reaction chamber 2 to place the semiconductor wafer 9, a showerhead 4 that positioned facing the support 3 and is used to inject reaction gas uniformly onto the semiconductor wafer 9, an outlet 20 to exhaust the inside of reaction chamber 2. The remote plasma discharge chamber 13 is positioned remotely from reaction chamber 2 and is linked to the showerhead 4 via piping 14 and valve 15. The remote plasma discharge chamber 13 has characteristics wherein it generates active species using radio-frequency oscillating output energy of the designated frequency.
  • On one side of the [0107] reaction chamber 2, an opening 19 is formed and the reaction chamber 2 is connected to a transfer chamber (not shown) to bring a semiconductor wafer or other substrate in and carry it out via a gate valve 18.
  • The [0108] support 3 that is provided within the reaction chamber 2 and is used to place the semiconductor wafer 9 is made of anodized aluminum or aluminum alloy and is grounded 27 to constitute one electrode for in situ plasma discharge. The reaction chamber 2 of the illustrated embodiment is thus a plasma CVD chamber configured for in situ (in chamber) plasma generation. Within the support 3, a ring-shape heating element 26 is embedded and the semiconductor wafer's temperature is controlled at a designated temperature using a temperature controller (not shown). The support 3 is connected to a driving mechanism 25 that moves the support 3 up and down through a support piston 29.
  • The [0109] support 3 that supports the semiconductor wafer 9 is not necessarily limited to being made of anodized aluminum or aluminum alloy; instead a ceramic heater can be used. The ceramic heater has a ceramic plate, and a resistance heating element embedded and a metal element forms one electrode for in situ plasma discharge. The metal element is grounded to the electrode of plasma discharge if possible. A ceramic plate has excellent corrosion resistance to aluminum nitride, magnesium oxide, aluminum oxide, etc. and is made of material that has sufficient thermal conductivity to serve as a heater. For the resistance-heating element, tungsten is used. For the metal element constituting an electrode for in situ plasma discharge, tungsten or molybdenum can be used.
  • Within the [0110] reaction chamber 2, the showerhead 4 is provided at the position facing the support 3. In the showerhead 4, thousands of fine holes are provided to inject reaction gas onto the semiconductor 9. The showerhead 4 is electrically connected to a radio-frequency oscillator 8 via matching circuit 10 and makes up another electrode for the in situ plasma discharge. To bring reaction gas to be used for film formation from the showerhead 4, a reaction gas conduit 11 is connected to piping 14. The number of the gas conduit 11 is not limited to one. According to the type of reaction gas, the necessary number of gas conduits can be installed. One end of the gas conduit 11 constitutes a gas inlet port 5 to cause reaction gas to flow in and other end is connected to the piping 14 at the junction 31. Reaction gas is brought in from gas exit port 7 to the inside of the showerhead 4 via the piping 14. A mass flow controller (not shown) and the valve 6 are set up in the middle of the reaction gas conduit 11.
  • On the side wall of the [0111] reaction chamber 2, an outlet 20 is provided. The outlet 20 is connected to a vacuum exhaust pump (not shown) through piping 17. Between the outlet 20 and the vacuum pump, a conductance-controlling valve 21 is provided to regulate pressure within the reaction chamber 2. The conductance-controlling valve 21 is electrically connected to an external regulator 28.
  • A [0112] pressure gauge 28 a is preferably provided to measure pressure within the reaction chamber. The pressure gauge is electrically connected to the regulator 28.
  • Remote Plasma Discharge Chamber [0113]
  • The remote [0114] plasma discharge chamber 13 of this embodiment, as noted, is positioned remotely from the reaction chamber 2. The remote plasma discharge chamber 13 is a radio-frequency electric discharge device that uses frequency in a radio frequency range from 300 kHz to 500 kHz. It is not desirable to use microwaves of around 2.45 GHz for the frequency of the remote plasma discharge chamber as mentioned earlier, because it requires an electric discharge chamber that deteriorates easily. In addition, if a frequency range from 1 MHz to 27 MHz is used, an automatic matching transformer must be installed between the radio-frequency oscillator and the remote plasma discharge chamber to realize stable plasma discharge. Adding this automatic matching transformer increases cost. At the same time, this is not desirable because it requires installing a remote plasma discharge chamber and an automatic matching transformer near the reaction chamber and because it may make maintenance work difficult by increasing the size of the entire semiconductor-processing device or losing space between the components. A frequency range of 300 kHz to 500 kHz efficiently enables activation of the cleaning gas, allows a plasma discharge chamber made of materials that do not easily deteriorate and realizes a more compact device itself. To realize a more stable plasma discharge, preferably the range is from 350 kHz to 450 kHz and more preferably it is 400 kHz to 430 kHz.
  • The remote [0115] plasma discharge chamber 13 is preferably made of anodized aluminum alloy. In the illustrated embodiment, the remote plasma discharge chamber 13 is linked to the showerhead 4 within the reaction chamber 2 through the piping 14. In the middle of the piping 14, a valve 15 is provided. The piping 14 is a straight-line structure. Its internal diameter is at least {fraction (1/2)} inch, but preferably more than one inch. In addition, the valve 15 is characterized in that no structure to restrict the flow exists within the passage when it is open. The internal diameter of the open passage is not much extremely smaller than the piping 14 and preferably is the same. Consequently, when cleaning gas flows from the remote plasma discharge chamber to the reaction chamber, no appreciable pressure loss arises in the piping 14 and at the valve 15. Desirably, the pressure drop is less than about 0.25 Torr (or less than about 5% of the inlet pressure) across the valve 15, more preferably less than about 0.1 Torr (or less than about 1% of the inlet pressure).
  • The [0116] piping 14 is made of aluminum or aluminum alloy, but corrosionresistant stainless steel can also be used. One end of the piping 14 is connected to the remote plasma discharge chamber 13 and other end constitutes a gas exit port 7 used to bring cleaning gas into the showerhead 4. Further, a cleaning gas inlet port 12 is provided to bring cleaning gas into the remote plasma discharge chamber 13. After being controlled at the designated flow by the mass flow controller (not shown), cleaning gas is brought into the cleaning gas inlet port 12.
  • The [0117] piping 14 and the valve 15 are preferably heated by a heater (not shown) to a temperature that prevents reaction gas and cleaning gas from adsorbing of the surfaces thereof. The temperature of the piping 14 and valve 15 can be selected according to the types of reaction gas and cleaning gas. Further, if needed, portions of the conduit 11, the valve 6 and the gas inlet port 5 can also be heated by heaters (not shown) at a designated temperature.
  • Through-Flow Type Valve [0118]
  • In FIG. 4, the cross-section of the [0119] valve 15 used in the present embodiments is shown. FIG. 4(a) shows a closed state of the valve 15 while FIG. 4(b) shows an open state of the valve 15. The valve 15 comprises a body 24 made of aluminum or aluminum alloy. A valve body 30 is fixed to a shaft 32 by a bolt 32. On the valve body 30, an O-ring 34, which attains airtightness by sealing the inside 35 of the body 24, is mounted. At an upstream opening 22 of the valve 15, portions of the piping 14 (FIG. 3) to be connected to the remote plasma discharge chamber can be mounted. At a downstream opening 23, portions the piping 14 to be connected to the gas exit port 7 can be mounted. The mounting direction at the openings 23 and 22 is not limited and can be changed according to circumstances. Material used for the body 24 of the valve 15 is not limited to aluminum or aluminum alloy. Other materials that have excellent resistance to corrosion, such as stainless steel, can also be used. The valve body 30 is made of aluminum or aluminum alloy, but metals excellent in corrosion resistance such as nickel, titanium, stainless steel or resins excellent in corrosion resistance such as polyimide resin can be used. Additionally, the bolt 33 and the shaft 32 are made of metals that have excellent resistance to corrosion, such as aluminum, aluminum alloy, nickel and stainless steel. The O-ring 34 comprises an elastic material that is resistant to deterioration by the flowing gas to be used. The O-ring 34 preferably comprises fluorine-containing rubber, and more preferably a perfluoroelastomer.
  • Regarding the [0120] valve 15 used in this embodiment, in its closed state, the valve body 30 is at the position shown in FIG. 4(a). The O-ring 34 mounted on the valve body 30 seals the inside 35 of the body 24. As shown in FIG. 4(b), when the valve 15 is open, the valve body 30 is pulled up into the space 36 within the body 24 of the valve 15 and is stored. The vertical motion of the valve body 30 is performed by moving the shaft 32 by a driving mechanism (not shown) of the valve 15. Importantly, as shown in FIG. 4(b), when the valve 15 is open, the valve body 30 and the shaft 32 are stored entirely within the space 36 and are completely removed from the passage defined between the opening 23 and the opening 22. Thus, when the valve body 30 is in the position of FIG. 4(a), there is no structure hindering cleaning gas flowing through the valve 15.
  • Referring again to FIG. 3, for cleaning gas flowing in from the cleaning [0121] gas inlet port 12, fluorine-containing gases such as nitrogen fluoride, carbon fluoride and chlorine fluoride, mixed gas of nitrogen or carbon fluoride or mixed gases of those gases with oxygen or inactive gas can be used. Specifically, mixed gases of NF3, CIF3, CF4, C2F6, C3F8 with oxygen, mixed gas of NF3 with nitrogen, mixed gas of NF3 with dilute gas can be used. For dilute gas, helium, argon, neon, xenon, or krypton can be used.
  • Plasma CVD Operation [0122]
  • Referring still to FIG. 3, operation of the plasma CVD device according to this embodiment is explained. As described above, operation is roughly divided into two sequences: (1) thin film formation on the [0123] semiconductor wafer 9, and (2) cleaning the inside of the reaction chamber. The thin film formation sequence is explained by reference to forming silicon oxide onto the semiconductor wafer 9 as an example.
  • First, the inside of the [0124] reaction chamber 2 is evacuated and exhausted by an external vacuum pump (not shown) through the outlet 20. Pressure within the reaction chamber can be regulated in a range from 1 Torr to 8 Torr by the angle of opening of the conductance-controlling valve 21.
  • Next, the [0125] support 3 heated by the heating element 26 controls the semiconductor wafer 9 at a designated temperature, preferably 300° C.-420° C. (572° F.-788° F.) using the temperature controller (not shown).
  • Subsequently, reaction gases, SiH[0126] 4, NH3 and N2, the flow of which is controlled by the mass flow controller (not shown), flow in from the reaction gas inlet port 5 and are brought into the showerhead 4 through the gas exit port 7 after passing through the valve 6. In this case, an influx of SiH4, NH3 and N2 gases into the remote plasma discharge chamber 13 is prevented by closing the valve 15. The reaction gases are injected uniformly from the fine holes formed at the lower side of the showerhead 4 onto the semiconductor wafer 9.
  • Radio-frequency power of 13.56 MHz or mixed power of 13.56 MHz and 430 kHz is applied to the [0127] showerhead 4 by the radio-frequency oscillator 8. As a result, a plasma reaction domain is formed in the space between the showerhead 4, which constitutes one electrode, and the support 3, which constitutes another electrode. Molecules of the reaction gas within that domain are activated by plasma energy and silicon nitride is formed on the semiconductor substrate 9.
  • Upon termination of thin film formation processing, the [0128] valve 6 is closed and at the same time the valve 18 is opened. The processed semiconductor wafer 9 is carried out to an adjoining transfer chamber (not shown) by an automatic transfer robot (not shown) through the opening 19. After the reaction chamber 2 is evacuated and exhausted, an unprocessed semiconductor wafer is carried in from the transfer chamber, the gate valve 18 is closed, and the above sequence is repeated.
  • While the thin film formation sequence is continuously preformed, undesirable products adhere to the inner wall of the [0129] reaction chamber 2 and the surface and sides of the support. Undesirable products gradually accumulate, slough, and float within the reaction chamber to cause particle contamination. Consequently, it is necessary to clean the inside of the reaction chamber 2 regularly (for example, every after thin film formation sequence between wafer unloading and loading the next wafer). In the following, a cleaning sequence to remove silicon nitride adhering to the inner wall of the reaction chamber 2 is explained.
  • Cleaning Operation [0130]
  • Mixed gas of NF[0131] 3 and argon that is used as cleaning gas is controlled at the designated flow, flows into the cleaning gas inlet port 12 and is brought into the remote plasma discharge chamber 13. Preferred flow rates for the fluorine-containing gas are between about 0.5 slm and 1.5 slm; preferred flow rates for the carrier gas are about 0.5 slm and 4 slm. Desirably, the inert carrier gas is about 2 to 3 times the flow of the fluorine-containing gas. Inside of the remote plasma discharge chamber 13, radio frequency (RF) output from 300 kHz to 500 kHz is applied to cleaning gas with electricity from 1,000 W to 5,000 W. The value of radio frequency output is set so that unnecessary products adhering to the inside of the reaction chamber 2 are removed at an acceptable rate. To realize long-term quality maintenance of the remote plasma discharge chamber and to achieve high efficiency in generating fluorine active species, a preferable range for radio frequency output range is from 1,500 W to 3,000 W and a more preferred range is from 2,000 W to 3,000 W. With this energy, cleaning gas is dissociated and activated at a certain efficiency to generate fluorine active species.
  • Generated fluorine active species is brought into the [0132] showerhead 4 through the piping 14 and the valve 15. Fluorine active species that is jetted out uniformly into the inside of the reaction chamber 2 from the showerhead 4 causes chemical reaction with solid silicon nitride adhering to the inner wall and other surfaces of the reaction chamber and changes the solid adhesive substance to a gaseous substance. As a result, the number of gas molecules within the reaction chamber increases, but pressure within the reaction chamber is maintained at a specific value by a controller 28 that controls the opening angle of the conductance controlling valve 21 in real-time in response to pressure values within the reaction chamber measured by the pressure gauge 28 a.
  • The [0133] piping 14 and the valve 15 are preferably heated at a temperature from 100° C. to 200° C. (from 212° F. to 392° F.), facilitating rapid purging of the gas flowing inside. When NH3 gas is used to form silicon nitride on the semiconductor wafer 9 and cleaning gas containing fluorine active species is used to clean the reaction chamber 2, solid ammonium fluoride is generated if NH3 and fluorine active species are mixed, and the inside of the piping 14 is contaminated. To remove each gas quickly from the inside of the piping 14, the piping 14 and the valve 15 are more preferably heated at least at 120° C. (248° F.). When TEOS, [Si(OC2H5)4] is used as reaction gas, heating the piping 14 and the valve 15 to at least 120° C. (248° F.) also prevents liquifying TEOS as it flows. The temperature of the valve 15 and the piping 14 is determined according to the type of reaction gas to flow into the reaction chamber 2, but restricted by the heat-resistance temperature of the valve 15. In the illustrated embodiment, the upper limit of the temperature is about 200° C. (392° F.).
  • In one experiment, when [0134] 1 slm of NF3 and 2 slm of Ar were used for the cleaning gas, with the pressure within the reaction chamber set between 1 Torr and 1.5 Torr. Fluorine active species were generated by applying 400 kHz radio frequency power about 2,700 W to the inside of the remote plasma discharge chamber, undesirable silicon nitride adhering to the inner wall of the reaction chamber 2 was removed at greater than 2.0 microns/minute, more particularly at about 2.5 microns/minute.
  • In another experiment, when 0.75 slm of NF[0135] 3 and 1.5 slm of Ar were used, with the pressure of the reaction chamber set at about 1 Torr, generating fluorine active species by applying 2,400 W of 400 kHz radio frequency power to the inside of the remote plasma discharge chamber resulted in removal of undesirable silicon nitride from inner walls of the reaction chamber 2 at a rate of about 2.0 micron/minute.
  • In another experiment, in order to remove undesirable silicon oxide, formed from TEOS as raw material, adhered inside the [0136] reaction chamber 2, 1 slm of NF3 and 3 slm of argon were used for the cleaning gas. Fluorine active species were generated by applying 2,800 W of 400 kHz radio frequency electric power to the remote plasma discharge chamber 13. The products of this plasma, including activated fluorine species, were introduced to reaction chamber 2 from the remote plasma chamber 13. The silicon oxide was removed at a rate of about 1.5 ? m/min.
  • The above concludes the explanation of the cleaning sequence. [0137]
  • [0138] Embodiment 4
  • Main Structures [0139]
  • With reference now to FIG. 5, a chemical vapor deposition (CVD) [0140] device 110 is illustrated in accordance with a fourth embodiment of the invention. Unlike the previously described embodiments, the illustrated CVD reactor 110 includes a cold-wall reaction chamber 112. In the illustrated embodiment, the deposition or reaction chamber 112 comprises quartz, which is transparent to certain wavelengths of radiant energy, which will be understood in view of the description of the heating system described below.
  • While originally designed to optimize epitaxial deposition of silicon on a single substrate at a time, the superior processing control has been found to have utility in thermal and/or remote plasma CVD of a number of different materials. The basic configuration of the [0141] device 110 is available commercially under the trade name Epsilong from ASM America, Inc. of Phoenix, Ariz.
  • A plurality of radiant heat sources is supported outside the [0142] chamber 112 to provide heat energy in the chamber 112 without appreciable absorption by the quartz chamber 112 walls. While the preferred embodiments are described in the context of a “cold wall” CVD reactor for processing semiconductor wafers, it will be understood that the processing methods described herein will have utility in conjunction with other heating/cooling systems, such as those employing inductive or resistive heating.
  • The illustrated radiant heat sources comprise an upper heating assembly of elongated tube-type [0143] radiant heating elements 113. The upper heating elements 113 are preferably disposed in spaced-apart parallel relationship and also substantially parallel with the reactant gas flow path through the underlying reaction chamber 112. A lower heating assembly comprises similar elongated tube-type radiant heating elements 114 below the reaction chamber 112, preferably oriented transverse to the upper heating elements 113. Desirably, a portion of the radiant heat is diffusely reflected into the chamber 112 by rough specular reflector plates (not shown) above and below the upper and lower lamps 113, 114, respectively. Additionally, a plurality of spot lamps 115 supply concentrated heat to the underside of the substrate support structure (described below), to counteract a heat sink effect created by cold support structures extending through the bottom of the reaction chamber 112.
  • Each of the elongated tube [0144] type heating elements 113, 114 is preferably a high intensity tungsten filament lamp having a transparent quartz envelope containing a halogen gas, such as iodine. Such lamps produce full-spectrum radiant heat energy transmitted through the walls of the reaction chamber 112 without appreciable absorption. As is known in the art of semiconductor processing equipment, the power of the various lamps 113, 114, 115 can be controlled independently or in grouped zones in response to temperature sensors.
  • A substrate, preferably comprising a [0145] silicon wafer 116, is shown supported within the reaction chamber 112 upon a substrate support structure 118. Note that, while the substrate of the illustrated embodiment is a single-crystal silicon wafer, it will be understood that the term “substrate” broadly refers to any workpiece on which a layer is to be deposited. Moreover, cleaning and prevention of contamination is often required in depositing layers on other substrates, including, without limitation, the deposition of optical thin films on glass or other substrates.
  • The illustrated [0146] support structure 118 includes a substrate holder 20, upon which the wafer 116 rests, and a support spider 122. The spider 122 is mounted to a shaft 124, which extends downwardly through a tube 126 depending from the chamber lower wall. Preferably, the tube 126 communicates with a source of purge or sweep gas which can flow during processing, inhibiting process gases from escaping to the lower section of the chamber 112.
  • A plurality of temperature sensors are positioned in proximity to the [0147] wafer 116. The temperature sensors may take any of a variety of forms, such as optical pyrometers or thermocouples. The number and positions of the temperature sensors are selected to promote temperature uniformity, as will be understood in light of the description below of the preferred temperature controller. Preferably, however, the temperature sensors directly or indirectly sense the temperature of positions in proximity to the wafer.
  • In the illustrated embodiment, the temperature sensors comprise thermocouples, including a first or [0148] central thermocouple 128, suspended below the wafer holder 120 in any suitable fashion. The illustrated central thermocouple 128 passes through the spider 122 in proximity to the wafer holder 120. The device 110 further includes a plurality of secondary or peripheral thermocouples, also in proximity to the wafer 116, including a leading edge or front thermocouple 129, a trailing edge or rear thermocouple 130, and a side thermocouple (not shown). Each of the peripheral thermocouples is housed within a slip ring 132, which surrounds the substrate holder 120 and the wafer 116. Each of the central and peripheral thermocouples are connected to a temperature controller, which sets the power of the various heating elements 113, 114, 115 in response to the readings of the thermocouples.
  • In addition to housing the peripheral thermocouples, the [0149] slip ring 132 absorbs and emits radiant heat during high temperature processing, such that it compensates for a tendency toward greater heat loss or absorption at wafer edges, a phenomenon which is known to occur due to a greater ratio of surface area to volume in regions near such edges. By minimizing edge losses, the slip ring 132 can reduce the risk of radial temperature non-uniformities across the wafer 116. The slip ring 132 can be suspended by any suitable means. For example, the illustrated slip ring 132 rests upon elbows 134, which depend from a front chamber divider 36, and a rear chamber divider 38. The dividers 36, 38 desirably are formed of quartz. In some arrangements, the rear divider 138 can be omitted.
  • The illustrated [0150] reaction chamber 112 includes an inlet port 140 for the injection of reactant and carrier gases for deposition by CVD, and the wafer 116 can also be received therethrough. An outlet port 142 is on the opposite side of the chamber 112, with the wafer support structure 118 positioned between the inlet 140 and outlet 142.
  • An [0151] inlet component 150 is fitted to the reaction chamber 112, adapted to surround the inlet port 140, and includes a horizontally elongated slot 152 through which the wafer 116 can be inserted. A generally vertical inlet 154 receives gases from remote sources and communicates such gases with the slot 152 and the inlet port 140. The inlet 154 can include gas injectors as described in U.S. Pat. No. 5,221,556, issued Hawkins et al., or as described with respect to FIGS. 21-26 in U.S. patent application Ser. No. 08/637,616, filed Apr. 25, 1996, the disclosures of which are hereby incorporated by reference. Such injectors are designed to maximize uniformity of gas flow for the single-wafer reactor.
  • An [0152] outlet component 156 similarly mounts to the process chamber 112 such that an exhaust opening 158 aligns with the outlet port 142 and leads to exhaust conduits 159. The conduits 159, in turn, can communicate with suitable vacuum means (not shown) for drawing process gases through the chamber 112. In the preferred embodiment, process gases are drawn through the reaction chamber 112 and a downstream scrubber (not shown). A pump or fan is preferably included to aid in drawing process gases through the chamber 112, and to evacuate the chamber for low pressure processing.
  • Wafers are preferably passed from a handling chamber (not shown), which is isolated from the surrounding environment, through the [0153] slot 152 by a pick-up device. The handling chamber and the processing chamber 112 are preferably separated by a gate valve (not shown) of the type disclosed in U.S. Pat. No. 4,828,224, the disclosure of which is hereby incorporated herein by reference.
  • Remote Plasma Discharge Chamber [0154]
  • The [0155] preferred device 110 also includes a source of excited species positioned upstream from the chamber 112. The excited species source of the illustrated embodiment comprises a power generator connected to a remote plasma discharge chamber 13. The remote plasma discharge chamber 13 is connected to the deposition chamber 112 by way of piping 14 having a valve 15 thereon. One end of the piping 14 constitutes a cleaning gas inlet port 12 to cause cleaning gas to flow into the remote plasma discharge chamber 13. The other end of the piping 14 constitutes a cleaning gas exit port 16 to bring cleaning gas into the horizontal flow path defined between the inlet 140 and outlet 142 of the reaction chamber 112.
  • The [0156] inlet end 12 of the piping 14 is shown connected to multiple gas sources. In particular, a source of cleaning gas 163 is coupled to the inlet end 12 of the piping for introduction of cleaning gas into the remote plasma discharge chamber 13. A source of carrier gas 164 is also preferably coupled to the gas line 12. As is known in the art, the gas sources 163, 164 can comprise gas tanks, bubblers, etc., depending upon the form and volatility of the reactant species. Each gas line can be provided with a separate mass flow controller (MFC) and valves, as shown, to allow selection of relative amounts of carrier and reactant species introduced to the remote plasma discharge chamber and thence into the reaction chamber 112.
  • One or more further branch lines [0157] 165 (one shown) can also be provided for additional reactants. Advantageously, source gases connected to the branch line(s) can be connected to sources useful for plasma assisting deposition within the chamber. Thus, the remote plasma discharge chamber 13 can be used not only for cleaning, but also for providing activated reactants for plasma CVD. Alternatively, a separate remote plasma source can be provided for deposition reactants.
  • The [0158] chamber 13, piping 14 and valve 15 can be as described above with respect to any of the embodiments of FIGS. 1-4. As noted above, the valve 15 can be optionally omitted, and replaced with a flow of carrier or inert gas through the remote plasma discharge chamber 13 (without applying dissociating energy) during the deposition phase of the process.
  • CVD Operation [0159]
  • The [0160] device 110 of FIG. 5 can be used for depositing films of various compositions by CVD, including epitaxial silicon, polysilicon, silicon oxide and silicon nitride. Advantageously, the remote plasma discharge chamber 13 can provide activated reactants for assisting reactions in CVD, thus lowering thermal needs for this deposition.
  • In an exemplary silicon nitride deposition, about 1.5 slm ammonia (NH[0161] 3) and 15 sccm silane (SiH4) are introduced. Nitrogen continues to flow at the same flow rate, and temperature and pressure are maintained at about 780?C and 50 Torr. Ammonia and silane flow are continued for about 90 seconds, reacting at the substrate surface to deposit 430 a layer of silicon nitride with a thickness of about 3 nm. As noted, one or more of the reactants can be activated through the remote plasma discharge chamber 13, thus lowering the temperature for the same deposition rate. In this case, the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • In an exemplary polysilicon deposition, a carrier flow of N[0162] 2 gas is maintained at about 15 slm while about 350 sccm silane is introduced. Employing disilane can advantageously improve deposition rates. Pressure continues to be maintained at about 50 Torr, and the temperature held steady at about 680?C. Within about 120 seconds, a polysilicon electrode layer of about 150 nm is deposited 637. It will be understood that the polysilicon formed by this method would be doped for appropriate conductivity after deposition 637, though in situ doping (during deposition) is also contemplated. For in situ doping, common doping sources such as phosphine, arsine or diborane can be added to the silane flow. In another arrangement, the chamber can be backfilled to about atmospheric pressure for an H2/SiH4 polysilicon process. As noted, one or more of the reactants can be activated through the remote plasma discharge chamber 13, thus lowering the temperature for the same deposition rate. In this case, the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • In still other arrangements, the polysilicon layer is in situ doped with germanium in order to lower the electrical workfunction at the gate/dielectric interface. For example, a germane (1.5% in H[0163] 2) flow of about 100 sccm to 1,000 sccm can be added to the silane flow. In this case, the temperature of the deposition is preferably maintained between about 550° C. and 650° C., more preferably at about 600° C. ±15° C. A germanium content in the resulting poly-SiGe layer is about 10% to 60%. As noted, one or more of the reactants can be activated through the remote plasma discharge chamber 13, thus lowering the temperature for the same deposition rate. In this case, the reaction chamber pressure is preferably reduced to facilitate plasma ignition within the remote plasma discharge chamber.
  • Chamber Cleaning Operation [0164]
  • Depending upon the material to be cleaned, and materials within the chamber, fluorine active species can be provided through the remote [0165] plasma discharge chamber 13, as described with respect to the previous embodiments. For certain depositions, the skilled artisan will appreciate that chlorine active species and/or other active species may more efficiently clean the deposited material without excessive damage to the quartz chamber 112 walls. Suitable cleaning gases following silicon deposition, for example, include HCl or NF3/Cl2 provided through the remote plasma discharge chamber 13. Cleaning gases following silicon oxide or silicon nitride deposition can be as described with respect to the previous embodiments, and preferably include fluorine containing gases.
  • A process using both of the species NF[0166] 3 and Cl2 at a temperature in the range of 20° C. to 800° C., and preferably 500° C. to 800° C., and at a pressure compatible with the remote plasma generator working range (typically 0.5 to 5 Torr for this process) can be performed in order to remove deposited layers formed of silicon, silicon nitride, silicon oxynitride and/or silicon dioxide. NF3 and Cl2 are dissociated when flowing through the remote plasma discharge chamber 13 by applying between about 1,000 W and 5,000 W of radio frequency energy, preferably between about 2,000 W and 3,000 W of 300 kHz to 500 kHz energy. Typically, NF3, Cl2 and N2 flow through the remote plasma discharge chamber 13. The N2 flow helps increasing the etch rate and increase the overall gas velocity. The NF3:Cl2 flow ratio and the temperature can be adjusted in order to increase the selectivity of the silicon nitride etch versus silicon dioxide, eventually to infinite, such that the silicon dioxide is untouched by the etch. Further details are provided in Suto et al, “Highly selective etching of Si3N4 to SiO2 employing fluorine and chlorine atoms generated by microwave discharge”, J. ELECTROCHEMICAL SOCIETY, Vol. 136, No 7, July 1989, p. 2032-2034; and Staffa et al, “Selective remote plasma etching of Si3N4 over SiO2 at elevated temperature”, ELECTROCHEMICAL SOCIETY PROCEEDINGS, Vol. 95-5, p. 283-289, the disclosures of which are incorporated herein by reference. High etch rates of silicon, silicon oxide and Si3N4 can be achieved.
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention. [0167]

Claims (22)

We claim:
1. A method of cleaning a chemical vapor deposition (CVD) reaction chamber with cleaning gas provided through a remote plasma discharge chamber, comprising:
dissociating cleaning gas within the remote plasma discharge chamber by applying energy with a power of less than about 3,000 W;
supplying activated species from the remote plasma discharge chamber to the reaction chamber through a piping; and
removing adhered deposits from CVD reactions on a wall of the reaction chamber at a rate of greater than or equal to about 2.0 microns/minute.
2. The method of claim 1, wherein removing adhered deposits comprises removing adhered silicon nitride deposits.
3. The method of claim 1, wherein the cleaning gas comprises fluorine-containing gas and the activated species comprises fluorine active species.
4. The method of claim 1, wherein the applied energy has a frequency between about 300 kHz and 500 kHz.
5. The method of claim 1, wherein supplying activated species comprises flowing NF3 through the remote plasma discharge chamber at a rate between about 0.5 slm and 1.5 slm.
6. A method of cleaning a chemical vapor deposition (CVD) reaction chamber with cleaning gas provided through a remote plasma discharge chamber, comprising:
forming a plasma with active species by applying energy with a power of less than about 3,000 W to a cleaning gas within the remote plasma discharge chamber;
transporting the active species from the remote plasma discharge chamber to the reaction chamber through a piping; and
removing adhered silicon nitride deposits from CVD reactions on a wall of the reaction chamber at a rate of greater than or equal to about 2.0 microns/minute.
7. The method of claim 6, wherein the applied energy has a frequency between about 300 kHz and 500 kHz.
8. The method of claim 6, wherein supplying activated species comprises flowing NF3 through the remote plasma discharge chamber at a rate between about 0.5 slm and 1.5 slm.
9. The method of claim 6, further comprising opening a valve on the piping after conducting a CVD reaction and prior to supplying activated species.
10. The method of claim 9, wherein opening a valve comprises withdrawing a sealing element completely from a path to form an opening substantially as wide as internal surfaces of the piping.
11. The method of claim 9, further comprising closing the valve after removing the adhered silicon nitride deposits.
12. A method of removing deposits from a reaction chamber of a chemical vapor deposition device, the method comprising:
supplying a cleaning gas containing fluorine to a remote plasma discharge chamber;
applying an energy in the remote plasma discharge chamber of less than 3000 W to the cleaning gas to form a plasma with fluorine active species;
transporting the fluorine active species from the remote plasma discharge chamber to the reaction chamber through a piping; and
removing adhered deposits from CVD reactions on a wall of the reaction chamber at a rate of greater than or equal to about 2.0 microns/minute.
13. The method of claim 12, wherein removing adhered deposits on the wall of the reaction chamber comprises removing adhered silicon nitride deposits.
14. The method of claim 12, wherein removing adhered deposits on the wall of the reaction chamber comprises removing adhered silicon oxide deposits.
15. The method of claim 12, wherein removing adhered deposits on the wall of the reaction chamber comprises removing adhered tungsten deposits.
16. The method of claim 12, wherein supplying the cleaning gas comprises supplying carbon tetrafluoride (CF4).
17. The method of claim 12, wherein supplying the cleaning gas comprises supplying nitrogen trifluoride (NF3).
18. The method of claim 12, wherein applying an energy comprises applying an energy of between about 2,500 W and 3,000 W.
19. The method of claim 12, wherein the applied energy has a frequency between about 300 kHz and 500 kHz.
20. The method of claim 12, further comprising opening a valve on the piping after conducting a CVD reaction and prior to supplying activated species.
21. The method of claim 20, wherein opening a valve comprises withdrawing a sealing element completely from a path to form an opening substantially as wide as internal surfaces of the piping.
22. The method of claim 20, further comprising closing the valve after removing the adhered silicon nitride deposits.
US10/759,925 2000-01-18 2004-01-16 Semiconductor processing with a remote plasma source for self-cleaning Abandoned US20040144400A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/759,925 US20040144400A1 (en) 2000-01-18 2004-01-16 Semiconductor processing with a remote plasma source for self-cleaning
US11/758,601 US20070227554A1 (en) 2000-01-18 2007-06-05 Semiconductor processing with a remote plasma source for self-cleaning

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17659200P 2000-01-18 2000-01-18
US09/764,523 US6736147B2 (en) 2000-01-18 2001-01-18 Semiconductor-processing device provided with a remote plasma source for self-cleaning
US10/759,925 US20040144400A1 (en) 2000-01-18 2004-01-16 Semiconductor processing with a remote plasma source for self-cleaning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/764,523 Continuation US6736147B2 (en) 2000-01-18 2001-01-18 Semiconductor-processing device provided with a remote plasma source for self-cleaning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/758,601 Continuation US20070227554A1 (en) 2000-01-18 2007-06-05 Semiconductor processing with a remote plasma source for self-cleaning

Publications (1)

Publication Number Publication Date
US20040144400A1 true US20040144400A1 (en) 2004-07-29

Family

ID=22644991

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/764,523 Expired - Lifetime US6736147B2 (en) 2000-01-18 2001-01-18 Semiconductor-processing device provided with a remote plasma source for self-cleaning
US10/759,953 Abandoned US20040144489A1 (en) 2000-01-18 2004-01-16 Semiconductor processing device provided with a remote plasma source for self-cleaning
US10/759,925 Abandoned US20040144400A1 (en) 2000-01-18 2004-01-16 Semiconductor processing with a remote plasma source for self-cleaning
US11/758,601 Abandoned US20070227554A1 (en) 2000-01-18 2007-06-05 Semiconductor processing with a remote plasma source for self-cleaning

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/764,523 Expired - Lifetime US6736147B2 (en) 2000-01-18 2001-01-18 Semiconductor-processing device provided with a remote plasma source for self-cleaning
US10/759,953 Abandoned US20040144489A1 (en) 2000-01-18 2004-01-16 Semiconductor processing device provided with a remote plasma source for self-cleaning

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/758,601 Abandoned US20070227554A1 (en) 2000-01-18 2007-06-05 Semiconductor processing with a remote plasma source for self-cleaning

Country Status (4)

Country Link
US (4) US6736147B2 (en)
EP (1) EP1118692A1 (en)
JP (2) JP3902408B2 (en)
KR (1) KR100767762B1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042462A1 (en) * 2002-06-10 2006-03-02 Ezell Edward F Method of recycling fluorine using an adsorption purification process
US20070227554A1 (en) * 2000-01-18 2007-10-04 Asm Japan K.K. Semiconductor processing with a remote plasma source for self-cleaning
US20100093181A1 (en) * 2008-10-09 2010-04-15 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US20100173432A1 (en) * 2009-01-08 2010-07-08 Asm America, Inc. Gap maintenance for opening to process chamber
US20100202860A1 (en) * 2009-02-09 2010-08-12 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
TWI760438B (en) * 2017-02-14 2022-04-11 美商應用材料股份有限公司 Method and apparatus of remote plasmas flowable cvd chamber

Families Citing this family (600)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP4730572B2 (en) * 2000-08-21 2011-07-20 株式会社アルバック Plasma film forming apparatus and cleaning method thereof
JP2002129334A (en) * 2000-10-26 2002-05-09 Applied Materials Inc Method for cleaning vapor-phase deposition apparatus and vapor-phase deposition apparatus
JP2002280376A (en) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Method and apparatus of cleaning cvd apparatus
US6996548B2 (en) * 2001-05-11 2006-02-07 Hemisphere Ii Investment Lp Method and apparatus for providing a reward for the use of a processor in a parallel processing environment
KR100837208B1 (en) * 2001-12-31 2008-06-11 엘지디스플레이 주식회사 Method and auxiliary apparatus of driving a pump for a thin film deposition apparatus while cleaning the duct of the pump
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
AU2003261791A1 (en) * 2002-08-30 2004-03-19 Tokyo Electron Limited Substrate processor and method of cleaning the same
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
RU2215061C1 (en) * 2002-09-30 2003-10-27 Институт прикладной физики РАН High-speed method for depositing diamond films from gas phase in plasma of shf-discharge and plasma reactor for performing the same
US7806126B1 (en) * 2002-09-30 2010-10-05 Lam Research Corporation Substrate proximity drying using in-situ local heating of substrate and substrate carrier point of contact, and methods, apparatus, and systems for implementing the same
US6818566B2 (en) * 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20040135828A1 (en) * 2003-01-15 2004-07-15 Schmitt Stephen E. Printer and method for printing an item with a high durability and/or resolution image
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP2005033173A (en) * 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP4312063B2 (en) 2004-01-21 2009-08-12 日本エー・エス・エム株式会社 Thin film manufacturing apparatus and method
CN100477107C (en) * 2004-01-28 2009-04-08 东京毅力科创株式会社 Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus and method for processing substrate
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
KR100580584B1 (en) * 2004-05-21 2006-05-16 삼성전자주식회사 Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
GB0415560D0 (en) * 2004-07-12 2004-08-11 Boc Group Plc Pump cleaning
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP2006128370A (en) * 2004-10-28 2006-05-18 Tokyo Electron Ltd Film forming apparatus and metod, program, and recording medium
JP2006128485A (en) * 2004-10-29 2006-05-18 Asm Japan Kk Semiconductor processing apparatus
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7926440B1 (en) * 2004-11-27 2011-04-19 Etamota Corporation Nanostructure synthesis apparatus and method
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4651406B2 (en) * 2005-02-16 2011-03-16 キヤノンアネルバ株式会社 Surface treatment method using plasma gas decomposition apparatus
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
KR100725721B1 (en) 2005-05-10 2007-06-08 피에스케이 주식회사 Method for treating plasma with down stream type
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
KR100706792B1 (en) * 2005-08-01 2007-04-12 삼성전자주식회사 Apparatus for manufacturing semiconductor device with a pump unit and method for cleaning the pump unit
TW200711757A (en) * 2005-08-02 2007-04-01 Massachusetts Inst Technology Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US20090047447A1 (en) * 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
CN101313085A (en) * 2005-08-02 2008-11-26 麻省理工学院 Method of removing surface deposits and passivating interior surfaces of the interior of a chemical vapour deposition (cvd) chamber
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
KR100724266B1 (en) * 2005-09-26 2007-05-31 동부일렉트로닉스 주식회사 Silicon wafer surface cleaning methode using atmospheric pressure plasma and its apparatus
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102006051550B4 (en) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Method and device for structuring components using a material based on silicon oxide
JP2008218877A (en) * 2007-03-07 2008-09-18 Hitachi Kokusai Electric Inc Substrate treatment device and method of manufacturing semiconductor device
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
WO2008149741A1 (en) * 2007-05-31 2008-12-11 Ulvac, Inc. Method for dry cleaning plasma processing apparatus
KR100870567B1 (en) * 2007-06-27 2008-11-27 삼성전자주식회사 A method of plasma ion doping process and an apparatus thereof
JP2010536170A (en) * 2007-08-08 2010-11-25 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Semiconductor structure and manufacturing method
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP2009084625A (en) * 2007-09-28 2009-04-23 Tokyo Electron Ltd Raw material gas supply system and film deposition apparatus
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
JP4696135B2 (en) * 2008-02-04 2011-06-08 アプライド マテリアルズ インコーポレイテッド Gate valve and deposition system
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
WO2009126827A2 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
GB0813241D0 (en) 2008-07-18 2008-08-27 Mcp Tooling Technologies Ltd Manufacturing apparatus and method
KR101037916B1 (en) * 2008-07-18 2011-05-30 최영이 Uniting structure of fixing frame for glass door
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP2010098158A (en) * 2008-10-17 2010-04-30 Seiko Epson Corp Susceptor for plasma cvd device and method of manufacturing the same, plasma cvd device and maintenance method for the plasma cvd device, and method of manufacturing semiconductor device
KR20110074926A (en) * 2008-10-24 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Multiple gas feed apparatus and method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8910590B2 (en) * 2009-02-13 2014-12-16 Gallium Enterprises Pty Ltd. Plasma deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110030615A1 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2949237B1 (en) * 2009-08-24 2011-09-30 Ecole Polytech METHOD OF CLEANING THE SURFACE OF A SILICON SUBSTRATE
JP2011096937A (en) * 2009-10-30 2011-05-12 Ulvac Japan Ltd Method of cleaning vacuum excitation tube, and vacuum processing apparatus
US8338317B2 (en) * 2011-04-06 2012-12-25 Infineon Technologies Ag Method for processing a semiconductor wafer or die, and particle deposition device
US8319176B2 (en) 2010-04-01 2012-11-27 Electro Scientific Industries, Inc. Sample chamber for laser ablation inductively coupled plasma mass spectroscopy
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
GB2480873B (en) * 2010-06-04 2014-06-11 Plastic Logic Ltd Reducing defects in electronic apparatus
JP2012015374A (en) * 2010-07-01 2012-01-19 Toshiba Corp Mass flow controller system, plasma processing apparatus, flow rate control method, and method of manufacturing semiconductor device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
JP5710433B2 (en) * 2011-09-13 2015-04-30 株式会社東芝 Film forming apparatus cleaning method and film forming apparatus
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130136862A1 (en) * 2011-11-30 2013-05-30 Intermolecular, Inc. Multi-cell mocvd apparatus
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US10672591B2 (en) 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR101493606B1 (en) * 2013-08-27 2015-02-13 김정대 Cleaning device using clean gas
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR101637099B1 (en) * 2014-12-02 2016-07-07 천인관 A coating apparatus comprising a cleanable chamber
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
KR102375158B1 (en) * 2014-12-26 2022-03-17 삼성디스플레이 주식회사 Deposition device and method of driving the same
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016157312A1 (en) * 2015-03-27 2016-10-06 堺ディスプレイプロダクト株式会社 Film forming device and method for cleaning film forming device
EP3095893A1 (en) * 2015-05-22 2016-11-23 Solvay SA A process for etching and chamber cleaning and a gas therefor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP2017028012A (en) * 2015-07-17 2017-02-02 ラピスセミコンダクタ株式会社 Semiconductor manufacturing device and semiconductor manufacturing method
WO2017014791A1 (en) * 2015-07-23 2017-01-26 Renmatix, Inc. Method and apparatus for removing a fouling substance from a pressurized vessel
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
CN104962880B (en) * 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 A kind of vapor deposition apparatus
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102096577B1 (en) * 2016-12-29 2020-04-02 한화솔루션 주식회사 polysilicon manufacturing reactor
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108878241B (en) * 2017-05-10 2021-03-02 北京北方华创微电子装备有限公司 Semiconductor device and method for cleaning reaction chamber of semiconductor device
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109868458B (en) * 2017-12-05 2021-12-17 北京北方华创微电子装备有限公司 Cleaning system and cleaning method for semiconductor equipment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
WO2019199648A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
CN112424905A (en) * 2018-07-09 2021-02-26 朗姆研究公司 RF signal source supplying Radio Frequency (RF) plasma generator and remote plasma generator
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7369183B2 (en) * 2018-09-26 2023-10-25 アプライド マテリアルズ インコーポレイテッド Thermal conductive spacer for plasma processing chamber
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP7190915B2 (en) * 2019-01-18 2022-12-16 東京エレクトロン株式会社 Substrate processing apparatus cleaning method and substrate processing apparatus
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
KR102100770B1 (en) * 2019-01-30 2020-04-14 김경민 Valve apparatus, equipment for treating substrate having the same and processing method
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102229688B1 (en) * 2019-02-13 2021-03-18 프리시스 주식회사 Valve Module and Substrate Processing apparatus having the same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
KR102470479B1 (en) 2021-01-22 2022-11-25 김경민 Valve apparatus and operating method thereof
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (en) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 Structure and method for solving parasitic plasma in plasma processing equipment
WO2023229953A1 (en) * 2022-05-23 2023-11-30 Lam Research Corporation In situ treatment of molybdenum oxyhalide byproducts in semiconductor processing equipment
CN114975066B (en) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 Deposition chamber cleaning method
CN115491657B (en) * 2022-09-21 2023-09-19 拓荆科技股份有限公司 Cleaning method, storage medium, driving circuit, and semiconductor processing apparatus
CN116251803B (en) * 2023-04-12 2023-09-22 东莞市晟鼎精密仪器有限公司 Graphite boat cleaning equipment for cleaning silicon nitride coating based on microwave plasma dry method

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4859303A (en) * 1987-10-09 1989-08-22 Northern Telecom Limited Method and apparatus for removing coating from substrate
US5031571A (en) * 1988-02-01 1991-07-16 Mitsui Toatsu Chemicals, Inc. Apparatus for forming a thin film on a substrate
US5520142A (en) * 1994-03-28 1996-05-28 Tokyo Electron Kabushiki Kaisha Decompression container
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5807614A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous atmosphere lacking electrically charged species used for treating nonmetallic substrates
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6498109B2 (en) * 1997-08-19 2002-12-24 Micron Technology, Inc. System and method for plasma etching

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656707A (en) * 1970-04-16 1972-04-18 Marotta Scientific Controls Poppet valve assembly with straight-through flow
US3696831A (en) * 1971-11-11 1972-10-10 John H Fowler Valve
FR2253972B1 (en) * 1973-12-11 1978-02-24 Elf Aquitaine
US3963214A (en) * 1974-11-26 1976-06-15 Mueller Co. Resilient seated gate valve with split body
US4162058A (en) * 1977-05-19 1979-07-24 Mueller Co. Resilient seated gate valve with improved seat arrangement
US4281819A (en) * 1978-03-23 1981-08-04 Linder Morris B Balanced stem gate valve
US4682757A (en) * 1986-07-18 1987-07-28 Joy Manufacturing Company Secondary backseat for gate valve
EP0460701B1 (en) 1990-06-07 1998-03-04 Applied Materials, Inc. A method of forming a corrosion-resistant protective coating on aluminum substrate
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3122311B2 (en) * 1994-06-29 2001-01-09 東京エレクトロン株式会社 Apparatus for supplying liquid material to film forming chamber and method of using the same
US6215806B1 (en) * 1996-03-07 2001-04-10 Canon Kabushiki Kaisha Excimer laser generator provided with a laser chamber with a fluoride passivated inner surface
FI111329B (en) * 1996-06-17 2003-07-15 Antti Lillbacka A method for tightening a floss, a clamping device and a floss
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6749717B1 (en) * 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5908046A (en) * 1997-03-12 1999-06-01 Erc Industries, Inc. Back seat rising stem gate valve
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3567070B2 (en) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6033479A (en) * 1998-04-22 2000-03-07 Applied Materials, Inc. Process gas delivery system for CVD having a cleaning subsystem
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
DE19847848C1 (en) * 1998-10-16 2000-05-11 R3 T Gmbh Rapid Reactive Radic Device and generation of excited / ionized particles in a plasma
KR100767762B1 (en) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4859303A (en) * 1987-10-09 1989-08-22 Northern Telecom Limited Method and apparatus for removing coating from substrate
US5031571A (en) * 1988-02-01 1991-07-16 Mitsui Toatsu Chemicals, Inc. Apparatus for forming a thin film on a substrate
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5807614A (en) * 1993-12-15 1998-09-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method and device for forming an excited gaseous atmosphere lacking electrically charged species used for treating nonmetallic substrates
US5520142A (en) * 1994-03-28 1996-05-28 Tokyo Electron Kabushiki Kaisha Decompression container
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6498109B2 (en) * 1997-08-19 2002-12-24 Micron Technology, Inc. System and method for plasma etching
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070227554A1 (en) * 2000-01-18 2007-10-04 Asm Japan K.K. Semiconductor processing with a remote plasma source for self-cleaning
US20060042462A1 (en) * 2002-06-10 2006-03-02 Ezell Edward F Method of recycling fluorine using an adsorption purification process
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
US20100093181A1 (en) * 2008-10-09 2010-04-15 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US20100173432A1 (en) * 2009-01-08 2010-07-08 Asm America, Inc. Gap maintenance for opening to process chamber
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US20100202860A1 (en) * 2009-02-09 2010-08-12 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8759226B2 (en) 2009-02-09 2014-06-24 Asm America, Inc. Method for minimizing contamination in semiconductor processing chamber
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
TWI760438B (en) * 2017-02-14 2022-04-11 美商應用材料股份有限公司 Method and apparatus of remote plasmas flowable cvd chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11626313B2 (en) 2017-11-03 2023-04-11 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Also Published As

Publication number Publication date
EP1118692A1 (en) 2001-07-25
US20040144489A1 (en) 2004-07-29
JP2007043205A (en) 2007-02-15
JP3902408B2 (en) 2007-04-04
US20070227554A1 (en) 2007-10-04
KR100767762B1 (en) 2007-10-17
US20020011210A1 (en) 2002-01-31
JP4417362B2 (en) 2010-02-17
JP2001274105A (en) 2001-10-05
US6736147B2 (en) 2004-05-18
KR20010076318A (en) 2001-08-11

Similar Documents

Publication Publication Date Title
US6736147B2 (en) Semiconductor-processing device provided with a remote plasma source for self-cleaning
US7629033B2 (en) Plasma processing method for forming a silicon nitride film on a silicon oxide film
US7494545B2 (en) Epitaxial deposition process and apparatus
US6825051B2 (en) Plasma etch resistant coating and process
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
US6274058B1 (en) Remote plasma cleaning method for processing chambers
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
EP1352990A1 (en) Apparatus and method for CVD
US20060231205A1 (en) Method and apparatus for cleaning a cvd chamber
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
JP2013503490A (en) Gas distribution shower head and cleaning method
US20080044593A1 (en) Method of forming a material layer
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
US20030066486A1 (en) Microwave heat shield for plasma chamber
JPH1072672A (en) Non-plasma type chamber cleaning method
JP2000323467A (en) Semiconductor processing device equipped with remote plasma discharge chamber
KR20010104260A (en) Gas reactions to eliminate contaminates in a cvd chamber
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION