US20040145399A1 - High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters - Google Patents

High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters Download PDF

Info

Publication number
US20040145399A1
US20040145399A1 US10/760,087 US76008704A US2004145399A1 US 20040145399 A1 US20040145399 A1 US 20040145399A1 US 76008704 A US76008704 A US 76008704A US 2004145399 A1 US2004145399 A1 US 2004145399A1
Authority
US
United States
Prior art keywords
inverter
layer
source
type doped
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/760,087
Inventor
Arup Bhattacharyya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Round Rock Research LLC
Original Assignee
Arup Bhattacharyya
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Arup Bhattacharyya filed Critical Arup Bhattacharyya
Priority to US10/760,087 priority Critical patent/US20040145399A1/en
Publication of US20040145399A1 publication Critical patent/US20040145399A1/en
Assigned to ROUND ROCK RESEARCH, LLC reassignment ROUND ROCK RESEARCH, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Definitions

  • the invention pertains to complementary metal oxide semiconductor (CMOS) inverter constructions, such as, for example, inverter constructions comprising semiconductor-on-insulator (SOI) thin film transistor devices.
  • CMOS complementary metal oxide semiconductor
  • SOI semiconductor-on-insulator
  • the invention pertains to computer systems utilizing CMOS inverter constructions.
  • SOI technology differs from traditional bulk semiconductor technologies in that the active semiconductor material of SOI technologies is typically much thinner than that utilized in bulk technologies.
  • the active semiconductor material of SOI technologies will typically be formed as a thin film over an insulating material (typically oxide), with exemplary thicknesses of the semiconductor film being less than or equal to 2000 ⁇ .
  • bulk semiconductor material will typically have a thickness of at least about 200 microns.
  • the thin semiconductor of SOI technology can allow higher performance and lower power consumption to be achieved in integrated circuits than can be achieved with similar circuits utilizing bulk materials.
  • An exemplary integrated circuit device that can be formed utilizing SOI technologies is a so-called thin film transistor (TFT), with the term “thin film” referring to the thin semiconductor film of the SOI construction.
  • the semiconductor material of the SOI construction can be silicon, and in such aspects the TFTs can be fabricated using recrystallized amorphous silicon or polycrystalline silicon.
  • the silicon can be supported by an electrically insulative material (such as silicon dioxide), which in turn is supported by an appropriate substrate.
  • Exemplary substrate materials include glass, bulk silicon and metal-oxides (such as, for example, Al 2 O 3 ).
  • the term SOI is occasionally utilized to refer to a silicon-on-insulator construction, rather than the more general concept of a semiconductor-on-insulator construction.
  • SOI refers to semiconductor-on-insulator constructions.
  • the semiconductor material of an SOI construction referred to in the context of this disclosure can comprise other semiconductive materials in addition to, or alternatively to, silicon; including, for example, germanium.
  • a problem associated with conventional TFT constructions is that grain boundaries and defects can limit carrier mobilities. Accordingly, carrier mobilities are frequently nearly an order of magnitude lower than they would be in bulk semiconductor devices. High voltage (and therefore high power consumption), and large areas are utilized for the TFTs, and the TFTs exhibit limited performance. TFTs thus have limited commercial application and currently are utilized primarily for large area electronics.
  • MILC metal-induced lateral recrystallization
  • a suitable post-recrystallization anneal for improving the film quality within silicon recrystallized by MILC is accomplished by exposing recrystallized material to a temperature of from about 850° C. to about 900° C. under an inert ambient (with a suitable ambient comprising, for example, N 2 ).
  • MILC can allow nearly single crystal silicon grains to be formed in predefined amorphous-silicon islands for device channel regions.
  • Nickel-induced-lateral-recrystallization can allow device properties to approach those of single crystal silicon.
  • the carrier mobility of a transistor channel region can be significantly enhanced if the channel region is made of a semiconductor material having a strained crystalline lattice (such as, for example, a silicon/germanium material having a strained lattice, or a silicon material having a strained lattice) formed over a semiconductor material having a relaxed lattice (such as, for example, a silicon/germanium material having a relaxed crystalline lattice).
  • a semiconductor material having a strained crystalline lattice such as, for example, a silicon/germanium material having a strained lattice
  • a relaxed lattice such as, for example, a silicon/germanium material having a relaxed crystalline lattice
  • the terms “relaxed crystalline lattice” and “strained crystalline lattice” are utilized to refer to crystalline lattices which are within a defined lattice configuration for the semiconductor material, or perturbed from the defined lattice configuration, respectively.
  • the relaxed lattice material comprises silicon/germanium having a germanium concentration of from 10% to 60%
  • mobility enhancements of 110% for electrons and 60-80% for holes can be accomplished by utilizing a strained lattice material in combination with the relaxed lattice material (see for example, Rim, K. et al., “Characteristics and Device Design of Sub-100 nm Strained SiN and PMOSFETs”, VLSI Tech.
  • FIG. 1 shows a schematic diagram of a basic CMOS inverter 2 .
  • the inverter utilizes an NFET 4 and a PFET 6 to invert an input signal (I) into an output signal (O).
  • I input signal
  • O output signal
  • the inverter is shown comprising a connection 5 between a source/drain of the NFET 4 and a semiconductor body of the NFET, and also a connection 7 between a source/drain of the PFET and a semiconductor body of the PFET.
  • Inverters are a common component of semiconductor circuitry.
  • a continuing goal in fabrication of semiconductor circuitry is to increase a density of the circuitry. Accordingly, there is a continuing goal to reduce the footprint associated with inverter constructions, while maintaining desired performance characteristics of the inverter constructions.
  • the invention encompasses a CMOS inverter which includes a pair of stacked transistor devices. At least one of the stacked devices is an SOI device.
  • the semiconductor material of the SOI device can include, for example, silicon and germanium.
  • the device can be formed over a conventional substrate (such as a monocrystalline silicon wafer) or a non-conventional substrate (such as one or more of glass, aluminum oxide, silicon dioxide, metal and plastic).
  • the semiconductor material comprises a first layer having a relaxed crystalline lattice of silicon and germanium, and also comprises a second layer having a strained crystalline lattice of silicon and germanium. The second layer is between the first layer and a transistor gate of the transistor device.
  • FIG. 1 is a schematic diagram of a prior art inverter.
  • FIG. 2 is a diagrammatic, cross-sectional view of a fragment of a semiconductor construction shown at a preliminary stage of an exemplary process of the present invention
  • FIG. 3 is a view of the FIG. 2 wafer shown at a processing stage subsequent to that of FIG. 2.
  • FIG. 4 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 3.
  • FIG. 5 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 4.
  • FIG. 6 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 5.
  • FIG. 7 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 6.
  • FIG. 8 is an expanded region of the FIG. 7 fragment shown at a processing stage subsequent to that of FIG. 7 in accordance with an exemplary embodiment of the present invention.
  • FIG. 9 is a view of the FIG. 8 fragment shown at a processing stage subsequent to that of FIG. 8.
  • FIG. 10 is a view of an expanded region of FIG. 7 shown at a processing stage subsequent to that of FIG. 7 in accordance with an alternative embodiment relative to that of FIG. 8.
  • FIG. 11 is a diagrammatic, cross-sectional view of a semiconductor fragment illustrating an exemplary CMOS inverter construction in accordance with an aspect of the present invention.
  • FIG. 12 is a diagrammatic, cross-sectional view of a semiconductor fragment illustrating another exemplary CMOS inverter construction.
  • FIG. 13 is a diagrammatic view of a computer illustrating an exemplary application of the present invention.
  • FIG. 14 is a block diagram showing particular features of the motherboard of the FIG. 13 computer.
  • FIGS. 2 - 7 An exemplary method of forming an SOI construction in accordance with an aspect of the present invention is described with reference to FIGS. 2 - 7 .
  • semiconductor substrate and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Construction 10 comprises a base (or substrate) 12 and an insulator layer 14 over the base.
  • Base 12 can comprise, for example, one or more of glass, aluminum oxide, silicon dioxide, metal and plastic. Additionally, and/or alternatively, base 12 can comprise a semiconductor material, such as, for example, a silicon wafer.
  • Layer 14 comprises an electrically insulative material, and in particular applications can comprise, consist essentially of, or consist of silicon dioxide. In the shown construction, insulator layer 14 is in physical contact with base 12 . It is to be understood, however, that there can be intervening materials and layers provided between base 12 and layer 14 in other aspects of the invention (not shown). For example, a chemically passive thermally stable material, such as silicon nitride (Si 3 N 4 ), can be incorporated between base 12 and layer 14 . Layer 14 can have a thickness of, for example, from about 200 nanometers to about 500 nanometers, and can be referred to as a buffer layer.
  • Layer 14 preferably has a planarized upper surface.
  • the planarized upper surface can be formed by, for example, chemical-mechanical polishing.
  • a layer 16 of semiconductive material is provided over insulator layer 14 .
  • semiconductive material layer 16 is formed in physical contact with insulator 14 .
  • Layer 16 can have a thickness of, for example, from about 5 nanometers to about 10 nanometers.
  • Layer 16 can, for example, comprise, consist essentially of, or consist of either doped or undoped silicon. If layer 16 comprises, consists essentially of, or consists of doped silicon, the dopant concentration can be from about 10 14 atoms/cm 3 to about 10 20 atoms/cm 3 .
  • the dopant can be either n-type or p-type, or a combination of n-type and p-type.
  • the silicon utilized in layer 16 can be either polycrystalline silicon or amorphous silicon at the processing stage of FIG. 2. It can be advantageous to utilize amorphous silicon in that it is typically easier to deposit a uniform layer of amorphous silicon than to deposit a uniform layer of polycrystalline silicon.
  • material 16 is patterned into a plurality if discrete islands (or blocks) 18 . Such can be accomplished utilizing, for example, photoresist (not shown) and photolithographic processing, together with an appropriate etch of material 16 .
  • a capping layer 20 is provided over islands 18 and over portions of layer 14 exposed between the islands.
  • Layer 20 can, for example, comprise, consist essentially of, or consist of one or both of silicon dioxide and silicon.
  • Layer 20 can also comprise multiple layers of silicon dioxide, stress-free silicon oxynitride, and silicon.
  • small voids (nanovoids) and small crystals are formed in the islands 18 .
  • the formation of the voids and crystals can be accomplished by ion implanting helium 22 into material 16 and subsequently exposing material 16 to laser-emitted electromagnetic radiation.
  • the helium can aid in formation of the nanovoids; and the nanovoids can in turn aid in crystallization and stress relief within the material 16 during exposure to the electromagnetic radiation.
  • the helium can thus allow crystallization to occur at lower thermal budgets than can be achieved without the helium implantation.
  • the helium is preferably implanted selectively into islands 18 and not into regions between the islands.
  • the exposure of construction 10 to electromagnetic radiation can comprise subjecting the construction to scanned continuous wave laser irradiation while the construction is held at an appropriate elevated temperature (typically from about 300° C. to about 450° C.).
  • the exposure to the electromagnetic radiation can complete formation of single crystal seeds within islands 18 .
  • the laser irradiation is scanned along an axis 24 in the exemplary shown embodiment.
  • the capping layer 20 discussed previously is optional, but can beneficially assist in retaining helium within islands 18 and/or preventing undesirable impurity contamination during the treatment with the laser irradiation.
  • islands 18 are illustrated after voids have been formed therein. Additionally, small crystals (not shown) have also been formed within islands 18 as discussed above.
  • Layer 26 can comprise, consist essentially of, or consist of silicon and germanium; or alternatively can comprise, consist essentially of, or consist of doped silicon/germanium.
  • the germanium concentration within layer 26 can be, for example, from about 10 atomic percent to about 60 atomic percent.
  • layer 26 physically contacts islands 18 , and also physically contacts insulator layer 14 in gaps between the islands.
  • Layer 26 can be formed to a thickness of, for example, from about 50 nanometers to about 100 nanometers, and can be formed utilizing a suitable deposition method, such as, for example, plasma-assisted chemical vapor deposition.
  • a capping layer 28 is formed over semiconductor layer 26 .
  • Capping layer 28 can comprise, for example, silicon dioxide.
  • capping layer 28 can comprise, for example, a combination of silicon dioxide and stress-free silicon oxynitride.
  • Capping layer 28 can protect a surface of layer 26 from particles and contaminants that could otherwise fall on layer 26 . If the processing of construction 10 occurs in an environment in which particle formation and/or incorporation of contaminants is unlikely (for example, an ultrahigh vacuum environment), layer 28 can be eliminated from the process.
  • Layer 28 is utilized in the patterning of a metal (discussed below). If layer 28 is eliminated from the process, other methods besides those discussed specifically herein can be utilized for patterning the metal.
  • openings 30 are extended through capping layer 28 and to an upper surface of semiconductive material 26 . Openings 30 can be formed by, for example, photolithographic processing to pattern a layer of photoresist (not shown) into a mask, followed by a suitable etch of layer 28 and subsequent removal of the photoresist mask.
  • a layer 32 of metal-containing material is provided within openings 30 , and in physical contact with an upper surface of semiconductive material 26 .
  • Layer 32 can have a thickness of, for example, less than or equal to about 10 nanometers.
  • the material of layer 32 can comprise, consist essentially of, or consist of, for example, nickel.
  • Layer 32 can be formed by, for example, physical vapor deposition. Layer 32 can be formed to be within openings 30 and not over material 28 (as is illustrated in FIG. 5) by utilizing deposition conditions which selectively form metal-containing layer 32 on a surface of material 26 relative to a surface of material 28 .
  • material 32 can be deposited by a substantially non-selective process to form the material 32 over the surface of material 28 as well as over the surface of material 26 within openings 30 , and subsequently material 32 can be selectively removed from over surfaces of material 28 while remaining within openings 30 .
  • selective removal can be accomplished by, for example, chemical-mechanical polishing, and/or by forming a photoresist mask (not shown) over the material 32 within openings 30 , while leaving other portions of material 32 exposed, and subsequently removing such other portions to leave only the segments of material 32 within openings 30 .
  • the photoresist mask can then be removed.
  • Oxygen 34 is ion implanted through layers 26 and 28 , and into layer 16 to oxidize the material of layer 16 .
  • the oxygen can convert the silicon to silicon dioxide. Such swells the material of layer 16 , and accordingly fills the nanovoids that had been formed earlier.
  • the oxygen preferably only partially oxidizes layer 16 , with the oxidation being sufficient to fill all, or at least substantially all, of the nanovoids; but leaving at least some of the seed crystals within layer 16 that had been formed with the laser irradiation discussed previously.
  • the oxidation can convert a lower portion of material 16 to silicon dioxide while leaving an upper portion of material 16 as non-oxidized silicon.
  • the oxygen ion utilized as implant 34 can comprise, for example, oxygen (O 2 ) or ozone (O 3 ).
  • the oxygen ion implant can occur before or after formation of openings 30 and provision of metal-containing layer 32 .
  • Construction 10 is exposed to continuous wave laser irradiation while being held at an appropriate temperature (which can be, for example, from about 300° C. to about 450° C.; or in particular applications can be greater than or equal to 550° C.) to cause transformation of at least some of layer 26 to a crystalline form.
  • the exposure to the laser irradiation comprises exposing the material of construction 10 to laser-emitted electromagnetic radiation scanned along a shown axis 36 .
  • the axis 36 along which the laser irradiation is scanned is the same axis that was utilized for scanning of laser irradiation in the processing stage of FIG. 3.
  • the crystallization of material 26 (which can also be referred to as a recrystallization of the material) is induced utilizing metal-containing layer 32 , and accordingly corresponds to an application of MILC.
  • the MILC transforms material 26 to a crystalline form and the seed layer provides the crystallographic orientation while undergoing partial oxidation.
  • the crystal orientation within crystallized layer 26 can originate from the crystals initially formed in islands 18 . Accordingly, crystal orientations formed within layer 26 can be controlled through control of the crystal orientations formed within the semiconductive material 16 of islands 18 .
  • the oxidation of part of material 16 which was described previously can occur simultaneously with the MILC arising from continuous wave laser irradiation. Partial oxidation of seed layer 16 facilitates: (1) Ge enrichment into Si—Ge layer 26 (which improves carrier mobility); (2) stress-relief of Si—Ge layer 26 ; and (3) enhancement of recrystallization of Si—Ge layer 26 .
  • the crystallization of material 26 can be followed by an anneal of material 26 at a temperature of, for example, about 900° C. for a time of about 30 minutes, or by an appropriate rapid thermal anneal, to further ensure relaxed, defect-free crystallization of material 26 .
  • FIG. 6 shows construction 10 after the processing described above with reference to FIG. 5. Specifically, the voids that had been in material 16 are absent due to the oxidation of material 16 . Also, semiconductive material 26 has been transformed into a crystalline material (illustrated diagrammatically by the cross-hatching of material 26 in FIG. 6). Crystalline material 26 can consist of a single large crystal, and accordingly can be monocrystalline. Alternatively, crystalline material 26 can be polycrystalline. If crystalline material 26 is polycrystalline, the crystals of the material will preferably be equal in size or larger than the blocks 18 . In particular aspects, each crystal of the polycrystalline material can be about as large as one of the shown islands 18 . Accordingly, the islands can be associated in a one-to-one correspondence with crystals of the polycrystalline material.
  • the shown metal layers 32 are effectively in a one-to-one relationship with islands 18 , and such one-to-one correspondence of crystals to islands can occur during the MILC. Specifically, single crystals can be generated relative to each of islands 18 during the MILC process described with reference to FIG. 5. It is also noted, however, that although the metal layers 32 are shown in a one-to-one relationship with the islands in the cross-sectional views of FIGS. 5 and 6, the construction 10 comprising the shown fragment should be understood to extend three dimensionally. Accordingly, the islands 18 and metal layers 32 can extend in directions corresponding to locations into and out of the page relative to the shown cross-sectional view. There can be regions of the construction which are not shown where a metal layer overlaps with additional islands besides the shown islands.
  • layers 28 and 32 are removed, and subsequently a layer 40 of crystalline semiconductive material is formed over layer 26 .
  • layer 26 will have a relaxed crystalline lattice and layer 40 will have a strained crystalline lattice.
  • layer 26 will typically comprise both silicon and germanium, with the germanium being present to a concentration of from about 10 atomic percent to about 60 atomic percent.
  • Layer 40 can comprise, consist essentially of, or consist of either doped of undoped silicon; or alternatively can comprise, consist essentially of, or consist of either doped or undoped silicon/germanium. If layer 40 comprises silicon/germanium, the germanium content can be from about 10 atomic percent to about 60 atomic percent.
  • Strained lattice layer 40 can be formed by utilizing methods similar to those described in, for example, Huang, L. J. et al., “Carrier Mobility Enhancement in Strained Si-on-Insulator Fabricated by Wafer Bonding”, VLSI Tech. Digest, 2001, pp. 57-58; and Cheng, Z. et al., “SiGe-On-Insulator (SGOI) Substrate Preparation and MOSFET Fabrication for Electron Mobility Evaluation” 2001 IEEE SOI Conference Digest, October 2001, pp.13-14.
  • Strained lattice layer 40 can be large polycrystalline or monocrystalline. If strained lattice layer 40 is polycrystalline, the crystals of layer 40 can be large and in a one-to-one relationship with the large crystals of a polycrystalline relaxed crystalline layer 26 . Strained lattice layer 40 is preferably monocrystalline over the individual blocks 18 .
  • the strained crystalline lattice of layer 40 can improve mobility of carriers relative to the material 26 having a relaxed crystalline lattice.
  • layer 40 is optional in various aspects of the invention.
  • Each of islands 18 can be considered to be associated with a separate active region 42 , 44 and 46 .
  • the active regions can be separated from one another by insulative material subsequently formed through layers 26 and 40 (not shown).
  • insulative material subsequently formed through layers 26 and 40 (not shown).
  • a trenched isolation region can be formed through layers 26 and 40 by initially forming a trench extending through layers 26 and 40 to insulative material 14 , and subsequently filling the trench with an appropriate insulative material such as, for example, silicon dioxide.
  • crystalline material 26 can be a single crystal extending across an entirety of the construction 10 comprising the shown fragment, and accordingly extending across all of the shown active regions.
  • crystalline material 26 can be polycrystalline. If crystalline material 26 is polycrystalline, the single crystals of the polycrystalline material will preferably be large enough so that only one single crystal extends across a given active region.
  • active region 42 will preferably comprise a single crystal of material 26
  • active region 44 will comprise a single crystal of the material
  • active region 46 will comprise a single crystal of the material, with the single crystals being separate and discrete relative to one another.
  • FIG. 8 shows an expanded view of active region 44 at a processing stage subsequent to that of FIG. 7, and specifically shows a transistor device 50 associated with active region 44 and supported by crystalline material 26 .
  • Transistor device 50 comprises a dielectric material 52 formed over strained lattice 40 , and a gate 54 formed over dielectric material 52 .
  • Dielectric material 52 typically comprises silicon dioxide
  • gate 54 typically comprises a stack including an appropriate conductive material, such as, for example, conductively-doped silicon and/or metal.
  • a channel region 56 is beneath gate 54 , and in the shown construction extends across strained crystalline lattice material 40 .
  • the channel region may also extend into relaxed crystalline lattice material 26 (as shown).
  • Channel region 56 is doped with a p-type dopant.
  • Transistor construction 50 additionally comprises source/drain regions 58 which are separated from one another by channel region 56 , and which are doped with n-type dopant to an n + concentration (typically, a concentration of at least 10 21 atoms/cm 3 ).
  • n + concentration typically, a concentration of at least 10 21 atoms/cm 3
  • source/drain regions 58 extend across strained lattice layer 40 and into relaxed lattice material 26 .
  • source/drain regions 58 are shown extending only partially through relaxed lattice layer 26 , it is to be understood that the invention encompasses other embodiments (not shown) in which the source/drain regions extend all the way through relaxed material 26 and to material 16 .
  • Channel region 56 and source/drain regions 58 can be formed by implanting the appropriate dopants into crystalline materials 26 and 40 .
  • the dopants can be activated by rapid thermal activation (RTA), which can aid in keeping the thermal budget low for fabrication of field effect transistor 50 .
  • RTA rapid thermal activation
  • An active region of transistor device 50 extends across source/drain regions 58 and channel region 56 .
  • the portion of the active region within crystalline material 26 is associated with only one single crystal of material 26 .
  • material 26 can be entirely monocrystalline.
  • material 26 can be polycrystalline and comprise an individual single grain which accommodates the entire portion of the active region that is within material 26 .
  • the portion of strained lattice material 40 that is encompassed by the active region is preferably a single crystal, and can, in particular aspects, be considered an extension of the single crystal of the relaxed lattice material 26 of the active region.
  • Crystalline materials 40 and 26 can, together with any crystalline structures remaining in material 16 , have a total thickness of less than or equal to about 2000 ⁇ . Accordingly the crystalline material can correspond to a thin film formed over an insulative material.
  • the insulative material can be considered to be insulative layer 14 alone, or a combination of insulative layer 14 and oxidized portions of material 16 .
  • the transistor structure 50 of FIG. 8 corresponds to an n-type field effect transistor (NFET), and in such construction it can be advantageous to have strained crystalline material 40 consist of a strained silicon material having appropriate dopants therein.
  • the strained silicon material can improve mobility of electrons through channel region 56 , which can improve performance of the NFET device relative to a device lacking the strained silicon lattice.
  • strained lattice material 40 comprise silicon in an NFET device, it is to be understood that the strained lattice can also comprise other semiconductive materials.
  • a strained silicon lattice can be formed by various methods. For instance, strained silicon could be developed by various means and lattice 40 could be created by lattice mismatch with other materials or by geometric conformal lattice straining on another substrate (mechanical stress).
  • strained lattice 40 can comprise other materials alternatively to, or additionally to, silicon.
  • the strained lattice can, for example, comprise a combination of silicon and germanium.
  • the strained lattice consists of silicon alone (or doped silicon), rather than a combination of silicon and germanium for an NFET device.
  • a pair of sidewall spacers 60 are shown formed along sidewalls of gate 54 , and an insulative mass 62 is shown extending over gate 54 and material 40 .
  • Conductive interconnects 63 and 64 extend through the insulative mass 62 to electrically connect with source/drain regions 58 .
  • Interconnects 63 and 64 can be utilized for electrically connecting transistor construction 50 with other circuitry external to transistor construction 50 .
  • Such other circuitry can include, for example, a bitline and a capacitor in applications in which construction 50 is incorporated into dynamic random access memory (DRAM).
  • DRAM dynamic random access memory
  • FIG. 9 shows construction 10 at a processing stage subsequent to that of FIG. 8, and shows a capacitor structure 100 formed over and in electrical contact with conductive interconnect 64 .
  • the shown capacitor structure extends across gate 54 and interconnect 63 .
  • Capacitor construction 100 comprises a first capacitor electrode 102 , a second capacitor electrode 104 , and a dielectric material 106 between capacitor electrodes 102 and 104 .
  • Capacitor electrodes 102 and 104 can comprise any appropriate conductive material, including, for example, conductively-doped silicon.
  • electrodes 102 and 104 will each comprise n-type doped silicon, such as, for example, polycrystalline silicon doped to a concentration of at least about 10 21 atoms/cm 3 with n-type dopant.
  • electrode 102 , conductive interconnect 64 and the source/drain region 58 electrically connected with interconnect 64 comprise, or consist of, n-type doped semiconductive material. Accordingly, n-type doped semiconductive material extends from the source/drain region, through the interconnect, and through the capacitor electrode.
  • Dielectric material 106 can comprise any suitable material, or combination of materials.
  • Exemplary materials suitable for dielectric 106 are high dielectric constant materials including, for example, silicon nitride, aluminum oxide, TiO 2 , Ta 2 O 5 , ZrO 2 , etc.
  • the conductive interconnect 63 is in electrical connection with a bitline 108 .
  • Top capacitor electrode 104 is shown in electrical connection with an interconnect 110 , which in turn connects with a reference voltage 112 , which can, in particular aspects, be ground.
  • the construction of FIG. 9 can be considered a DRAM cell, and such can be incorporated into a computer system as a memory device.
  • FIG. 10 shows construction 10 at a processing stage subsequent to that of FIG. 7 and alternative to that described previously with reference to FIG. 8.
  • similar numbering will be used as is used above in describing FIG. 8, where appropriate.
  • a transistor construction 70 is shown in FIG. 10, and such construction differs from the construction 50 described above with reference to FIG. 8 in that construction 70 is a p-type field effect transistor (PFET) rather than the NFET of FIG. 8.
  • Transistor device 70 comprises an n-type doped channel region 72 and p + -doped source/drain regions 74 .
  • the channel region and source/drain regions of transistor device 70 are oppositely doped relative to the channel region and source/drain regions described above with reference to the NFET device 50 of FIG. 8.
  • the strained crystalline lattice material 40 of the PFET device 70 can consist of appropriately doped silicon, or consist of appropriately doped silicon/germanium. It can be most advantageous if the strained crystalline lattice material 40 comprises appropriately doped silicon/germanium in a PFET construction, in that silicon/germanium can be a more effective carrier of holes with higher mobility than is silicon without germanium.
  • CMOS inverter constructions Exemplary inverter constructions are described with reference to FIGS. 11 and 12. The numbering utilized in FIGS. 11 and 12 will be identical to that used above in describing the transistor devices of FIGS. 8 and 10, where appropriate.
  • CMOS inverter construction 100 is illustrated.
  • the inverter comprises a first transistor device 102 , and a second transistor device 50 stacked over the first transistor device.
  • the upper transistor is an NFET device and the lower transistor is a PFET device; but it is to be understood that the order of the devices can be reversed in other aspects of the invention (not shown).
  • Transistor device 102 is shown supported by a substrate 104 comprising three discrete materials.
  • a first material of the substrate is a p-type doped semiconductive material mass 106 , such as, for example, p-type doped monocrystalline silicon.
  • the monocrystalline silicon can be, for example, in the form of a bulk silicon wafer.
  • the second portion of substrate 104 is an insulative material 108 formed over mass 106 .
  • Material 108 can comprise, for example, silicon dioxide.
  • the third portion of substrate 104 is a layer 110 of semiconductive material.
  • Such material can comprise, for example, silicon, or a combination of silicon and germanium.
  • Material 110 can correspond to a thin film of semiconductive material, and accordingly layers 110 and 108 can be considered to correspond to a semiconductor-on-insulator construction.
  • Semiconductive material 110 is doped with n-type dopant.
  • Transistor device 102 comprises a transistor gate 112 over semiconductive material 110 , and separated form semiconductive material 110 by a dielectric material 114 .
  • Gate 112 can comprise any suitable construction, and in particular aspects will comprise one or more of conductively-doped silicon, metal, and metal compounds (such as, for example, metal silicides).
  • Dielectric material 114 can comprise, for example, silicon dioxide.
  • Sidewall spacers 116 are formed along sidewalls of gate 112 , and can comprise, for example, one or both of silicon dioxide and silicon nitride.
  • Source/drain regions 118 extend into semiconductive material 110 . Accordingly, in the shown embodiment source/drain regions 118 can be considered to extend into a thin film of an SOI construction.
  • a channel region 115 is within n-type doped semiconductive material 110 , and between source/drain regions 118 .
  • An insulative material 120 is provided over device 102 , and over substrate 104 .
  • Material 120 can comprise any suitable material, including, for example, borophosphosilicate glass (BPSG) and/or silicon dioxide.
  • a construction 122 comprising an NFET device 50 (of the type described above with reference to FIG. 8) is formed over insulative material 120 . More specifically, construction 122 includes layers 16 , 26 and 40 , together with transistor gate 54 .
  • Layer 16 is preferably electrically conductive, and in the shown application is p-type doped.
  • Layer 16 can consist essentially of, or consist of, a silicon seed material together with an appropriate dopant. It is noted that in the discussion of FIGS. 2 - 6 it was indicated that material 16 could be oxidized during formation of crystalline materials thereover. In embodiments of the type shown in FIG. 11 it can be preferred that material 16 not be appreciably oxidized during the processing of FIGS. 2 - 6 . but instead remain almost entirely as a non-oxidized form of silicon.
  • layer 16 can be formed by epitaxial growth from a crystalline semiconductive material 144 (discussed below). Accordingly, several steps of the process described in FIGS. 2 - 6 for forming seed layer 16 can be replaced with an epitaxial growth of the seed layer.
  • the seed layer 16 can be doped with an appropriate dopant utilizing, for example, an implant of the dopant.
  • Layers 26 and 40 can correspond to a relaxed crystalline lattice material and a strained crystalline lattice material, respectively, as discussed previously with reference to FIGS. 2 - 8 .
  • the material 26 can comprise, consist essentially of, or consist of appropriately doped silicon/germanium; and the layer 40 can comprise, consist essentially of, or consist of appropriately doped silicon, or can comprise, consist essentially of, or consist of appropriately doped silicon/germanium.
  • Layers 16 , 26 and 40 can be considered to be crystalline layers supported over substrate 104 .
  • all of layers 16 , 26 and 40 are crystalline, and can be considered to together define a crystalline structure.
  • N-type doped source/drain regions 58 extend into layers 26 and 40 .
  • source/drain regions 58 of NFET device 50 are directly over and aligned with source/drain regions 118 of PFET device 102
  • gate 54 of NFET device 50 is directly over and aligned with gate 112 of PFET device 102 .
  • the inverter construction 100 of FIG. 11 can function as a basic CMOS of the type schematically represented with the diagram of FIG. 1.
  • transistor device 102 corresponds to PFET device 6 and transistor device 50 corresponds to NFET device 4 of the schematic illustration.
  • One of source/drain regions 58 of the NFET device is electrically connected with ground 130 (through an interconnect 129 shown in dashed line) and the other is electrically connected with an output 132 (through an interconnect 140 shown in dashed line).
  • the ground interconnect 129 also connects to the NFET body node 16 / 26 as shown.
  • Gate 54 of the NFET device is electrically connected with an input 134 , and is also electrically tied to gate 112 of the PFET device through an interconnect 136 (shown in dashed line).
  • One of source/drain regions 118 of device 102 is connected with V DD 138 (through an interconnect 137 shown in dashed line), and the other source/drain region 118 as well as the n-type body 110 of the PFET are electrically connected with a source/drain region 58 of device 50 through interconnect 140 .
  • Interconnect 136 is illustrated extending around layers 16 , 26 and 40 of construction 122 . Interconnect 136 does note physically connect layers 16 , 26 and 40 . Interconnect 136 connects the extensions of gates 112 and 54 in the non-active regions into or out of the page (the non-active regions are not shown in the cross-sectional view of FIG. 11). Such can be accomplished by conventional interconnect/via technology.
  • Interconnect 140 is shown schematically to connect the electrical nodes of the n-type body of the bottom PFET, one of the source/drain p+ nodes 118 of the bottom PFET, and one of the n+ nodes 40 / 58 of the source/drain of the top NFET. It is to be understood that the two p-type doped regions 142 / 144 resistively connect one of the source/drain nodes of the bottom PFET to the p-type body 16 / 26 / 56 of the top NFET.
  • Regions 142 and 144 can be considered to be separate portions of a p-type doped vertical layer (i.e., vertically extending layer), or can be considered to be separate vertical layers.
  • Portion 142 is shown to be more heavily doped than is portion 144 .
  • portion 142 the portion of the p-type doped interconnect which is nearest to source/drain region 118 (specifically, portion 142 ) is shown more heavily doped than is the portion away from the source/drain region 118 .
  • the difference in dopant concentration between the regions identified as being p+, p, and p ⁇ are typically as follows.
  • a p+ region has a dopant concentration of at least about 10 20 atoms/cm 3
  • a p region has a dopant concentration of from about 10 14 to about 10 18 atoms/cm 3
  • a p-region has a dopant concentration in the order of or less than 10 16 atoms/cm 3 . It is noted that regions identified as being n ⁇ , n and n+ will have dopant concentrations similar to those described above relative to the p ⁇ , p and p+ regions respectively, except, of course, the n regions will have an opposite-type conductivity enhancing dopant therein than do the p regions.
  • p+, p, and p ⁇ dopant levels are shown in the drawing only to illustrate differences in dopant concentration. It is noted that the term “p” is utilized herein to refer to both a dopant type and a relative dopant concentration. To aid in interpretation of this specification and the claims that follow, the term “p” is to be understood as referring only to dopant type, and not to a relative dopant concentration, except when it is explicitly stated that the term “p” refers to a relative dopant concentration. Accordingly, for purposes of interpreting this disclosure and the claims that follow, it is to be understood that the term “p-type doped” refers to a dopant type of a region and not a relative dopant level.
  • a p-type doped region can be doped to any of the p+, p, and p ⁇ dopant levels discussed above.
  • an n-type doped region can be doped to any of the n+, n, and n ⁇ dopant levels discussed above.
  • layer 16 comprises a p-type doped semiconductive material, such as, for example, p-type doped silicon. Also, it is noted that layer 16 is preferably either entirely one single crystal, or if layer 16 is polycrystalline, individual crystals are preferably as large as the preferred individual crystals of layers 26 and 40 . As discussed above, preferred single crystals layers of 26 and 40 are at least as large as an active region of transistor device 50 .
  • One or both of the p-type doped semiconductor materials 16 and 26 can be more heavily doped than one or both of the vertical layers 142 and 144 between layer 16 and source/drain region 118 ; or one or both of the materials 16 and 26 can be comparably doped to one or both of layers 142 and 144 of the vertically extending pillar.
  • the shown progression in dopant concentration from a heavily doped source/drain region 118 , to a less heavily doped portion 142 , to an even less heavily doped portion 144 can be accomplished by: (1) epitaxially growing the semiconductive material of vertical layers 142 and 144 over source/drain region 118 ; and (2) doping the semiconductive material by out-diffusion from source/drain region 118 as well as controlling impurity concentration into a silicon source during selective epitaxy.
  • the out-diffused dopant will form a gradient of dopant concentration within interconnect 142 / 144 , with the dopant concentration decreasing as a distance from region 118 increases.
  • interconnect 142 / 144 is shown sub-divided into two portions to represent the change in dopant concentration, it is to be understood that the dopant concentration gradient can correspond to more than two distinct portions, and in particular aspects can correspond to a substantially continuous and linear change in dopant concentration. Also, it is to be understood that other methods can be utilized to form an electrically conductive interconnect besides the method discussed above, and in such other methods the dopant concentration within layers 142 and 144 may not vary, or may vary such that an upper portion of the interconnecting vertical pillar comprising 142 / 144 is more heavily doped than a lower portion.
  • the p-type doped semiconductive material of portions 142 and 144 can comprise, consist essentially of, or consist of p-type doped single crystal silicon formed by selective epitaxy.
  • P-type doped portions 142 and 144 can comprise other conductively-doped semiconductor materials either alternatively to, or in addition to, silicon.
  • portions 142 and 144 can comprise, consist essentially of, or consist of p-type doped silicon/germanium.
  • semiconductive material 16 comprises a bottom surface 160 which extends substantially horizontally, and the vertical pillar 142 / 144 extends substantially perpendicularly to such bottom surface.
  • the shown pillar 142 / 144 is not only in electrical contact with source/drain region 118 , but also is in electrical contact with the n-doped body of the PFET by interconnect 140 .
  • the shown interconnect 140 is not only in electrical contact with p-type doped semiconductive layers 16 , 26 and 56 ; but also is in electrical contact with one of the source/drain regions of the top NFET.
  • Portion 144 of the p-type doped semiconductive layer contacts semiconductive material 16 at a location directly beneath source/drain region 58 .
  • vertical layer 144 is spaced from the heavily n-type doped source/drain region by an intervening p-type doped region corresponding to the p-type doped semiconductive material 16 .
  • the heavily doped n+ source/drain regions 40 and 58 can be formed into the p-body regions of layers 16 , 26 and 56 by selective ion implantation of n-type impurities using gate 54 as a self-aligned mask.
  • source/drain region 58 can extend into or through material 16 , and in particular aspects can extend to the interface with interconnect 144 .
  • FIG. 12 illustrates an alternative embodiment inverter relative to that described above with reference to FIG. 11. Many components of the FIG. 12 inverter are identical to those described above with reference FIG. 11. Identical numbering will be utilized in describing the embodiment of FIG. 12 relative to that used above in describing the embodiment of FIG. 11, where appropriate.
  • FIG. 12 illustrates an inverter structure 200 which is similar to the structure 100 of FIG. 11 in that it comprises an NFET device stacked over a PFET device.
  • the NFET device is labeled as a device 50 , and corresponds identically to the device 50 described above with reference to FIG. 11, as well as with reference to FIG. 8.
  • Construction 200 differs from construction 100 (FIG. 11) in the configuration of the PFET device. Specifically, the PFET device of construction 200 is labeled as 202 , and is supported by a block 204 of semiconductive material extending into a p-type doped semiconductor substrate 206 .
  • Substrate 206 can comprise, for example, bulk monocrystalline p-doped silicon.
  • Block 204 comprises a lower n-type doped region 208 which can comprise, consist essentially of, or consist of n-type doped silicon such as, for example, an n-type doped region formed as an ion-implanted well region over substrate 206 .
  • Block 204 also comprises an upper n-type doped region 210 which is of higher n-type impurity doping level than is region 208 , and in the shown construction is illustrated as being an n region.
  • Material 210 can comprise, consist essentially of, or consist of n-type doped silicon/germanium, such as, for example, a single crystal-silicon germanium material epitaxially grown over layer 208 .
  • Transistor device 202 comprises the gate 112 and source/drain regions 118 described previously with reference to FIG. 11. However, source/drain regions 118 are formed within the material 210 of block 204 in construction 200 , rather than being formed within the thin film 110 of semiconductive material described with reference to FIG. 11. Source/drain regions 118 of device 202 therefore can, in particular aspects, be considered to extend into the silicon/germanium material 210 associated with block 204 .
  • the material 210 is preferably a single crystal material, but it is to be understood that the material 210 can also be polycrystalline.
  • FIG. 13 illustrates generally, by way of example, but not by way of limitation, an embodiment of a computer system 400 according to an aspect of the present invention.
  • Computer system 400 includes a monitor 401 or other communication output device, a keyboard 402 or other communication input device, and a motherboard 404 .
  • Motherboard 404 can carry a microprocessor 406 or other data processing unit, and at least one memory device 408 .
  • Memory device 408 can comprise various aspects of the invention described above, including, for example, the DRAM unit cell described with reference to FIG. 8.
  • Memory device 408 can comprise an array of memory cells, and such array can be coupled with addressing circuitry for accessing individual memory cells in the array. Further, the memory cell array can be coupled to a read circuit for reading data from the memory cells.
  • the addressing and read circuitry can be utilized for conveying information between memory device 408 and processor 406 . Such is illustrated in the block diagram of the motherboard 404 shown in FIG. 14. In such block diagram, the addressing circuitry is illustrated as 410 and the read circuitry is illustrated as 412 .
  • memory device 408 can correspond to a memory module.
  • SIMMs single in-line memory modules
  • DIMMs dual in-line memory modules
  • the memory device can be incorporated into any of a variety of designs which provide different methods of reading from and writing to memory cells of the device.
  • One such method is the page mode operation.
  • Page mode operations in a DRAM are defined by the method of accessing a row of a memory cell arrays and randomly accessing different columns of the array. Data stored at the row and column intersection can be read and output while that column is accessed.
  • An alternate type of device is the extended data output (EDO) memory which allows data stored at a memory array address to be available as output after the addressed column has been closed. This memory can increase some communication speeds by allowing shorter access signals without reducing the time in which memory output data is available on a memory bus.
  • EDO extended data output
  • Other alternative types of devices include SDRAM, DDR SDRAM, SLDRAM, VRAM and Direct RDRAM, as well as others such as SRAM or Flash memories.
  • Inverters of, for example, the type described with reference to FIGS. 11 and 12, can be incorporated into the computer system 400 .
  • a signal source within the computer system can be arranged to provide a data signal.
  • the inverter can be coupled with the signal source, configured to invert the data signal, and to then output the inverted signal.
  • the inverter can thus be incorporated into logic circuitry associated with the computer system.

Abstract

The invention includes three-dimensional TFT based stacked CMOS inverters. Particular inverters can have a PFET device stacked over an NFET device. The PFET device can be a semiconductor-on-insulator thin film transistor construction, and can be formed over a conventional substrate (such as a monocrystalline silicon wafer) or a non-conventional substrate (such as one or more of glass, aluminum oxide, silicon dioxide, metal and plastic). The thin film of semiconductor material can comprise both silicon and germanium. Further, the thin film can contain two different layers. A first of the two layers can have silicon and germanium present in a relaxed crystalline lattice, and a second of the two layers can be a strained crystalline lattice of either silicon alone, or silicon in combination with germanium. The invention also includes computer systems utilizing such CMOS inverters.

Description

    TECHNICAL FIELD
  • The invention pertains to complementary metal oxide semiconductor (CMOS) inverter constructions, such as, for example, inverter constructions comprising semiconductor-on-insulator (SOI) thin film transistor devices. In exemplary aspects the invention pertains to computer systems utilizing CMOS inverter constructions. [0001]
  • BACKGROUND OF THE INVENTION
  • SOI technology differs from traditional bulk semiconductor technologies in that the active semiconductor material of SOI technologies is typically much thinner than that utilized in bulk technologies. The active semiconductor material of SOI technologies will typically be formed as a thin film over an insulating material (typically oxide), with exemplary thicknesses of the semiconductor film being less than or equal to 2000 Å. In contrast, bulk semiconductor material will typically have a thickness of at least about 200 microns. The thin semiconductor of SOI technology can allow higher performance and lower power consumption to be achieved in integrated circuits than can be achieved with similar circuits utilizing bulk materials. [0002]
  • An exemplary integrated circuit device that can be formed utilizing SOI technologies is a so-called thin film transistor (TFT), with the term “thin film” referring to the thin semiconductor film of the SOI construction. In particular aspects, the semiconductor material of the SOI construction can be silicon, and in such aspects the TFTs can be fabricated using recrystallized amorphous silicon or polycrystalline silicon. The silicon can be supported by an electrically insulative material (such as silicon dioxide), which in turn is supported by an appropriate substrate. Exemplary substrate materials include glass, bulk silicon and metal-oxides (such as, for example, Al[0003] 2O3). If the semiconductor material comprises silicon, the term SOI is occasionally utilized to refer to a silicon-on-insulator construction, rather than the more general concept of a semiconductor-on-insulator construction. However, it is to be understood that in the context of this disclosure the term SOI refers to semiconductor-on-insulator constructions. Accordingly, the semiconductor material of an SOI construction referred to in the context of this disclosure can comprise other semiconductive materials in addition to, or alternatively to, silicon; including, for example, germanium.
  • A problem associated with conventional TFT constructions is that grain boundaries and defects can limit carrier mobilities. Accordingly, carrier mobilities are frequently nearly an order of magnitude lower than they would be in bulk semiconductor devices. High voltage (and therefore high power consumption), and large areas are utilized for the TFTs, and the TFTs exhibit limited performance. TFTs thus have limited commercial application and currently are utilized primarily for large area electronics. [0004]
  • Various efforts have been made to improve carrier mobility of TFTs. Some improvement is obtained for devices in which silicon is the semiconductor material by utilizing a thermal anneal for grain growth following silicon ion implantation and hydrogen passivation of grain boundaries (see, for example, Yamauchi, N. et al., “Drastically Improved Performance in Poly-Si TFTs with Channel Dimensions Comparable to Grain Size”, IEDM Tech. Digest, 1989, pp. 353-356). Improvements have also been made in devices in which a combination of silicon and germanium is the semiconductor material by optimizing the germanium and hydrogen content of silicon/germanium films (see, for example, King, T. J. et al, “A Low-Temperature (<=550° C.) Silicon-Germanium MOS TFT Technology for Large-Area Electronics”, IEDM Tech. Digest, 1991, pp. 567-570). [0005]
  • Investigations have shown that nucleation, direction of solidification, and grain growth of silicon crystals can be controlled selectively and preferentially by excimer laser annealing, as well as by lateral scanning continuous wave laser irradiation/anneal for recrystallization (see, for example, Kuriyama, H. et al., “High Mobility Poly-Si TFT by a New Excimer Laser Annealing Method for Large Area Electronics”, IEDM Tech. Digest, 1991, pp. 563-566; Jeon, J. H. et al., “A New Poly-Si TFT with Selectively Doped Channel Fabricated by Novel Excimer Laser Annealing”, IEDM Tech. Digest, 2000, pp. 213-216; Kim, C. H. et al., “A New High -Performance Poly-Si TFT by Simple Excimer Laser Annealing on Selectively Floating a Si Layer”, IEDM Tech. Digest, 2001, pp. 753-756; Hara, A. et al, “Selective Single-Crystalline-Silicon Growth at the Pre-Defined Active Regions of TFTs on a Glass by a Scanning CW Layer Irradiation”, IEDM Tech. Digest, 2000, pp. 209-212; and Hara, A. et al., “High Performance Poly-Si TFTs on a Glass by a Stable Scanning CW Laser Lateral Crystallization”, IEDM Tech. Digest, 2001, pp. 747-750). Such techniques have allowed relatively defect-free large crystals to be grown, with resulting TFTs shown to exhibit carrier mobility over 300 cm[0006] 2/V-second.
  • Another technique which has shown promise for improving carrier mobility is metal-induced lateral recrystallization (MILC), which can be utilized in conjunction with an appropriate high temperature anneal (see, for example, Jagar, S. et al., “Single Grain TFT with SOI CMOS Performance Formed by Metal-Induced-Lateral-Crystallization”, IEDM Tech. Digest, 1999, p. 293-296; and Gu, J. et al., “High Performance Sub-100 nm Si TFT by Pattern-Controlled Crystallization of Thin Channel Layer and High Temperature Annealing”, DRC Conference Digest, 2002, pp. 49-50). A suitable post-recrystallization anneal for improving the film quality within silicon recrystallized by MILC is accomplished by exposing recrystallized material to a temperature of from about 850° C. to about 900° C. under an inert ambient (with a suitable ambient comprising, for example, N[0007] 2). MILC can allow nearly single crystal silicon grains to be formed in predefined amorphous-silicon islands for device channel regions. Nickel-induced-lateral-recrystallization can allow device properties to approach those of single crystal silicon.
  • The carrier mobility of a transistor channel region can be significantly enhanced if the channel region is made of a semiconductor material having a strained crystalline lattice (such as, for example, a silicon/germanium material having a strained lattice, or a silicon material having a strained lattice) formed over a semiconductor material having a relaxed lattice (such as, for example, a silicon/germanium material having a relaxed crystalline lattice). (See, for example, Rim, K. et al., “Strained Si NMOSFETs for High Performance CMOS Technology”, VLSI Tech. Digest, 2001, p. 59-60; Cheng, Z. et al., “SiGe-On-Insulator (SGOI) Substrate Preparation and MOSFET Fabrication for Electron Mobility Evaluation” 2001 IEEE SOI Conference Digest, October 2001., pp. 13-14; Huang, L. J. et al., “Carrier Mobility Enhancement in Strained Si-on-Insulator Fabricated by Wafer Bonding”, VLSI Tech. Digest, 2001, pp. 57-58; and Mizuno, T. et al., “High Performance CMOS Operation of Strained-SOI MOSFETs Using Thin Film SiGe-on-Insulator Substrate”, VLSI Tech. Digest, 2002, p.106-107.) [0008]
  • The terms “relaxed crystalline lattice” and “strained crystalline lattice” are utilized to refer to crystalline lattices which are within a defined lattice configuration for the semiconductor material, or perturbed from the defined lattice configuration, respectively. In applications in which the relaxed lattice material comprises silicon/germanium having a germanium concentration of from 10% to 60%, mobility enhancements of 110% for electrons and 60-80% for holes can be accomplished by utilizing a strained lattice material in combination with the relaxed lattice material (see for example, Rim, K. et al., “Characteristics and Device Design of Sub-100 nm Strained SiN and PMOSFETs”, VLSI Tech. Digest, 2002, 00. 98-99; and Huang, L. J. et al., “Carrier Mobility Enhancement in Strained Si-on-Insulator Fabricated by Wafer Bonding”, VLSI Tech. Digest, 2001, pp. 57-58). [0009]
  • Performance enhancements of standard field effect transistor devices are becoming limited with progressive lithographic scaling in conventional applications. Accordingly, strained-lattice-channeled-field effect transistors on relaxed silicon/germanium offers an opportunity to enhance device performance beyond that achieved through conventional lithographic scaling. IBM recently announced the world's fastest communications chip following the approach of utilizing a strained crystalline lattice over a relaxed crystalline lattice (see, for example, “IBM Builds World's Fastest Communications Microchip”, Reuters U.S. Company News, Feb. 25, 2002; and Markoff, J., “IBM Circuits are Now Faster and Reduce Use of Power”, The New York Times, Feb. 25, 2002). [0010]
  • Although various techniques have been developed for substantially controlling nucleation and grain growth processes of semiconductor materials, grain orientation control is lacking. Further, the post-anneal treatment utilized in conjunction with MILC can be unsuitable in applications in which a low thermal budget is desired. Among the advantages of the invention described below is that such can allow substantial control of crystal grain orientation within a semiconductor material, while lowering thermal budget requirements relative to conventional methods. Additionally, the quality of the grown crystal formed from a semiconductor material can be improved relative to that of conventional methods. [0011]
  • Field effect transistor devices can be utilized in logic circuitry. For instance, field effect transistor devices can be incorporated into CMOS inverters. FIG. 1 shows a schematic diagram of a [0012] basic CMOS inverter 2. The inverter utilizes an NFET 4 and a PFET 6 to invert an input signal (I) into an output signal (O). In other words, when the input is at a logic 1 level, the output will be at a logic 0 level; and when the input is at a logic 0 level, the output will be at a logic 1 level. The inverter is shown comprising a connection 5 between a source/drain of the NFET 4 and a semiconductor body of the NFET, and also a connection 7 between a source/drain of the PFET and a semiconductor body of the PFET.
  • Inverters are a common component of semiconductor circuitry. A continuing goal in fabrication of semiconductor circuitry is to increase a density of the circuitry. Accordingly, there is a continuing goal to reduce the footprint associated with inverter constructions, while maintaining desired performance characteristics of the inverter constructions. [0013]
  • SUMMARY OF THE INVENTION
  • In one aspect, the invention encompasses a CMOS inverter which includes a pair of stacked transistor devices. At least one of the stacked devices is an SOI device. The semiconductor material of the SOI device can include, for example, silicon and germanium. The device can be formed over a conventional substrate (such as a monocrystalline silicon wafer) or a non-conventional substrate (such as one or more of glass, aluminum oxide, silicon dioxide, metal and plastic). In particular constructions, the semiconductor material comprises a first layer having a relaxed crystalline lattice of silicon and germanium, and also comprises a second layer having a strained crystalline lattice of silicon and germanium. The second layer is between the first layer and a transistor gate of the transistor device.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings. [0015]
  • FIG. 1 is a schematic diagram of a prior art inverter. [0016]
  • FIG. 2 is a diagrammatic, cross-sectional view of a fragment of a semiconductor construction shown at a preliminary stage of an exemplary process of the present invention [0017]
  • FIG. 3 is a view of the FIG. 2 wafer shown at a processing stage subsequent to that of FIG. 2. [0018]
  • FIG. 4 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 3. [0019]
  • FIG. 5 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 4. [0020]
  • FIG. 6 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 5. [0021]
  • FIG. 7 is a view of the FIG. 2 fragment shown at a processing stage subsequent to that of FIG. 6. [0022]
  • FIG. 8 is an expanded region of the FIG. 7 fragment shown at a processing stage subsequent to that of FIG. 7 in accordance with an exemplary embodiment of the present invention. [0023]
  • FIG. 9 is a view of the FIG. 8 fragment shown at a processing stage subsequent to that of FIG. 8. [0024]
  • FIG. 10 is a view of an expanded region of FIG. 7 shown at a processing stage subsequent to that of FIG. 7 in accordance with an alternative embodiment relative to that of FIG. 8. [0025]
  • FIG. 11 is a diagrammatic, cross-sectional view of a semiconductor fragment illustrating an exemplary CMOS inverter construction in accordance with an aspect of the present invention. [0026]
  • FIG. 12 is a diagrammatic, cross-sectional view of a semiconductor fragment illustrating another exemplary CMOS inverter construction. [0027]
  • FIG. 13 is a diagrammatic view of a computer illustrating an exemplary application of the present invention. [0028]
  • FIG. 14 is a block diagram showing particular features of the motherboard of the FIG. 13 computer. [0029]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • An exemplary method of forming an SOI construction in accordance with an aspect of the present invention is described with reference to FIGS. [0030] 2-7.
  • Referring initially to FIG. 2, a fragment of a [0031] semiconductor construction 10 is illustrated at a preliminary processing stage. To aid in interpretation of the claims that follow, the terms “semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • [0032] Construction 10 comprises a base (or substrate) 12 and an insulator layer 14 over the base. Base 12 can comprise, for example, one or more of glass, aluminum oxide, silicon dioxide, metal and plastic. Additionally, and/or alternatively, base 12 can comprise a semiconductor material, such as, for example, a silicon wafer.
  • [0033] Layer 14 comprises an electrically insulative material, and in particular applications can comprise, consist essentially of, or consist of silicon dioxide. In the shown construction, insulator layer 14 is in physical contact with base 12. It is to be understood, however, that there can be intervening materials and layers provided between base 12 and layer 14 in other aspects of the invention (not shown). For example, a chemically passive thermally stable material, such as silicon nitride (Si3N4), can be incorporated between base 12 and layer 14. Layer 14 can have a thickness of, for example, from about 200 nanometers to about 500 nanometers, and can be referred to as a buffer layer.
  • [0034] Layer 14 preferably has a planarized upper surface. The planarized upper surface can be formed by, for example, chemical-mechanical polishing.
  • A [0035] layer 16 of semiconductive material is provided over insulator layer 14. In the shown embodiment, semiconductive material layer 16 is formed in physical contact with insulator 14. Layer 16 can have a thickness of, for example, from about 5 nanometers to about 10 nanometers. Layer 16 can, for example, comprise, consist essentially of, or consist of either doped or undoped silicon. If layer 16 comprises, consists essentially of, or consists of doped silicon, the dopant concentration can be from about 1014 atoms/cm3 to about 1020 atoms/cm3. The dopant can be either n-type or p-type, or a combination of n-type and p-type.
  • The silicon utilized in [0036] layer 16 can be either polycrystalline silicon or amorphous silicon at the processing stage of FIG. 2. It can be advantageous to utilize amorphous silicon in that it is typically easier to deposit a uniform layer of amorphous silicon than to deposit a uniform layer of polycrystalline silicon.
  • Referring to FIG. 3, [0037] material 16 is patterned into a plurality if discrete islands (or blocks) 18. Such can be accomplished utilizing, for example, photoresist (not shown) and photolithographic processing, together with an appropriate etch of material 16.
  • A [0038] capping layer 20 is provided over islands 18 and over portions of layer 14 exposed between the islands. Layer 20 can, for example, comprise, consist essentially of, or consist of one or both of silicon dioxide and silicon. Layer 20 can also comprise multiple layers of silicon dioxide, stress-free silicon oxynitride, and silicon.
  • After formation of capping [0039] layer 20, small voids (nanovoids) and small crystals are formed in the islands 18. The formation of the voids and crystals can be accomplished by ion implanting helium 22 into material 16 and subsequently exposing material 16 to laser-emitted electromagnetic radiation. The helium can aid in formation of the nanovoids; and the nanovoids can in turn aid in crystallization and stress relief within the material 16 during exposure to the electromagnetic radiation. The helium can thus allow crystallization to occur at lower thermal budgets than can be achieved without the helium implantation. The helium is preferably implanted selectively into islands 18 and not into regions between the islands. The exposure of construction 10 to electromagnetic radiation can comprise subjecting the construction to scanned continuous wave laser irradiation while the construction is held at an appropriate elevated temperature (typically from about 300° C. to about 450° C.). The exposure to the electromagnetic radiation can complete formation of single crystal seeds within islands 18. The laser irradiation is scanned along an axis 24 in the exemplary shown embodiment.
  • The [0040] capping layer 20 discussed previously is optional, but can beneficially assist in retaining helium within islands 18 and/or preventing undesirable impurity contamination during the treatment with the laser irradiation.
  • Referring to FIG. 4, [0041] islands 18 are illustrated after voids have been formed therein. Additionally, small crystals (not shown) have also been formed within islands 18 as discussed above.
  • Capping layer [0042] 20 (FIG. 3) is removed, and subsequently a layer 26 of semiconductive material is formed over islands 18. Layer 26 can comprise, consist essentially of, or consist of silicon and germanium; or alternatively can comprise, consist essentially of, or consist of doped silicon/germanium. The germanium concentration within layer 26 can be, for example, from about 10 atomic percent to about 60 atomic percent. In the shown embodiment, layer 26 physically contacts islands 18, and also physically contacts insulator layer 14 in gaps between the islands. Layer 26 can be formed to a thickness of, for example, from about 50 nanometers to about 100 nanometers, and can be formed utilizing a suitable deposition method, such as, for example, plasma-assisted chemical vapor deposition.
  • A [0043] capping layer 28 is formed over semiconductor layer 26. Capping layer 28 can comprise, for example, silicon dioxide. Alternatively, capping layer 28 can comprise, for example, a combination of silicon dioxide and stress-free silicon oxynitride. Capping layer 28 can protect a surface of layer 26 from particles and contaminants that could otherwise fall on layer 26. If the processing of construction 10 occurs in an environment in which particle formation and/or incorporation of contaminants is unlikely (for example, an ultrahigh vacuum environment), layer 28 can be eliminated from the process. Layer 28 is utilized in the patterning of a metal (discussed below). If layer 28 is eliminated from the process, other methods besides those discussed specifically herein can be utilized for patterning the metal.
  • Referring to FIG. 5, [0044] openings 30 are extended through capping layer 28 and to an upper surface of semiconductive material 26. Openings 30 can be formed by, for example, photolithographic processing to pattern a layer of photoresist (not shown) into a mask, followed by a suitable etch of layer 28 and subsequent removal of the photoresist mask.
  • A [0045] layer 32 of metal-containing material is provided within openings 30, and in physical contact with an upper surface of semiconductive material 26. Layer 32 can have a thickness of, for example, less than or equal to about 10 nanometers. The material of layer 32 can comprise, consist essentially of, or consist of, for example, nickel. Layer 32 can be formed by, for example, physical vapor deposition. Layer 32 can be formed to be within openings 30 and not over material 28 (as is illustrated in FIG. 5) by utilizing deposition conditions which selectively form metal-containing layer 32 on a surface of material 26 relative to a surface of material 28. Alternatively, material 32 can be deposited by a substantially non-selective process to form the material 32 over the surface of material 28 as well as over the surface of material 26 within openings 30, and subsequently material 32 can be selectively removed from over surfaces of material 28 while remaining within openings 30. Such selective removal can be accomplished by, for example, chemical-mechanical polishing, and/or by forming a photoresist mask (not shown) over the material 32 within openings 30, while leaving other portions of material 32 exposed, and subsequently removing such other portions to leave only the segments of material 32 within openings 30. The photoresist mask can then be removed.
  • [0046] Oxygen 34 is ion implanted through layers 26 and 28, and into layer 16 to oxidize the material of layer 16. For instance, if layer 16 consists of silicon, the oxygen can convert the silicon to silicon dioxide. Such swells the material of layer 16, and accordingly fills the nanovoids that had been formed earlier. The oxygen preferably only partially oxidizes layer 16, with the oxidation being sufficient to fill all, or at least substantially all, of the nanovoids; but leaving at least some of the seed crystals within layer 16 that had been formed with the laser irradiation discussed previously. In some aspects, the oxidation can convert a lower portion of material 16 to silicon dioxide while leaving an upper portion of material 16 as non-oxidized silicon.
  • The oxygen ion utilized as [0047] implant 34 can comprise, for example, oxygen (O2) or ozone (O3). The oxygen ion implant can occur before or after formation of openings 30 and provision of metal-containing layer 32.
  • [0048] Construction 10 is exposed to continuous wave laser irradiation while being held at an appropriate temperature (which can be, for example, from about 300° C. to about 450° C.; or in particular applications can be greater than or equal to 550° C.) to cause transformation of at least some of layer 26 to a crystalline form. The exposure to the laser irradiation comprises exposing the material of construction 10 to laser-emitted electromagnetic radiation scanned along a shown axis 36. Preferably, the axis 36 along which the laser irradiation is scanned is the same axis that was utilized for scanning of laser irradiation in the processing stage of FIG. 3.
  • The crystallization of material [0049] 26 (which can also be referred to as a recrystallization of the material) is induced utilizing metal-containing layer 32, and accordingly corresponds to an application of MILC. The MILC transforms material 26 to a crystalline form and the seed layer provides the crystallographic orientation while undergoing partial oxidation.
  • The crystal orientation within crystallized [0050] layer 26 can originate from the crystals initially formed in islands 18. Accordingly, crystal orientations formed within layer 26 can be controlled through control of the crystal orientations formed within the semiconductive material 16 of islands 18.
  • The oxidation of part of [0051] material 16 which was described previously can occur simultaneously with the MILC arising from continuous wave laser irradiation. Partial oxidation of seed layer 16 facilitates: (1) Ge enrichment into Si—Ge layer 26 (which improves carrier mobility); (2) stress-relief of Si—Ge layer 26; and (3) enhancement of recrystallization of Si—Ge layer 26. The crystallization of material 26 can be followed by an anneal of material 26 at a temperature of, for example, about 900° C. for a time of about 30 minutes, or by an appropriate rapid thermal anneal, to further ensure relaxed, defect-free crystallization of material 26.
  • FIG. 6 shows [0052] construction 10 after the processing described above with reference to FIG. 5. Specifically, the voids that had been in material 16 are absent due to the oxidation of material 16. Also, semiconductive material 26 has been transformed into a crystalline material (illustrated diagrammatically by the cross-hatching of material 26 in FIG. 6). Crystalline material 26 can consist of a single large crystal, and accordingly can be monocrystalline. Alternatively, crystalline material 26 can be polycrystalline. If crystalline material 26 is polycrystalline, the crystals of the material will preferably be equal in size or larger than the blocks 18. In particular aspects, each crystal of the polycrystalline material can be about as large as one of the shown islands 18. Accordingly, the islands can be associated in a one-to-one correspondence with crystals of the polycrystalline material.
  • The shown [0053] metal layers 32 are effectively in a one-to-one relationship with islands 18, and such one-to-one correspondence of crystals to islands can occur during the MILC. Specifically, single crystals can be generated relative to each of islands 18 during the MILC process described with reference to FIG. 5. It is also noted, however, that although the metal layers 32 are shown in a one-to-one relationship with the islands in the cross-sectional views of FIGS. 5 and 6, the construction 10 comprising the shown fragment should be understood to extend three dimensionally. Accordingly, the islands 18 and metal layers 32 can extend in directions corresponding to locations into and out of the page relative to the shown cross-sectional view. There can be regions of the construction which are not shown where a metal layer overlaps with additional islands besides the shown islands.
  • Referring to FIG. 7, layers [0054] 28 and 32 (FIG. 6) are removed, and subsequently a layer 40 of crystalline semiconductive material is formed over layer 26. In typical applications, layer 26 will have a relaxed crystalline lattice and layer 40 will have a strained crystalline lattice. As discussed previously, layer 26 will typically comprise both silicon and germanium, with the germanium being present to a concentration of from about 10 atomic percent to about 60 atomic percent. Layer 40 can comprise, consist essentially of, or consist of either doped of undoped silicon; or alternatively can comprise, consist essentially of, or consist of either doped or undoped silicon/germanium. If layer 40 comprises silicon/germanium, the germanium content can be from about 10 atomic percent to about 60 atomic percent.
  • [0055] Strained lattice layer 40 can be formed by utilizing methods similar to those described in, for example, Huang, L. J. et al., “Carrier Mobility Enhancement in Strained Si-on-Insulator Fabricated by Wafer Bonding”, VLSI Tech. Digest, 2001, pp. 57-58; and Cheng, Z. et al., “SiGe-On-Insulator (SGOI) Substrate Preparation and MOSFET Fabrication for Electron Mobility Evaluation” 2001 IEEE SOI Conference Digest, October 2001, pp.13-14.
  • [0056] Strained lattice layer 40 can be large polycrystalline or monocrystalline. If strained lattice layer 40 is polycrystalline, the crystals of layer 40 can be large and in a one-to-one relationship with the large crystals of a polycrystalline relaxed crystalline layer 26. Strained lattice layer 40 is preferably monocrystalline over the individual blocks 18.
  • The strained crystalline lattice of [0057] layer 40 can improve mobility of carriers relative to the material 26 having a relaxed crystalline lattice. However, it is to be understood that layer 40 is optional in various aspects of the invention.
  • Each of [0058] islands 18 can be considered to be associated with a separate active region 42, 44 and 46. The active regions can be separated from one another by insulative material subsequently formed through layers 26 and 40 (not shown). For instance, a trenched isolation region can be formed through layers 26 and 40 by initially forming a trench extending through layers 26 and 40 to insulative material 14, and subsequently filling the trench with an appropriate insulative material such as, for example, silicon dioxide.
  • As discussed previously, [0059] crystalline material 26 can be a single crystal extending across an entirety of the construction 10 comprising the shown fragment, and accordingly extending across all of the shown active regions. Alternatively, crystalline material 26 can be polycrystalline. If crystalline material 26 is polycrystalline, the single crystals of the polycrystalline material will preferably be large enough so that only one single crystal extends across a given active region. In other words, active region 42 will preferably comprise a single crystal of material 26, active region 44 will comprise a single crystal of the material, and active region 46 will comprise a single crystal of the material, with the single crystals being separate and discrete relative to one another.
  • FIG. 8 shows an expanded view of [0060] active region 44 at a processing stage subsequent to that of FIG. 7, and specifically shows a transistor device 50 associated with active region 44 and supported by crystalline material 26.
  • [0061] Transistor device 50 comprises a dielectric material 52 formed over strained lattice 40, and a gate 54 formed over dielectric material 52. Dielectric material 52 typically comprises silicon dioxide, and gate 54 typically comprises a stack including an appropriate conductive material, such as, for example, conductively-doped silicon and/or metal.
  • A [0062] channel region 56 is beneath gate 54, and in the shown construction extends across strained crystalline lattice material 40. The channel region may also extend into relaxed crystalline lattice material 26 (as shown). Channel region 56 is doped with a p-type dopant.
  • [0063] Transistor construction 50 additionally comprises source/drain regions 58 which are separated from one another by channel region 56, and which are doped with n-type dopant to an n+ concentration (typically, a concentration of at least 1021 atoms/cm3). In the shown construction, source/drain regions 58 extend across strained lattice layer 40 and into relaxed lattice material 26. Although source/drain regions 58 are shown extending only partially through relaxed lattice layer 26, it is to be understood that the invention encompasses other embodiments (not shown) in which the source/drain regions extend all the way through relaxed material 26 and to material 16.
  • [0064] Channel region 56 and source/drain regions 58 can be formed by implanting the appropriate dopants into crystalline materials 26 and 40. The dopants can be activated by rapid thermal activation (RTA), which can aid in keeping the thermal budget low for fabrication of field effect transistor 50.
  • An active region of [0065] transistor device 50 extends across source/drain regions 58 and channel region 56. Preferably the portion of the active region within crystalline material 26 is associated with only one single crystal of material 26. Such can be accomplished by having material 26 be entirely monocrystalline. Alternatively, material 26 can be polycrystalline and comprise an individual single grain which accommodates the entire portion of the active region that is within material 26. The portion of strained lattice material 40 that is encompassed by the active region is preferably a single crystal, and can, in particular aspects, be considered an extension of the single crystal of the relaxed lattice material 26 of the active region.
  • [0066] Crystalline materials 40 and 26 can, together with any crystalline structures remaining in material 16, have a total thickness of less than or equal to about 2000 Å. Accordingly the crystalline material can correspond to a thin film formed over an insulative material. The insulative material can be considered to be insulative layer 14 alone, or a combination of insulative layer 14 and oxidized portions of material 16.
  • The [0067] transistor structure 50 of FIG. 8 corresponds to an n-type field effect transistor (NFET), and in such construction it can be advantageous to have strained crystalline material 40 consist of a strained silicon material having appropriate dopants therein. The strained silicon material can improve mobility of electrons through channel region 56, which can improve performance of the NFET device relative to a device lacking the strained silicon lattice. Although it can be preferred that strained lattice material 40 comprise silicon in an NFET device, it is to be understood that the strained lattice can also comprise other semiconductive materials. A strained silicon lattice can be formed by various methods. For instance, strained silicon could be developed by various means and lattice 40 could be created by lattice mismatch with other materials or by geometric conformal lattice straining on another substrate (mechanical stress).
  • As mentioned above, [0068] strained lattice 40 can comprise other materials alternatively to, or additionally to, silicon. The strained lattice can, for example, comprise a combination of silicon and germanium. There can be advantages to utilizing the strained crystalline lattice comprising silicon and germanium relative to structures lacking any strained lattice. However, it is generally most preferable if the strained lattice consists of silicon alone (or doped silicon), rather than a combination of silicon and germanium for an NFET device.
  • A pair of [0069] sidewall spacers 60 are shown formed along sidewalls of gate 54, and an insulative mass 62 is shown extending over gate 54 and material 40. Conductive interconnects 63 and 64 extend through the insulative mass 62 to electrically connect with source/drain regions 58. Interconnects 63 and 64 can be utilized for electrically connecting transistor construction 50 with other circuitry external to transistor construction 50. Such other circuitry can include, for example, a bitline and a capacitor in applications in which construction 50 is incorporated into dynamic random access memory (DRAM).
  • FIG. 9 shows [0070] construction 10 at a processing stage subsequent to that of FIG. 8, and shows a capacitor structure 100 formed over and in electrical contact with conductive interconnect 64. The shown capacitor structure extends across gate 54 and interconnect 63.
  • [0071] Capacitor construction 100 comprises a first capacitor electrode 102, a second capacitor electrode 104, and a dielectric material 106 between capacitor electrodes 102 and 104. Capacitor electrodes 102 and 104 can comprise any appropriate conductive material, including, for example, conductively-doped silicon. In particular aspects, electrodes 102 and 104 will each comprise n-type doped silicon, such as, for example, polycrystalline silicon doped to a concentration of at least about 1021 atoms/cm3 with n-type dopant. In a particular aspect of the invention, electrode 102, conductive interconnect 64 and the source/drain region 58 electrically connected with interconnect 64 comprise, or consist of, n-type doped semiconductive material. Accordingly, n-type doped semiconductive material extends from the source/drain region, through the interconnect, and through the capacitor electrode.
  • [0072] Dielectric material 106 can comprise any suitable material, or combination of materials. Exemplary materials suitable for dielectric 106 are high dielectric constant materials including, for example, silicon nitride, aluminum oxide, TiO2, Ta2O5, ZrO2, etc.
  • The [0073] conductive interconnect 63 is in electrical connection with a bitline 108. Top capacitor electrode 104 is shown in electrical connection with an interconnect 110, which in turn connects with a reference voltage 112, which can, in particular aspects, be ground. The construction of FIG. 9 can be considered a DRAM cell, and such can be incorporated into a computer system as a memory device.
  • FIG. 10 shows [0074] construction 10 at a processing stage subsequent to that of FIG. 7 and alternative to that described previously with reference to FIG. 8. In referring to FIG. 10, similar numbering will be used as is used above in describing FIG. 8, where appropriate.
  • A [0075] transistor construction 70 is shown in FIG. 10, and such construction differs from the construction 50 described above with reference to FIG. 8 in that construction 70 is a p-type field effect transistor (PFET) rather than the NFET of FIG. 8. Transistor device 70 comprises an n-type doped channel region 72 and p+-doped source/drain regions 74. In other words, the channel region and source/drain regions of transistor device 70 are oppositely doped relative to the channel region and source/drain regions described above with reference to the NFET device 50 of FIG. 8.
  • The strained [0076] crystalline lattice material 40 of the PFET device 70 can consist of appropriately doped silicon, or consist of appropriately doped silicon/germanium. It can be most advantageous if the strained crystalline lattice material 40 comprises appropriately doped silicon/germanium in a PFET construction, in that silicon/germanium can be a more effective carrier of holes with higher mobility than is silicon without germanium.
  • The transistor devices discussed above ([0077] NFET device 50 of FIG. 8, and PFET device 70 of FIG. 10) can be utilized in, for example, CMOS inverter constructions. Exemplary inverter constructions are described with reference to FIGS. 11 and 12. The numbering utilized in FIGS. 11 and 12 will be identical to that used above in describing the transistor devices of FIGS. 8 and 10, where appropriate.
  • Referring to FIG. 11, a [0078] CMOS inverter construction 100 is illustrated. The inverter comprises a first transistor device 102, and a second transistor device 50 stacked over the first transistor device. In the shown construction, the upper transistor is an NFET device and the lower transistor is a PFET device; but it is to be understood that the order of the devices can be reversed in other aspects of the invention (not shown).
  • [0079] Transistor device 102 is shown supported by a substrate 104 comprising three discrete materials. A first material of the substrate is a p-type doped semiconductive material mass 106, such as, for example, p-type doped monocrystalline silicon. The monocrystalline silicon can be, for example, in the form of a bulk silicon wafer.
  • The second portion of [0080] substrate 104 is an insulative material 108 formed over mass 106. Material 108 can comprise, for example, silicon dioxide.
  • The third portion of [0081] substrate 104 is a layer 110 of semiconductive material. Such material can comprise, for example, silicon, or a combination of silicon and germanium. Material 110 can correspond to a thin film of semiconductive material, and accordingly layers 110 and 108 can be considered to correspond to a semiconductor-on-insulator construction. Semiconductive material 110 is doped with n-type dopant.
  • [0082] Transistor device 102 comprises a transistor gate 112 over semiconductive material 110, and separated form semiconductive material 110 by a dielectric material 114. Gate 112 can comprise any suitable construction, and in particular aspects will comprise one or more of conductively-doped silicon, metal, and metal compounds (such as, for example, metal silicides). Dielectric material 114 can comprise, for example, silicon dioxide.
  • [0083] Sidewall spacers 116 are formed along sidewalls of gate 112, and can comprise, for example, one or both of silicon dioxide and silicon nitride.
  • Source/[0084] drain regions 118 extend into semiconductive material 110. Accordingly, in the shown embodiment source/drain regions 118 can be considered to extend into a thin film of an SOI construction. A channel region 115 is within n-type doped semiconductive material 110, and between source/drain regions 118.
  • An [0085] insulative material 120 is provided over device 102, and over substrate 104. Material 120 can comprise any suitable material, including, for example, borophosphosilicate glass (BPSG) and/or silicon dioxide.
  • A [0086] construction 122 comprising an NFET device 50 (of the type described above with reference to FIG. 8) is formed over insulative material 120. More specifically, construction 122 includes layers 16, 26 and 40, together with transistor gate 54. Layer 16 is preferably electrically conductive, and in the shown application is p-type doped. Layer 16 can consist essentially of, or consist of, a silicon seed material together with an appropriate dopant. It is noted that in the discussion of FIGS. 2-6 it was indicated that material 16 could be oxidized during formation of crystalline materials thereover. In embodiments of the type shown in FIG. 11 it can be preferred that material 16 not be appreciably oxidized during the processing of FIGS. 2-6. but instead remain almost entirely as a non-oxidized form of silicon.
  • In particular aspects of the invention, [0087] layer 16 can be formed by epitaxial growth from a crystalline semiconductive material 144 (discussed below). Accordingly, several steps of the process described in FIGS. 2-6 for forming seed layer 16 can be replaced with an epitaxial growth of the seed layer. The seed layer 16 can be doped with an appropriate dopant utilizing, for example, an implant of the dopant.
  • Layers [0088] 26 and 40 can correspond to a relaxed crystalline lattice material and a strained crystalline lattice material, respectively, as discussed previously with reference to FIGS. 2-8. The material 26 can comprise, consist essentially of, or consist of appropriately doped silicon/germanium; and the layer 40 can comprise, consist essentially of, or consist of appropriately doped silicon, or can comprise, consist essentially of, or consist of appropriately doped silicon/germanium.
  • Layers [0089] 16, 26 and 40 can be considered to be crystalline layers supported over substrate 104. In particular aspects, all of layers 16, 26 and 40 are crystalline, and can be considered to together define a crystalline structure.
  • N-type doped source/[0090] drain regions 58 extend into layers 26 and 40. In the shown construction, source/drain regions 58 of NFET device 50 are directly over and aligned with source/drain regions 118 of PFET device 102, and gate 54 of NFET device 50 is directly over and aligned with gate 112 of PFET device 102.
  • The [0091] inverter construction 100 of FIG. 11 can function as a basic CMOS of the type schematically represented with the diagram of FIG. 1. Specifically, transistor device 102 corresponds to PFET device 6 and transistor device 50 corresponds to NFET device 4 of the schematic illustration. One of source/drain regions 58 of the NFET device is electrically connected with ground 130 (through an interconnect 129 shown in dashed line) and the other is electrically connected with an output 132 (through an interconnect 140 shown in dashed line). The ground interconnect 129 also connects to the NFET body node 16/26 as shown. Gate 54 of the NFET device is electrically connected with an input 134, and is also electrically tied to gate 112 of the PFET device through an interconnect 136 (shown in dashed line). One of source/drain regions 118 of device 102 is connected with VDD 138 (through an interconnect 137 shown in dashed line), and the other source/drain region 118 as well as the n-type body 110 of the PFET are electrically connected with a source/drain region 58 of device 50 through interconnect 140.
  • [0092] Interconnect 136 is illustrated extending around layers 16, 26 and 40 of construction 122. Interconnect 136 does note physically connect layers 16, 26 and 40. Interconnect 136 connects the extensions of gates 112 and 54 in the non-active regions into or out of the page (the non-active regions are not shown in the cross-sectional view of FIG. 11). Such can be accomplished by conventional interconnect/via technology.
  • [0093] Interconnect 140 is shown schematically to connect the electrical nodes of the n-type body of the bottom PFET, one of the source/drain p+ nodes 118 of the bottom PFET, and one of the n+ nodes 40/58 of the source/drain of the top NFET. It is to be understood that the two p-type doped regions 142/144 resistively connect one of the source/drain nodes of the bottom PFET to the p-type body 16/26/56 of the top NFET.
  • [0094] Regions 142 and 144 can be considered to be separate portions of a p-type doped vertical layer (i.e., vertically extending layer), or can be considered to be separate vertical layers. Portion 142 is shown to be more heavily doped than is portion 144. Accordingly, the portion of the p-type doped interconnect which is nearest to source/drain region 118 (specifically, portion 142) is shown more heavily doped than is the portion away from the source/drain region 118. The difference in dopant concentration between the regions identified as being p+, p, and p− are typically as follows. A p+ region has a dopant concentration of at least about 1020 atoms/cm3, a p region has a dopant concentration of from about 1014 to about 1018 atoms/cm3, and a p-region has a dopant concentration in the order of or less than 1016 atoms/cm3. It is noted that regions identified as being n−, n and n+ will have dopant concentrations similar to those described above relative to the p−, p and p+ regions respectively, except, of course, the n regions will have an opposite-type conductivity enhancing dopant therein than do the p regions.
  • The p+, p, and p− dopant levels are shown in the drawing only to illustrate differences in dopant concentration. It is noted that the term “p” is utilized herein to refer to both a dopant type and a relative dopant concentration. To aid in interpretation of this specification and the claims that follow, the term “p” is to be understood as referring only to dopant type, and not to a relative dopant concentration, except when it is explicitly stated that the term “p” refers to a relative dopant concentration. Accordingly, for purposes of interpreting this disclosure and the claims that follow, it is to be understood that the term “p-type doped” refers to a dopant type of a region and not a relative dopant level. Thus, a p-type doped region can be doped to any of the p+, p, and p− dopant levels discussed above. Similarly, an n-type doped region can be doped to any of the n+, n, and n− dopant levels discussed above. [0095]
  • In the shown aspect of the invention, [0096] layer 16 comprises a p-type doped semiconductive material, such as, for example, p-type doped silicon. Also, it is noted that layer 16 is preferably either entirely one single crystal, or if layer 16 is polycrystalline, individual crystals are preferably as large as the preferred individual crystals of layers 26 and 40. As discussed above, preferred single crystals layers of 26 and 40 are at least as large as an active region of transistor device 50. One or both of the p-type doped semiconductor materials 16 and 26 can be more heavily doped than one or both of the vertical layers 142 and 144 between layer 16 and source/drain region 118; or one or both of the materials 16 and 26 can be comparably doped to one or both of layers 142 and 144 of the vertically extending pillar.
  • The shown progression in dopant concentration from a heavily doped source/[0097] drain region 118, to a less heavily doped portion 142, to an even less heavily doped portion 144 can be accomplished by: (1) epitaxially growing the semiconductive material of vertical layers 142 and 144 over source/drain region 118; and (2) doping the semiconductive material by out-diffusion from source/drain region 118 as well as controlling impurity concentration into a silicon source during selective epitaxy. The out-diffused dopant will form a gradient of dopant concentration within interconnect 142/144, with the dopant concentration decreasing as a distance from region 118 increases. Although interconnect 142/144 is shown sub-divided into two portions to represent the change in dopant concentration, it is to be understood that the dopant concentration gradient can correspond to more than two distinct portions, and in particular aspects can correspond to a substantially continuous and linear change in dopant concentration. Also, it is to be understood that other methods can be utilized to form an electrically conductive interconnect besides the method discussed above, and in such other methods the dopant concentration within layers 142 and 144 may not vary, or may vary such that an upper portion of the interconnecting vertical pillar comprising 142/144 is more heavily doped than a lower portion.
  • The p-type doped semiconductive material of [0098] portions 142 and 144 can comprise, consist essentially of, or consist of p-type doped single crystal silicon formed by selective epitaxy. P-type doped portions 142 and 144 can comprise other conductively-doped semiconductor materials either alternatively to, or in addition to, silicon. For instance, portions 142 and 144 can comprise, consist essentially of, or consist of p-type doped silicon/germanium.
  • In the shown construction, [0099] semiconductive material 16 comprises a bottom surface 160 which extends substantially horizontally, and the vertical pillar 142/144 extends substantially perpendicularly to such bottom surface. The shown pillar 142/144 is not only in electrical contact with source/drain region 118, but also is in electrical contact with the n-doped body of the PFET by interconnect 140. Further, the shown interconnect 140 is not only in electrical contact with p-type doped semiconductive layers 16, 26 and 56; but also is in electrical contact with one of the source/drain regions of the top NFET.
  • [0100] Portion 144 of the p-type doped semiconductive layer contacts semiconductive material 16 at a location directly beneath source/drain region 58. In the shown aspect, vertical layer 144 is spaced from the heavily n-type doped source/drain region by an intervening p-type doped region corresponding to the p-type doped semiconductive material 16. It should be understood that the heavily doped n+ source/ drain regions 40 and 58 can be formed into the p-body regions of layers 16, 26 and 56 by selective ion implantation of n-type impurities using gate 54 as a self-aligned mask. It is to be understood also that source/drain region 58 can extend into or through material 16, and in particular aspects can extend to the interface with interconnect 144.
  • FIG. 12 illustrates an alternative embodiment inverter relative to that described above with reference to FIG. 11. Many components of the FIG. 12 inverter are identical to those described above with reference FIG. 11. Identical numbering will be utilized in describing the embodiment of FIG. 12 relative to that used above in describing the embodiment of FIG. 11, where appropriate. [0101]
  • FIG. 12 illustrates an [0102] inverter structure 200 which is similar to the structure 100 of FIG. 11 in that it comprises an NFET device stacked over a PFET device. The NFET device is labeled as a device 50, and corresponds identically to the device 50 described above with reference to FIG. 11, as well as with reference to FIG. 8.
  • [0103] Construction 200 differs from construction 100 (FIG. 11) in the configuration of the PFET device. Specifically, the PFET device of construction 200 is labeled as 202, and is supported by a block 204 of semiconductive material extending into a p-type doped semiconductor substrate 206.
  • [0104] Substrate 206 can comprise, for example, bulk monocrystalline p-doped silicon.
  • [0105] Block 204 comprises a lower n-type doped region 208 which can comprise, consist essentially of, or consist of n-type doped silicon such as, for example, an n-type doped region formed as an ion-implanted well region over substrate 206.
  • [0106] Block 204 also comprises an upper n-type doped region 210 which is of higher n-type impurity doping level than is region 208, and in the shown construction is illustrated as being an n region. Material 210 can comprise, consist essentially of, or consist of n-type doped silicon/germanium, such as, for example, a single crystal-silicon germanium material epitaxially grown over layer 208.
  • [0107] Transistor device 202 comprises the gate 112 and source/drain regions 118 described previously with reference to FIG. 11. However, source/drain regions 118 are formed within the material 210 of block 204 in construction 200, rather than being formed within the thin film 110 of semiconductive material described with reference to FIG. 11. Source/drain regions 118 of device 202 therefore can, in particular aspects, be considered to extend into the silicon/germanium material 210 associated with block 204.
  • The [0108] material 210 is preferably a single crystal material, but it is to be understood that the material 210 can also be polycrystalline.
  • FIG. 13 illustrates generally, by way of example, but not by way of limitation, an embodiment of a [0109] computer system 400 according to an aspect of the present invention. Computer system 400 includes a monitor 401 or other communication output device, a keyboard 402 or other communication input device, and a motherboard 404. Motherboard 404 can carry a microprocessor 406 or other data processing unit, and at least one memory device 408. Memory device 408 can comprise various aspects of the invention described above, including, for example, the DRAM unit cell described with reference to FIG. 8. Memory device 408 can comprise an array of memory cells, and such array can be coupled with addressing circuitry for accessing individual memory cells in the array. Further, the memory cell array can be coupled to a read circuit for reading data from the memory cells. The addressing and read circuitry can be utilized for conveying information between memory device 408 and processor 406. Such is illustrated in the block diagram of the motherboard 404 shown in FIG. 14. In such block diagram, the addressing circuitry is illustrated as 410 and the read circuitry is illustrated as 412.
  • In particular aspects of the invention, [0110] memory device 408 can correspond to a memory module. For example, single in-line memory modules (SIMMs) and dual in-line memory modules (DIMMs) may be used in the implementation which utilize the teachings of the present invention. The memory device can be incorporated into any of a variety of designs which provide different methods of reading from and writing to memory cells of the device. One such method is the page mode operation. Page mode operations in a DRAM are defined by the method of accessing a row of a memory cell arrays and randomly accessing different columns of the array. Data stored at the row and column intersection can be read and output while that column is accessed.
  • An alternate type of device is the extended data output (EDO) memory which allows data stored at a memory array address to be available as output after the addressed column has been closed. This memory can increase some communication speeds by allowing shorter access signals without reducing the time in which memory output data is available on a memory bus. Other alternative types of devices include SDRAM, DDR SDRAM, SLDRAM, VRAM and Direct RDRAM, as well as others such as SRAM or Flash memories. [0111]
  • Inverters of, for example, the type described with reference to FIGS. 11 and 12, can be incorporated into the [0112] computer system 400. Specifically, a signal source within the computer system can be arranged to provide a data signal. The inverter can be coupled with the signal source, configured to invert the data signal, and to then output the inverted signal. The inverter can thus be incorporated into logic circuitry associated with the computer system.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents. [0113]

Claims (88)

The invention claimed is:
1. A CMOS inverter comprising:
a first transistor device supported by a semiconductor substrate; and
a second transistor device over the first transistor device.
2. The inverter of claim 1 wherein the CMOS inverter comprises an SOI construction supported by a substrate.
3. The inverter of claim 2 wherein the substrate comprises a semiconductive material.
4. The inverter of claim 2 wherein the substrate comprises glass.
5. The inverter of claim 2 wherein the substrate comprises aluminum oxide.
6. The inverter of claim 2 wherein the substrate comprises silicon dioxide.
7. The inverter of claim 2 wherein the substrate comprises a metal.
8. The inverter of claim 2 wherein the substrate comprises a plastic.
9. The inverter of claim 1 wherein:
the first transistor device is a PFET device; and
the second transistor device is an NFET device.
10. The inverter of claim 1 wherein:
the first transistor device is an NFET device; and
the second transistor device is a PFET device.
11. The inverter of claim 1 wherein:
the first transistor device comprises a first gate;
the second transistor device comprises a second gate; and
the second gate is directly over the first gate.
12. The inverter of claim 1 wherein the first transistor device comprises source/drain regions extending into an SOI construction.
13. The inverter of claim 1 wherein the second transistor device comprises source/drain regions extending into an SOI construction.
14. The inverter of claim 1 wherein the first and second transistor devices comprise source/drain regions extending into SOI constructions.
15. The inverter of claim 1 wherein:
the first transistor device comprises a first gate and a pair of first source/drain regions proximate the first gate;
the second transistor device comprises a second gate and a pair of second source/drain regions proximate the second gate;
the second source/drain regions are directly over the first source/drain regions; and
the second gate is directly over the first gate.
16. A CMOS inverter comprising:
a first transistor device supported by a semiconductor substrate, the first transistor device comprising a first gate and a pair of first source/drain regions proximate the first gate, the first transistor device being a PFET device and the first source/drain regions accordingly being p-type doped regions;
a layer of semiconductive material over the first transistor device; and
a second transistor device supported by the layer of semiconductive material, the second transistor device comprising a second gate and a pair of second source/drain regions proximate the second gate, the second transistor device being an NFET device and the second source/drain regions accordingly being n-type doped regions; the second source/drain regions extending into the layer of semiconductive material.
17. The inverter of claim 16 wherein the second gate is directly over the first gate.
18. The inverter of claim 16 wherein the second source/drain regions are directly over the first source/drain regions.
19. The inverter of claim 16 wherein the semiconductor substrate comprises a material containing silicon and germanium, and wherein the first source/drain regions are p-type doped regions of the material containing silicon and germanium.
20. The inverter of claim 19 wherein the material containing silicon and germanium is n-type doped between the first source/drain regions.
21. The inverter of claim 16 further comprising a p-type doped vertically extending pillar in electrical contact with one of the first source/drain regions and also in electrical contact with the layer of semiconductive material.
22. The inverter of claim 16 further comprising a p-type doped vertically extending pillar in physical contact with one of the first source/drain regions and also in physical contact with the layer of semiconductive material.
23. The inverter of claim 22 wherein the layer of semiconductive material has a bottom surface extending substantially horizontally, and wherein the vertically extending pillar extends substantially perpendicular to said bottom surface.
24. The inverter of claim 22 wherein the second source/drain regions are directly over the first source/drain regions.
25. The inverter of claim 24 wherein the p-type doped vertically extending pillar physically contacts the layer of semiconductive material at a location directly under one of the second source/drain regions; and wherein the layer of semiconductive material is p-type doped at the location where the p-type doped vertically extending pillar physically contacts the layer.
26. A CMOS inverter comprising:
a p-typed doped single crystal silicon substrate;
a block comprising n-type doped semiconductive material extending into the substrate; at least a portion of the block comprising Si/Ge;
a first transistor device comprising a first gate and a pair of first source/drain regions proximate the first gate; the first gate being over the block; the pair of first source/drain regions extending into the Si/Ge; the first transistor device being a PFET device and the first source/drain regions being p-type doped regions of the Si/Ge of the block;
a layer comprising Si/Ge over the first transistor device; and
a second transistor device supported by the layer comprising Si/Ge, the second transistor device comprising a second gate and a pair of second source/drain regions proximate the second gate, the second transistor device being an NFET device and the second source/drain regions being n-type doped regions of the Si/Ge of the layer.
27. The inverter of claim 26 wherein the Si/Ge of the block is a single crystal.
28. The inverter of claim 26 wherein only a portion of the block comprises Si/Ge; and wherein the block comprises a portion consisting of n-type doped silicon beneath the portion comprising Si/Ge.
29. The inverter of claim 28 the Si/Ge portion of the block is single crystal and wherein the portion consisting of n-type doped silicon is single crystal.
30. The inverter of claim 26 wherein the Si/Ge of the layer is a single crystal.
31. The inverter of claim 26 wherein the layer comprising Si/Ge is a first layer, and wherein the Si/Ge of the first layer is a single crystal having a relaxed crystalline lattice, the inverter further comprising a layer having a strained crystalline lattice between the first layer and the second gate, the layer having the strained crystalline lattice being a second layer.
32. The inverter of claim 31 wherein the second layer consists of silicon or doped silicon.
33. The inverter of claim 31 wherein the second layer consists of Si/Ge or doped Si/Ge.
34. The inverter of claim 26 wherein the Si/Ge of the layer is polycrystalline, wherein the second transistor device comprises an active area extending into the Si/Ge of the layer, the active area including the source/drain regions and a channel region between the source/drain regions; and wherein the portion of the active area within the Si/Ge of the layer is entirely contained within a single crystal of the polycrystalline Si/Ge.
35. A CMOS inverter comprising:
a substrate;
a crystalline layer comprising silicon and germanium supported by the substrate;
a first transistor device supported by the crystalline layer, the first transistor device comprising a first gate and a first active region proximate the first gate; the first active region including a first channel region and a pair of first source/drain regions; at least a portion of the first active region being within the crystalline layer; an entirety of the first active region within the crystalline layer being within a single crystal of the crystalline layer;
a second transistor device supported by the substrate, the second transistor device comprising a second gate and a second active region proximate the second gate; the second active region including a second channel region and a pair of second source/drain regions;
the first and second gates being electrically connected to one another, and being in electrical connection with an input to the inverter; and
one of the first source/drain regions being electrically connected with one of the second source/drain regions and being in electrical connection with an output from the inverter.
36. The inverter of claim 35 wherein the crystalline layer is separated from the substrate by an insulative material.
37. The inverter of claim 36 wherein the substrate comprises a semiconductive material.
38. The inverter of claim 36 wherein the substrate comprises glass.
39. The inverter of claim 36 wherein the substrate comprises aluminum oxide.
40. The inverter of claim 36 wherein the substrate comprises silicon dioxide.
41. The inverter of claim 36 wherein the substrate comprises a metal.
42. The inverter of claim 36 wherein the substrate comprises a plastic.
43. The inverter of claim 35 wherein the crystalline layer has a relaxed crystalline lattice, and further comprising a strained crystalline lattice layer between the crystalline layer and the first transistor device gate.
44. The inverter of claim 43 wherein the strained crystalline lattice layer includes silicon.
45. The inverter of claim 44 wherein the first transistor device is an NFET device.
46. The inverter of claim 44 wherein the first transistor device is a PFET device.
47. The inverter of claim 43 wherein the strained crystalline lattice layer includes silicon and germanium.
48. The inverter of claim 47 wherein the transistor device is a PFET device.
49. The inverter of claim 43 wherein the entirety of the relaxed crystalline lattice is a single crystal.
50. The inverter of claim 43 wherein the relaxed crystalline lattice is polycrystalline.
51. The inverter of claim 43 wherein the relaxed crystalline lattice consists of Si/Ge or doped Si/Ge.
52. The inverter of claim 51 wherein the relaxed crystalline lattice comprises from about 10 to about 60 atomic percent germanium.
53. A CMOS inverter comprising:
a p-type doped semiconductive structure over a substrate;
an NFET device supported by the p-type semiconductive structure, the NFET device comprising an n-type doped source/drain region;
a PFET device supported by the substrate, the PFET device comprising a p-type doped source/drain region;
the p-type doped source/drain region being electrically connected with the n-type doped source/drain region;
the electrical connection from the p-type doped source/drain region to the n-type source/drain region comprising a p-type doped pillar extending from the p-type doped source/drain region to the p-type doped semiconductive structure; the p-type doped pillar comprising at least two portions which are doped to different concentrations relative to one another; one of the portions being nearer the p-type doped source/drain region than the other, and being more heavily doped than said other portion.
54. The inverter of claim 53 wherein the p-type doped semiconductive structure comprises a crystalline layer containing p-type doped Si/Ge over a crystalline layer of p-type doped Si.
55. The inverter of claim 54 wherein the crystalline layer of p-type doped silicon is more heavily doped than said other portion of the p-type doped pillar.
56. The inverter of claim 53 wherein the p-type doped pillar consists of p-type doped silicon.
57. The inverter of claim 53 wherein the semiconductive structure includes Si/Ge.
58. The inverter of claim 57 wherein the Si/Ge comprises from about 10 to about 60 atomic percent germanium.
59. The inverter of claim 53 wherein the substrate comprises n-type doped monocrystalline silicon, and wherein the PFET device comprises a channel region within the n-type doped monocrystalline silicon.
60. The inverter of claim 53 wherein the substrate comprises n-type doped monocrystalline Si/Ge, and wherein the PFET device comprises a channel region within the n-type doped monocrystalline Si/Ge.
61. A CMOS inverter comprising:
a substrate;
a crystalline structure supported by the substrate and comprising a first p-type doped semiconductive material;
an NFET transistor device supported by the crystalline structure, the NFET transistor device comprising a first gate and a first active region proximate the first gate; the first active region including a first channel region and a pair of n-type doped source/drain regions; at least a portion of the first active region being within the crystalline structure;
a PFET transistor device supported by the substrate, the PFET transistor device comprising a second gate and a second active region proximate the second gate; the second active region including a second channel region and a pair of p-type doped source/drain regions;
the first and second gates being electrically connected to one another, and being in electrical connection with an input to the inverter;
one of the p-type doped source/drain regions being electrically connected with one of the n-type doped source/drain regions and being in electrical connection with an output from the inverter;
the electrical connection from said one of the p-type doped source/drain regions to said one of the n-type source/drain regions comprising a second p-type doped semiconductive material and a third p-type doped semiconductive material; the second p-type doped semiconductive material extending from said one of the p-type doped source/drain regions, and the third p-type doped semiconductive material extending from the second p-type doped semiconductive material to the first p-type doped semiconductive material; the p-type doped source drain regions being more heavily doped than the second p-type doped semiconductive material, and the second p-type doped semiconductive material being more heavily doped than the third p-type doped semiconductive material.
62. The inverter of claim 61 wherein the first p-type doped semiconductive material is more heavily doped than the third p-type doped semiconductive material.
63. The inverter of claim 61 wherein the crystalline structure includes a first layer comprising conductively-doped silicon; a second layer having a relaxed crystalline lattice, and a third layer having a strained crystalline lattice; the first p-type doped semiconductive material corresponding to any one of the first, second and third layers.
64. The inverter of claim 61 wherein the crystalline structure includes a first layer having a relaxed crystalline lattice, and a second layer having a strained crystalline lattice; the second layer being between the first layer and the first transistor device gate; the p-type doped semiconductive material corresponding to either one of the first and second layers.
65. The inverter of claim 64 wherein the first layer comprises silicon and germanium.
66. The inverter of claim 64 wherein the second layer includes silicon.
67. The inverter of claim 64 wherein the second layer includes silicon and germanium.
68. The inverter of claim 64 wherein the entirety of the first layer is a single crystal.
69. The inverter of claim 64 wherein the first layer is polycrystalline.
70. The inverter of claim 64 wherein the first layer consists of doped Si/Ge.
71. A computer system comprising:
a signal source arranged to provide a data signal; and
an inverter coupled with the signal source, configured to invert the data signal and arranged to output the inverted signal; the inverter including:
a crystalline layer comprising silicon and germanium;
a first transistor device supported by the crystalline layer, the first transistor device comprising a first gate and a first active region proximate the first gate; the first active region including a first channel region and a pair of first source/drain regions; at least a portion of the first active region being within the crystalline layer; an entirety of the first active region within the crystalline layer being within a single crystal of the crystalline layer;
a second transistor device, the second transistor device comprising a second gate and a pair of second source/drain regions;
the first and second gates being electrically connected to one another, and being in electrical connection with the signal source; and
one of the first source/drain regions being electrically connected with one of the second source/drain regions and being in electrical connection with the output.
72. The computer system of claim 71 wherein the crystalline layer has a relaxed crystalline lattice, and further comprising a strained crystalline lattice layer between the crystalline layer and the first transistor device gate.
73. The computer system of claim 72 wherein the strained crystalline lattice layer includes silicon.
74. The computer system of claim 73 wherein the first transistor device is an NFET device.
75. The computer system of claim 73 wherein the first transistor device is a PFET device.
76. The computer system of claim 72 wherein the strained crystalline lattice layer includes silicon and germanium.
77. The computer system of claim 76 wherein the transistor device is a PFET device.
78. The computer system of claim 72 wherein the entirety of the relaxed crystalline lattice is a single crystal.
79. The computer system of claim 72 wherein the relaxed crystalline lattice is polycrystalline.
80. The computer system of claim 72 wherein the relaxed crystalline lattice includes Si/Ge.
81. The computer system of claim 80 wherein the relaxed crystalline lattice comprises from about 10 to about 60 atomic percent germanium.
82. A method of forming a CMOS inverter, comprising:
providing a substrate;
forming a PFET device supported by the substrate, the PFET device comprising a gate and a p-type doped source/drain region proximate the gate;
epitaxially growing a semiconductive material pillar over the p-type source/drain region;
doping the pillar with p-type dopant;
epitaxially growing a silicon-containing seed layer over the pillar;
forming crystalline Si/Ge over the silicon-containing seed layer; and
forming an NFET device supported by the crystalline Si/Ge, the NFET device comprising a gate and an n-type doped source/drain region proximate the gate; and
the pillar being an electrical connection from the p-type doped source/drain region to the n-type source/drain region.
83. The method of claim 82 wherein the doping of the pillar comprises out-diffusion of dopant from the p-type doped source/drain region.
84. The method of claim 82 wherein the forming the crystalline Si/Ge comprises metal-induced lateral recrystallization.
85. The method of claim 82 wherein the crystalline Si/Ge comprises a relaxed crystalline lattice, and further comprising forming a semiconductive material having a strained crystalline lattice over the Si/Ge; the NFET device gate being formed over the material having the strained crystalline lattice and having a channel region extending into the material having the strained crystalline lattice.
86. The method of claim 85 wherein the material having the strained crystalline lattice includes silicon.
87. The method of claim 85 wherein the material having the strained crystalline lattice includes silicon and germanium.
88. The method of claim 85 further comprising doping the epitaxially grown seed layer with p-type dopant.
US10/760,087 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters Abandoned US20040145399A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/760,087 US20040145399A1 (en) 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/264,914 US6882010B2 (en) 2002-10-03 2002-10-03 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US10/760,087 US20040145399A1 (en) 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/264,914 Division US6882010B2 (en) 2002-10-03 2002-10-03 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters

Publications (1)

Publication Number Publication Date
US20040145399A1 true US20040145399A1 (en) 2004-07-29

Family

ID=32042357

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/264,914 Expired - Fee Related US6882010B2 (en) 2002-10-03 2002-10-03 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US10/759,972 Expired - Fee Related US6808971B2 (en) 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US10/760,087 Abandoned US20040145399A1 (en) 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/264,914 Expired - Fee Related US6882010B2 (en) 2002-10-03 2002-10-03 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US10/759,972 Expired - Fee Related US6808971B2 (en) 2002-10-03 2004-01-14 High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters

Country Status (1)

Country Link
US (3) US6882010B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060022282A1 (en) * 2004-07-30 2006-02-02 Frank Wirbeleit Self-biasing transistor structure and an SRAM cell having less than six transistors
US20070170471A1 (en) * 2006-01-23 2007-07-26 Commissariat A L'energie Atomique Three-dimensional integrated C-MOS circuit and method for producing same
US7880239B2 (en) 2008-01-31 2011-02-01 Globalfoundries Inc. Body controlled double channel transistor and circuits comprising the same
US8796118B2 (en) 2011-08-25 2014-08-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of producing a three-dimensional integrated circuit
US20140332809A1 (en) * 2011-03-04 2014-11-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20150115473A1 (en) * 2013-10-28 2015-04-30 Qualcomm Incorporated Heterogeneous channel material integration into wafer
US9831246B2 (en) 2008-12-11 2017-11-28 Micron Technology, Inc. JFET device structures and methods for fabricating the same

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6887753B2 (en) 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
US7758926B2 (en) * 2001-05-30 2010-07-20 Lg Display Co., Ltd. Amorphous silicon deposition for sequential lateral solidification
US7183611B2 (en) * 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
JP2005116623A (en) * 2003-10-03 2005-04-28 Nec Electronics Corp Semiconductor device and manufacturing method thereof
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100615085B1 (en) * 2004-01-12 2006-08-22 삼성전자주식회사 Node contact structures, semiconductor devices employing the same, static random access memory cells employing the same and methods of fabricating the same
DE102005022306B4 (en) * 2004-05-17 2009-12-31 Samsung Electronics Co., Ltd., Suwon Method for producing a semiconductor device with a Fin field effect transistor (FinFET)
US7315466B2 (en) * 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
US7348658B2 (en) * 2004-08-30 2008-03-25 International Business Machines Corporation Multilayer silicon over insulator device
KR100583972B1 (en) 2004-11-26 2006-05-26 삼성전자주식회사 Methods of fabricating a semiconductor device having a node contact structure of a cmos inverter
KR100702012B1 (en) * 2005-03-22 2007-03-30 삼성전자주식회사 Srams having buried layer patterns and methods of forming the same
US7547917B2 (en) * 2005-04-06 2009-06-16 International Business Machines Corporation Inverted multilayer semiconductor device assembly
KR100621633B1 (en) * 2005-04-18 2006-09-19 삼성전자주식회사 Method of forming a semiconductor device having stacked transisters and the device so formed
KR101186291B1 (en) 2005-05-24 2012-09-27 삼성전자주식회사 Germanium on insulator structure and semiconductor device using the same
KR100623175B1 (en) * 2005-05-30 2006-09-13 삼성전자주식회사 Stacked semiconductor device and method of manufacturing the same
KR100655664B1 (en) * 2005-07-08 2006-12-08 삼성전자주식회사 Stacked semiconductor device and method of manufacturing the same
US20090224330A1 (en) * 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
US7978561B2 (en) * 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US7348225B2 (en) * 2005-10-27 2008-03-25 International Business Machines Corporation Structure and method of fabricating FINFET with buried channel
US7485536B2 (en) * 2005-12-30 2009-02-03 Intel Corporation Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers
KR100714401B1 (en) * 2006-02-08 2007-05-04 삼성전자주식회사 Semiconductor device having stacked transistors and method of forming the same
US7670927B2 (en) 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US8013342B2 (en) 2007-11-14 2011-09-06 International Business Machines Corporation Double-sided integrated circuit chips
US7816735B2 (en) * 2006-10-13 2010-10-19 Samsung Electronics Co., Ltd. Integrated circuit devices including a transcription-preventing pattern
KR100766501B1 (en) * 2006-10-23 2007-10-15 삼성전자주식회사 Multi-layer novolatile memory device and method of fabricating the same
JP2009016418A (en) * 2007-07-02 2009-01-22 Nec Electronics Corp Semiconductor device
KR100955183B1 (en) * 2008-02-29 2010-04-29 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same
KR100944339B1 (en) * 2008-02-29 2010-03-02 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same
KR100971532B1 (en) * 2008-05-27 2010-07-21 삼성전자주식회사 A semiconductor device haivng a driving transistor
KR101872229B1 (en) * 2009-10-21 2018-06-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
KR102213595B1 (en) 2009-10-29 2021-02-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
KR101788521B1 (en) 2009-10-30 2017-10-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
CN106057819B (en) 2009-10-30 2019-03-15 株式会社半导体能源研究所 Semiconductor device
KR101752348B1 (en) 2009-10-30 2017-06-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
WO2011055660A1 (en) * 2009-11-06 2011-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN102668063B (en) 2009-11-20 2015-02-18 株式会社半导体能源研究所 Semiconductor device
WO2011062058A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2011062067A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2011065208A1 (en) 2009-11-27 2011-06-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
CN102656690B (en) * 2009-12-25 2016-04-20 株式会社半导体能源研究所 Semiconductor device
US8138029B2 (en) * 2010-01-13 2012-03-20 International Business Machines Corporation Structure and method having asymmetrical junction or reverse halo profile for semiconductor on insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET)
US8780629B2 (en) 2010-01-15 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method thereof
US8415731B2 (en) 2010-01-20 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor storage device with integrated capacitor and having transistor overlapping sections
WO2012002186A1 (en) 2010-07-02 2012-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN103003934B (en) * 2010-07-16 2015-07-01 株式会社半导体能源研究所 Semiconductor device
CN103026416B (en) 2010-08-06 2016-04-27 株式会社半导体能源研究所 Semiconductor device
US8467231B2 (en) 2010-08-06 2013-06-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method thereof
US9343480B2 (en) 2010-08-16 2016-05-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN102024751B (en) * 2010-09-21 2012-10-31 上海大学 Novel manufacturing method of TFT (Thin Film Transistor) active matrix
US11482440B2 (en) * 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
CN102487087B (en) * 2010-12-01 2014-08-13 张家港丽恒光微电子科技有限公司 Thin-film transistor applied to three-dimensional on-chip integration system and manufacturing method of thin film transistor
JP2012146861A (en) * 2011-01-13 2012-08-02 Toshiba Corp Semiconductor memory device
US8981367B2 (en) 2011-12-01 2015-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP2015015350A (en) * 2013-07-04 2015-01-22 株式会社ジェイテクト Semiconductor device
JP6516978B2 (en) 2013-07-17 2019-05-22 株式会社半導体エネルギー研究所 Semiconductor device
TWI566328B (en) * 2013-07-29 2017-01-11 高效電源轉換公司 Gan transistors with polysilicon layers for creating additional components
JP6570817B2 (en) 2013-09-23 2019-09-04 株式会社半導体エネルギー研究所 Semiconductor device
JP2015084418A (en) 2013-09-23 2015-04-30 株式会社半導体エネルギー研究所 Semiconductor device
JP2016195212A (en) * 2015-04-01 2016-11-17 株式会社東芝 Semiconductor integrated circuit
JP6367167B2 (en) 2015-09-10 2018-08-01 東芝メモリ株式会社 Semiconductor device
US9773787B2 (en) 2015-11-03 2017-09-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, memory device, electronic device, or method for driving the semiconductor device
US9472558B1 (en) 2015-11-13 2016-10-18 Globalfoundries Inc. Semiconductor structures with stacked non-planar field effect transistors and methods of forming the structures
US9559013B1 (en) 2015-11-23 2017-01-31 International Business Machines Corporation Stacked nanowire semiconductor device
US9659960B1 (en) 2015-12-09 2017-05-23 International Business Machines Corporation Extremely thin silicon-on-insulator silicon germanium device without edge strain relaxation
US10256277B2 (en) * 2016-04-11 2019-04-09 Abl Ip Holding Llc Luminaire utilizing a transparent organic light emitting device display
CN106057829B (en) * 2016-08-16 2019-05-03 京东方科技集团股份有限公司 A kind of substrate and preparation method thereof, display panel and preparation method thereof
US10170186B1 (en) 2017-09-13 2019-01-01 International Business Machines Corporation High-density EEPROM arrays utilizing stacked field effect transistors
US10340290B2 (en) * 2017-09-15 2019-07-02 Globalfoundries Inc. Stacked SOI semiconductor devices with back bias mechanism
US20200211911A1 (en) * 2017-09-29 2020-07-02 Intel Corporation Spacer-patterned inverters based on thin-film transistors
US10283516B1 (en) 2018-06-27 2019-05-07 International Business Machines Corporation Stacked nanosheet field effect transistor floating-gate EEPROM cell and array
US11107817B2 (en) * 2019-03-11 2021-08-31 Micron Technology, Inc. Integrated assemblies comprising hydrogen diffused within two or more different semiconductor materials, and methods of forming integrated assemblies
US10896912B2 (en) 2019-03-20 2021-01-19 International Business Machines Corporation Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices
US20200335526A1 (en) * 2019-04-22 2020-10-22 Intel Corporation Integration of Si-based transistors with non-Si technologies by semiconductor regrowth over an insulator material
KR20230043603A (en) 2021-09-24 2023-03-31 한국전자통신연구원 SRAM device including oxide semiconductor

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692994A (en) * 1986-04-29 1987-09-15 Hitachi, Ltd. Process for manufacturing semiconductor devices containing microbridges
US4768076A (en) * 1984-09-14 1988-08-30 Hitachi, Ltd. Recrystallized CMOS with different crystal planes
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5324961A (en) * 1991-01-30 1994-06-28 Texas Instruments Incorporated Stacked capacitor SRAM cell
US5774008A (en) * 1993-04-01 1998-06-30 Yozan Inc Computational circuit
US6251751B1 (en) * 1997-10-16 2001-06-26 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6259118B1 (en) * 1996-11-07 2001-07-10 Advanced Micro Devices, Inc. Ultra high density NOR gate using a stacked transistor arrangement
US20010055838A1 (en) * 2000-04-28 2001-12-27 Matrix Semiconductor Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US20020058365A1 (en) * 2000-11-11 2002-05-16 Pt Plus Co. Ltd. Method for crystallizing silicon layer
US6429085B1 (en) * 1999-06-25 2002-08-06 Applied Micro Circuits Corporation Self-aligned non-selective thin-epi-base silicon germaniun (SiGe) heterojunction bipolar transistor BiCMOS process using silicon dioxide etchback
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6649980B2 (en) * 2000-12-11 2003-11-18 Sony Corporation Semiconductor device with MOS transistors sharing electrode
US6693044B1 (en) * 1998-01-12 2004-02-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6759677B1 (en) * 1998-03-05 2004-07-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing same
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4768076A (en) * 1984-09-14 1988-08-30 Hitachi, Ltd. Recrystallized CMOS with different crystal planes
US4692994A (en) * 1986-04-29 1987-09-15 Hitachi, Ltd. Process for manufacturing semiconductor devices containing microbridges
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5324961A (en) * 1991-01-30 1994-06-28 Texas Instruments Incorporated Stacked capacitor SRAM cell
US5774008A (en) * 1993-04-01 1998-06-30 Yozan Inc Computational circuit
US6259118B1 (en) * 1996-11-07 2001-07-10 Advanced Micro Devices, Inc. Ultra high density NOR gate using a stacked transistor arrangement
US6251751B1 (en) * 1997-10-16 2001-06-26 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6693044B1 (en) * 1998-01-12 2004-02-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6759677B1 (en) * 1998-03-05 2004-07-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing same
US6429085B1 (en) * 1999-06-25 2002-08-06 Applied Micro Circuits Corporation Self-aligned non-selective thin-epi-base silicon germaniun (SiGe) heterojunction bipolar transistor BiCMOS process using silicon dioxide etchback
US20010055838A1 (en) * 2000-04-28 2001-12-27 Matrix Semiconductor Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US20020058365A1 (en) * 2000-11-11 2002-05-16 Pt Plus Co. Ltd. Method for crystallizing silicon layer
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US6649980B2 (en) * 2000-12-11 2003-11-18 Sony Corporation Semiconductor device with MOS transistors sharing electrode
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060022282A1 (en) * 2004-07-30 2006-02-02 Frank Wirbeleit Self-biasing transistor structure and an SRAM cell having less than six transistors
US7442971B2 (en) * 2004-07-30 2008-10-28 Advanced Micro Devices, Inc. Self-biasing transistor structure and an SRAM cell having less than six transistors
US20090026521A1 (en) * 2004-07-30 2009-01-29 Frank Wirbeleit Self-biasing transistor structure and an sram cell having less than six transistors
US20070170471A1 (en) * 2006-01-23 2007-07-26 Commissariat A L'energie Atomique Three-dimensional integrated C-MOS circuit and method for producing same
US7763915B2 (en) 2006-01-23 2010-07-27 Commissariat A L'energie Atomique Three-dimensional integrated C-MOS circuit and method for producing same
US20110080772A1 (en) * 2008-01-31 2011-04-07 Globalfoundries Inc. Body Controlled Double Channel Transistor and Circuits Comprising the Same
US7880239B2 (en) 2008-01-31 2011-02-01 Globalfoundries Inc. Body controlled double channel transistor and circuits comprising the same
US8507953B2 (en) 2008-01-31 2013-08-13 Globalfoundries Inc. Body controlled double channel transistor and circuits comprising the same
US9831246B2 (en) 2008-12-11 2017-11-28 Micron Technology, Inc. JFET device structures and methods for fabricating the same
US20140332809A1 (en) * 2011-03-04 2014-11-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9905557B2 (en) * 2011-03-04 2018-02-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8796118B2 (en) 2011-08-25 2014-08-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of producing a three-dimensional integrated circuit
US20150115473A1 (en) * 2013-10-28 2015-04-30 Qualcomm Incorporated Heterogeneous channel material integration into wafer
US9257407B2 (en) * 2013-10-28 2016-02-09 Qualcomm Incorporated Heterogeneous channel material integration into wafer

Also Published As

Publication number Publication date
US6808971B2 (en) 2004-10-26
US6882010B2 (en) 2005-04-19
US20040065884A1 (en) 2004-04-08
US20040144979A1 (en) 2004-07-29

Similar Documents

Publication Publication Date Title
US6882010B2 (en) High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US6873015B2 (en) Semiconductor constructions comprising three-dimensional thin film transistor devices and resistors
US7268030B2 (en) Methods of forming semiconductor constructions
US7229865B2 (en) Methods of making semiconductor-on-insulator thin film transistor constructions
US7183611B2 (en) SRAM constructions, and electronic systems comprising SRAM constructions
US7351620B2 (en) Methods of forming semiconductor constructions
US7625803B2 (en) Memory devices, electronic systems, and methods of forming memory devices
US7361559B2 (en) Manufacturing method for a MOS transistor comprising layered relaxed and strained SiGe layers as a channel region
US20060157688A1 (en) Methods of forming semiconductor constructions and integrated circuits

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ROUND ROCK RESEARCH, LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:030055/0337

Effective date: 20130318