US20040171223A1 - Method of selective removal of SiGe alloys - Google Patents

Method of selective removal of SiGe alloys Download PDF

Info

Publication number
US20040171223A1
US20040171223A1 US10/797,231 US79723104A US2004171223A1 US 20040171223 A1 US20040171223 A1 US 20040171223A1 US 79723104 A US79723104 A US 79723104A US 2004171223 A1 US2004171223 A1 US 2004171223A1
Authority
US
United States
Prior art keywords
layer
region
sige
channel device
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/797,231
Inventor
Richard Hammond
Matthew Currie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Amber Wave Systems Inc
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US10/797,231 priority Critical patent/US20040171223A1/en
Publication of US20040171223A1 publication Critical patent/US20040171223A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FITZGERALD, EUGENE A., HAMMOND, RICHARD, CURRIE, MATTHEW
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention generally relates to the fabrication of semiconductor substrates from devices, and in particular relates to the use of strained silicon (Si) heterostructure substrates in forming devices such as transistors, for example, for high-performance CMOS integrated circuit products.
  • Si strained silicon
  • MOSFETs metal oxide silicon semiconductor field-effect transistors
  • microelectronics systems such as radars, satellites, and cell phones, require low-power, high-speed, and high-density circuits with a high signal-to-noise ratio (i.e., low noise). These low power, high speed, and low noise requirements present a significant design challenge both at the circuit design and at the transistor design level.
  • Microelectronic devices that include both analog and digital circuits are used together to achieve these requirements. Analog devices are used in applications requiring high speed and low noise, whereas digital circuits are used in applications requiring high density and low power.
  • Microelectronic devices that include both analog and digital circuits on the same substrate typically use traditional Si based MOSFET devices.
  • Analog MOSFET devices which run on analog signals, typically exhibit noise problems because noise is induced at high frequency when carriers scatter along the Si/SiO 2 interface of a traditional MOSFET device.
  • FETs field-effect transistors
  • bipolar transistors that do not have conduction along a Si/SiO 2 interface are used.
  • a conventional Si based buried channel FET device has a channel conduction layer that is buried within a highly doped silicon region. This buried channel device has low noise because the charge carriers in the conduction channel are spatially separated from the Si/SiO 2 interface.
  • U.S. Pat. No. 5,963,817 discloses a method of using local selective oxidation of bulk or strained SiGe for forming buried channel oxide regions involving steps of masking, oxidation (e.g., thermal oxidation), and oxide removal; and U.S. Pat. No. 5,442,205 discloses the formation of surface channel semiconductor heterostructure devices with strained silicon device layers. It has been found, however, that the process of oxidation affects certain strained semiconductors differently. For example, the different layers of a strained semiconductor heterostructure may oxidize or become doped sufficiently differently that device formation procedures are compromised. Moreover, with high thermal budget oxidation, the thin strained semiconductor channels may be destroyed by significant interdiffusion during the high temperature oxidation steps.
  • the invention provides a method of selectively removing SuGe alloy layers, thus exposing underlying semiconductor layers.
  • the invention also provides a method of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate.
  • the method includes the steps of providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.
  • FIGS. 1-9 show diagrammatic views of a heterostructure substrate during a method of providing buried and surface channel devices on the substrate in accordance with an embodiment of the invention
  • FIG. 10 shows the buried channel device and a surface channel device of FIG. 9 coupled to a circuit
  • FIG. 11 shows a diagrammatic graphical view of thermal oxidation time versus oxidation thickness for various semiconductor substrates.
  • the invention provides a simplified method of forming buried and surface channel heterostructure devices on the same substrate.
  • conventional Si based integrated buried and surface channel devices are typically manufactured using complex implantation procedures.
  • the starting substrate material defines the buried and surface channel device structures.
  • This starting material is a heterostructure where the the different materials in the heterostructure have different oxidation or removal properties. The difference in material properties allows for the selective removal of particular layers and this allows for the integration of varied device structures.
  • An exemplary embodiment of such a heterostructure substrate is a strained silicon substrate.
  • a strained silicon (Si) substrate is generally formed by providing a relaxed SiGe layer on bulk Si through either epitaxial deposition or wafer bonding, and then providing a Si layer on the relaxed SiGe layer. Because SiGe has a different lattice constant than Si, the Si layer becomes strained and results in enhanced mobilities (and hence improved device performance) compared with bulk Si. The percentage of Ge in the SiGe can have a dramatic effect on the characteristics of the strained Si layer.
  • the invention involves the selective removal of SiGe alloys to form buried channel strained Si FET devices and surface channel strained Si FET devices on the same substrate.
  • both device types e.g., digital and analog
  • a strained silicon surface channel device offers an enhanced drive current over a conventional Si based MOSFET due to its enhanced carrier mobilities.
  • the band offset of the strained silicon buried channel device offers low noise characteristics due to the spatial separation of the active charge carriers from both the SiO 2 interface and any remote impurity atoms introduced via ion implantation.
  • FIG. 1 shows a diagrammatic cross-sectional view of a substrate 10 , comprising a Si layer 12 , a relaxed SiGe layer 14 , a strained Si layer 16 , a second SiGe layer 18 , and a second strained Si layer 20 .
  • Each of the strained Si layers 16 and 18 may be between 50 ⁇ and 500 ⁇ .
  • the substrate 10 forms an examplary base structure for the present invention.
  • epitaxial growth techniques e.g., chemical vapor deposition
  • polishing techniques for example, chemical mechanical polishing
  • wafer bonding techniques which are known in the art
  • a variety of masking layers are then applied to the substrate of FIG. 1 as shown in FIG. 2.
  • an oxide layer 22 is applied to the exposed strained silicon layer 20 , followed by a nitride masking layer 24 .
  • a photoresist layer 26 is then applied to the nitride mask.
  • Oxide masking layer 22 and nitride masking layer 24 are typically formed using low-pressure chemical vapor deposition (LPCVD), and are approximately 100 ⁇ and 500-1000 ⁇ thick, respectively.
  • the photoresist layer 26 is typically a photosensitive polymer, such as a novolak-based photoresist, which is spun-applied.
  • a chrome mask 28 is then utilized to expose selected regions of the photoresist layer 26 with ultraviolet light. The ultraviolet light exposes the uncovered regions of the photoresist layer 26 . This is followed by a developing step to remove the exposed regions of the photoresist layer 26 .
  • the remaining regions of the photoresist masking layer 26 serve as a mask to etch the exposed regions of nitride masking region 24 and oxide masking region 22 .
  • the exposed regions of nitride masking layer 24 are removed by using a hot phosphoric acid, or CF 4 /O 2 reactive ion etch (RIE), and the exposed regions of oxide masking layer 22 are removed using a HF acid etch step.
  • RIE reactive ion etch
  • the photoresist masking region 26 is then removed using a plasma O 2 ash. Note that a portion of strained Si cap layer 20 may possibly be removed in the process of removing the exposed region of oxide masking layer 22 .
  • the nitride masking region 24 then serves as a mask to etch the strained Si cap layer 20 in, for example, CF 4 /O 2 RIE as shown in FIG. 4. Note that this step may also etch into a portion of second SiGe layer 18 .
  • the exposed portion of the second SiGe layer 18 is then selectively oxidized to expose the strained Si layer 16 leaving regions 32 of oxidized SiGe, for example, at or below approximately 850° C., and in some applications at or below approximately 700° C.
  • the selective removal requires consideration of oxidation rates for Si and SiGe at various Ge concentrations.
  • FIG. 11 shows that the selectivity of SiGe increases with an increase in concentration of Ge.
  • the x-axis is the oxidation duration in hours and the y-axis is the square of the oxide thickness in 10 5 nm 2 .
  • Line 1 represents the rate for a 36% Ge concentration in SiGe
  • line 2 represents a 28% Ge concentration in SiGe
  • line 3 represents a 0% Ge concentration (i.e., pure Si).
  • the oxidation rate increases for certain oxidation conditions.
  • An example of oxidation conditions for which an acceptable oxidation rate differential occurs is oxidation at 700° C. in a wet ambient.
  • a differential chemical oxidation rate may also be exploited during a wet chemical processing step.
  • a standard RCA SC-1 clean (NH 4 OH+H 2 O 2 +H 2 O) may be used to preferentially remove the second SiGe layer 18 over the strained silicon layer 16 . Again, this preferential chemical removal is due to the enhanced chemical oxidation rate of SiGe alloys compared to that of silicon.
  • a second nitride layer 30 is then formed using LPCVD (approximately 500-1000 ⁇ thick) over the entire surface of structure as shown in FIG. 5. Note that the oxidized SiGe regions 32 of the SiGe layer 18 may undercut and extend partially underneath strained Si cap layer 20 . Isolation trenches 34 , 36 and 38 may then be formed to isolate the various regions from one another as shown in FIG. 6. The devices may be isolated from one another as disclosed in U.S. Provisional Patent Application Ser. No. 60/296,976 filed Jun. 8, 2001, the disclosure of which is hereby incorporated by reference.
  • the second nitride layer 30 and the remaining region of the nitride masking layer 24 are then removed via plasma CF 4 /O 2 or hot phosphoric wet etch as shown in FIG. 7.
  • the oxide masking layer 22 and regions 32 of SiGe oxide are then wet etched using a buffered HF solution. This buffered HF solution etches oxide masking region 22 and SiGe oxide region 32 , but stops on strained Si layer 16 and strained Si layer 20 .
  • first isolation trenches 34 , 36 and 38 are then planarized via etching to leave a relatively planar surface in both buried channel device region A and surface channel device region B as shown in FIG. 8.
  • a judicious choice of thickness for oxide masking layer 22 and an appropriate wet chemical etchant results in the degree of planarity required.
  • insulator layers 40 and 42 are then applied to the substrate of FIG. 8 via thermal oxidation of the strained Si layers 16 and 20 .
  • the first SiO 2 layer 40 and second SiO 2 layer 42 are the gate dielectric layers upon which buried channel and surface channel MOSFET devices 44 and 46 may be formed as shown in FIG. 10.
  • a deposited gate dielectric e.g., a high-k gate dielectric
  • the buried channel device 44 and the surface channel device 46 each utilize the strained silicon layer 16 as the channel, and each may be coupled to a circuit as generally shown at 48 in FIG. 10. Note that in this example, the devices are fabricated having doped source/drain regions, silicide regions, spacers, and isolation regions.
  • strained Si/SiGe heterostructure any heterostructure that allows for the selective removal of the layers overlaying the channel layer will also work.
  • layers of SiGe, Ge or GaAs may be used, alternatively, a plurality of such layers may be used to optimize the transport characteristics.
  • selective removal of blanket SiGe alloy layers may also be employed during the fabrication of silicon-on-insulator (SOI) and strained silicon-on-insulator (SSOI) substrates.

Abstract

A method is disclosed of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate. In an embodiment, the method includes the steps of providing a structure including a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.

Description

  • The present application claims priority to U.S. Provisional Patent Application Ser. No. 60/298,153 filed Jun. 14, 2001.[0001]
  • BACKGROUND OF TH INVENTION
  • The present invention generally relates to the fabrication of semiconductor substrates from devices, and in particular relates to the use of strained silicon (Si) heterostructure substrates in forming devices such as transistors, for example, for high-performance CMOS integrated circuit products. [0002]
  • As microelectronic systems require faster operating speeds and increased computing power, the need exists for integrated circuits to provide a greater complexity of transistors in a smaller amount of circuit real estate. Such integrated circuits include, for example, microprocessors, ASICs, embedded controllers, and millions of transistors, such as metal oxide silicon semiconductor field-effect transistors (MOSFETs). [0003]
  • Certain microelectronics systems, such as radars, satellites, and cell phones, require low-power, high-speed, and high-density circuits with a high signal-to-noise ratio (i.e., low noise). These low power, high speed, and low noise requirements present a significant design challenge both at the circuit design and at the transistor design level. Microelectronic devices that include both analog and digital circuits are used together to achieve these requirements. Analog devices are used in applications requiring high speed and low noise, whereas digital circuits are used in applications requiring high density and low power. [0004]
  • Microelectronic devices that include both analog and digital circuits on the same substrate typically use traditional Si based MOSFET devices. Analog MOSFET devices, which run on analog signals, typically exhibit noise problems because noise is induced at high frequency when carriers scatter along the Si/SiO[0005] 2 interface of a traditional MOSFET device. Thus, for high-speed analog devices, field-effect transistors (FETs) are not used; rather, bipolar transistors that do not have conduction along a Si/SiO2 interface are used. Unfortunately, it is difficult and expensive to integrate both bipolar and MOSFET devices on a single substrate.
  • One way to reduce noise and to achieve devices that are integrated on the same substrate is through changes at the transistor design level by using surface channel devices along with buried channel devices. A conventional Si based buried channel FET device has a channel conduction layer that is buried within a highly doped silicon region. This buried channel device has low noise because the charge carriers in the conduction channel are spatially separated from the Si/SiO[0006] 2 interface.
  • While it is possible to build surface channel devices and buried channel devices on the same substrate, the manufacturing process requires complex and extensive process capabilities. For example, use of ion implantation to populate the buried channel requires counterdoping of the layers above the buried channel, and also requires extensive masking steps, adding to the cost and complexity of the overall manufacturing process. Furthermore, the excessive doping required to populate a buried conduction layer within a conventional silicon substrate places fundamental limitations on the performance of such a device. [0007]
  • Further, the use of strained semiconductor devices presents particular problems to the formation of surface channel devices and buried channel devices on the same substrate. For example, U.S. Pat. No. 5,963,817 discloses a method of using local selective oxidation of bulk or strained SiGe for forming buried channel oxide regions involving steps of masking, oxidation (e.g., thermal oxidation), and oxide removal; and U.S. Pat. No. 5,442,205 discloses the formation of surface channel semiconductor heterostructure devices with strained silicon device layers. It has been found, however, that the process of oxidation affects certain strained semiconductors differently. For example, the different layers of a strained semiconductor heterostructure may oxidize or become doped sufficiently differently that device formation procedures are compromised. Moreover, with high thermal budget oxidation, the thin strained semiconductor channels may be destroyed by significant interdiffusion during the high temperature oxidation steps. [0008]
  • There is a need, therefore, for a method of integrating surface channel and buried channel strained silicon devices on the same substrate [0009]
  • SUMMARY OF THE INVENTION
  • The invention provides a method of selectively removing SuGe alloy layers, thus exposing underlying semiconductor layers. The invention also provides a method of forming buried channel devices and surface channel devices on a heterostructure semiconductor substrate. In an embodiment, the method includes the steps of providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate, reacting said first layer to form a sacrificial layer, and removing said sacrificial layer to expose said second layer.[0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The following description may be further understood with reference to the accompanying drawing in which [0011]
  • FIGS. 1-9 show diagrammatic views of a heterostructure substrate during a method of providing buried and surface channel devices on the substrate in accordance with an embodiment of the invention; [0012]
  • FIG. 10 shows the buried channel device and a surface channel device of FIG. 9 coupled to a circuit; and [0013]
  • FIG. 11 shows a diagrammatic graphical view of thermal oxidation time versus oxidation thickness for various semiconductor substrates.[0014]
  • The drawings are shown for illustrative purposes and are not to scale. [0015]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The invention provides a simplified method of forming buried and surface channel heterostructure devices on the same substrate. As aforementioned, conventional Si based integrated buried and surface channel devices are typically manufactured using complex implantation procedures. In the present invention, the starting substrate material defines the buried and surface channel device structures. This starting material is a heterostructure where the the different materials in the heterostructure have different oxidation or removal properties. The difference in material properties allows for the selective removal of particular layers and this allows for the integration of varied device structures. [0016]
  • An exemplary embodiment of such a heterostructure substrate is a strained silicon substrate. A strained silicon (Si) substrate is generally formed by providing a relaxed SiGe layer on bulk Si through either epitaxial deposition or wafer bonding, and then providing a Si layer on the relaxed SiGe layer. Because SiGe has a different lattice constant than Si, the Si layer becomes strained and results in enhanced mobilities (and hence improved device performance) compared with bulk Si. The percentage of Ge in the SiGe can have a dramatic effect on the characteristics of the strained Si layer. [0017]
  • In an embodiment, the invention involves the selective removal of SiGe alloys to form buried channel strained Si FET devices and surface channel strained Si FET devices on the same substrate. Using this method, both device types (e.g., digital and analog) may be realized on a common substrate and both have distinct advantages over conventional silicon MOSFET technologies. For example, a strained silicon surface channel device offers an enhanced drive current over a conventional Si based MOSFET due to its enhanced carrier mobilities. Similarly, the band offset of the strained silicon buried channel device offers low noise characteristics due to the spatial separation of the active charge carriers from both the SiO[0018] 2 interface and any remote impurity atoms introduced via ion implantation.
  • FIG. 1 shows a diagrammatic cross-sectional view of a [0019] substrate 10, comprising a Si layer 12, a relaxed SiGe layer 14, a strained Si layer 16, a second SiGe layer 18, and a second strained Si layer 20. Each of the strained Si layers 16 and 18 may be between 50 Å and 500 Å. The substrate 10 forms an examplary base structure for the present invention. In developing this layered heterostructure substrate 10, epitaxial growth techniques (e.g., chemical vapor deposition) and polishing techniques (for example, chemical mechanical polishing) or wafer bonding techniques, which are known in the art, are applied. Methods of fabricating various strained silicon heterostructures are disclosed in U.S. patent application Ser. No. 09/906,551 filed Jul. 16, 2001 and U.S. patent application Ser. No. 09/928,126 filed Aug. 10, 2001, the disclosures of which are hereby incorporated by reference.
  • A variety of masking layers are then applied to the substrate of FIG. 1 as shown in FIG. 2. First, an [0020] oxide layer 22 is applied to the exposed strained silicon layer 20, followed by a nitride masking layer 24. A photoresist layer 26 is then applied to the nitride mask. Oxide masking layer 22 and nitride masking layer 24 are typically formed using low-pressure chemical vapor deposition (LPCVD), and are approximately 100 Å and 500-1000 Å thick, respectively. The photoresist layer 26 is typically a photosensitive polymer, such as a novolak-based photoresist, which is spun-applied. A chrome mask 28 is then utilized to expose selected regions of the photoresist layer 26 with ultraviolet light. The ultraviolet light exposes the uncovered regions of the photoresist layer 26. This is followed by a developing step to remove the exposed regions of the photoresist layer 26.
  • As shown in FIG. 3, after the exposed regions of the [0021] photoresist layer 26 are removed, the remaining regions of the photoresist masking layer 26 serve as a mask to etch the exposed regions of nitride masking region 24 and oxide masking region 22. The exposed regions of nitride masking layer 24 are removed by using a hot phosphoric acid, or CF4/O2 reactive ion etch (RIE), and the exposed regions of oxide masking layer 22 are removed using a HF acid etch step.
  • The [0022] photoresist masking region 26 is then removed using a plasma O2 ash. Note that a portion of strained Si cap layer 20 may possibly be removed in the process of removing the exposed region of oxide masking layer 22. The nitride masking region 24 then serves as a mask to etch the strained Si cap layer 20 in, for example, CF4/O2 RIE as shown in FIG. 4. Note that this step may also etch into a portion of second SiGe layer 18.
  • The exposed portion of the [0023] second SiGe layer 18 is then selectively oxidized to expose the strained Si layer 16 leaving regions 32 of oxidized SiGe, for example, at or below approximately 850° C., and in some applications at or below approximately 700° C. The selective removal requires consideration of oxidation rates for Si and SiGe at various Ge concentrations.
  • For example, FIG. 11 shows that the selectivity of SiGe increases with an increase in concentration of Ge. For a 470 nm SiGe layer, the x-axis is the oxidation duration in hours and the y-axis is the square of the oxide thickness in 10[0024] 5 nm2. Line 1 represents the rate for a 36% Ge concentration in SiGe, line 2 represents a 28% Ge concentration in SiGe, and line 3 represents a 0% Ge concentration (i.e., pure Si). As the Ge concentration in SiGe increases, the oxidation rate increases for certain oxidation conditions. An example of oxidation conditions for which an acceptable oxidation rate differential occurs is oxidation at 700° C. in a wet ambient. This indicates that oxidation of SiGe layer 18 will occur rapidly, and the oxidation step will slow down considerably as the oxidation front reaches the strained Si layer 16. Thus, the controlled selective removal of SiGe layer 18 takes advantage of this differential in oxidation rates. This differential may be further exploited by grading the second SiGe layer 18 such that the Ge concentration is higher at the intersection of second SiGe layer 18 and strained Si layer 16. This expedites the oxidation of second SiGe layer 18 at the intersection of second SiGe layer 18 and strained Si layer 16, thereby avoiding too much erosion of strained Si layer 16.
  • A differential chemical oxidation rate may also be exploited during a wet chemical processing step. For example, a standard RCA SC-1 clean (NH[0025] 4OH+H2O2+H2O) may be used to preferentially remove the second SiGe layer 18 over the strained silicon layer 16. Again, this preferential chemical removal is due to the enhanced chemical oxidation rate of SiGe alloys compared to that of silicon.
  • A [0026] second nitride layer 30 is then formed using LPCVD (approximately 500-1000 Å thick) over the entire surface of structure as shown in FIG. 5. Note that the oxidized SiGe regions 32 of the SiGe layer 18 may undercut and extend partially underneath strained Si cap layer 20. Isolation trenches 34, 36 and 38 may then be formed to isolate the various regions from one another as shown in FIG. 6. The devices may be isolated from one another as disclosed in U.S. Provisional Patent Application Ser. No. 60/296,976 filed Jun. 8, 2001, the disclosure of which is hereby incorporated by reference.
  • The [0027] second nitride layer 30 and the remaining region of the nitride masking layer 24 are then removed via plasma CF4/O2 or hot phosphoric wet etch as shown in FIG. 7. The oxide masking layer 22 and regions 32 of SiGe oxide are then wet etched using a buffered HF solution. This buffered HF solution etches oxide masking region 22 and SiGe oxide region 32, but stops on strained Si layer 16 and strained Si layer 20. In the same step, first isolation trenches 34, 36 and 38 are then planarized via etching to leave a relatively planar surface in both buried channel device region A and surface channel device region B as shown in FIG. 8. A judicious choice of thickness for oxide masking layer 22 and an appropriate wet chemical etchant results in the degree of planarity required.
  • As shown in FIG. 9, insulator layers [0028] 40 and 42 (of for example, SiO2) are then applied to the substrate of FIG. 8 via thermal oxidation of the strained Si layers 16 and 20. The first SiO2 layer 40 and second SiO2 layer 42 are the gate dielectric layers upon which buried channel and surface channel MOSFET devices 44 and 46 may be formed as shown in FIG. 10. In other embodiments, a deposited gate dielectric (e.g., a high-k gate dielectric) layer may be used instead of the oxide layers 40 and 42. The buried channel device 44 and the surface channel device 46 each utilize the strained silicon layer 16 as the channel, and each may be coupled to a circuit as generally shown at 48 in FIG. 10. Note that in this example, the devices are fabricated having doped source/drain regions, silicide regions, spacers, and isolation regions.
  • Although the invention has been shown in connection with a strained Si/SiGe heterostructure, those skilled in the art will appreciate that any heterostructure that allows for the selective removal of the layers overlaying the channel layer will also work. Additionally, instead of a strained Si channel layer, layers of SiGe, Ge or GaAs may be used, alternatively, a plurality of such layers may be used to optimize the transport characteristics. Furthermore, the selective removal of blanket SiGe alloy layers may also be employed during the fabrication of silicon-on-insulator (SOI) and strained silicon-on-insulator (SSOI) substrates. [0029]
  • Those skilled in the art will appreciate that numerous modifications and variations may be made to the above disclosed embodiments without departing from the spirit and scope of the invention.[0030]

Claims (27)

What is claimed is:
1. A method of forming a semiconductor substrate, comprising:
providing a structure comprising a first layer having a first oxidation rate disposed over a second layer having a second oxidation rate, wherein the first oxidation rate is greater than the second oxidation rate;
reacting said first layer to form a sacrificial layer; and
removing said sacrificial layer to expose said second layer.
2. The method as claimed in claim 1, wherein the second layer comprises a strained semiconductor.
3. The method as claimed in claim 1, wherein the second layer comprises Si.
4. The method as claimed in claim 1, wherein the first layer comprises Si or Ge.
5. The method as claimed in claim 1, wherein said semiconductor substrate further comprises a relaxed semiconductor layer disposed beneath said second layer.
6. The method as claimed in claim 5, wherein said relaxed semiconductor layer comprises Si or Ge.
7. The method as claimed in claim 1, wherein said semiconductor substrate further comprises an insulator layer disposed beneath said second layer.
8. The method as claimed in claim 7, wherein said insulator layer comprises silicon dioxide.
9. The method as claimed in claim 1, wherein said step of reacting said first layer to form a sacrificial layer comprises thermal oxidation.
10. The method as claimed in claim 9, wherein said thermal oxidation is performed at or below a temperature of approximately 850° C.
11. The method as claimed in claim 9, wherein said thermal oxidation is performed at a temperature at or below approximately 700° C.
12. The method as claimed in claim 1, wherein said step of reacting said first layer to form a sacrificial layer comprises chemical oxidation.
13. The method as claimed in claim 1, wherein said step of reacting said first layer to form a sacrificial layer is performed on a first region of said first layer and not on a second region of said first layer.
14. The method as claimed in claim 13, wherein said method further comprises forming a surface channel device in said first region.
15. The method as claimed in claim 13, wherein said method further comprises forming a buried channel device in said second region.
16. The method as claimed in claim 13, wherein said method further comprises:
forming a surface channel device in said first region; and
forming a buried channel device in said second region, wherein the channel of said surface channel device and said buried channel device comprises a second device layer.
17. The method as claimed in claim 16. wherein said second layer comprises Si and said first layer comprises SiGe.
18. The structure formed by the method of claim 1.
19. The structure formed by the method of claim 7.
20. The structure formed by the method of claim 16.
21. A method of forming devices on a substrate said method comprising the steps of:
providing a structure comprising a SiGe layer disposed over a strained semiconductor layer;
selectively removing said SiGe layer in a first region but not in a second region such that a surface channel device may be formed on said first region and a buried channel device may be formed on said second region.
22. A method of forming devices on a substrate, said method comprising the steps of:
providing a structure comprising a SiGe layer disposed over a strained semiconductor layer;
oxidizing said SiGe layer to form a SiGe oxide in a first region but not in a second region of said structure;
removing said SiGe oxide;
forming a surface channel device in said first region and a buried channel device in said second region such that the strained semiconductor layer serves as the channel layer of each device.
23. A structure comprising:
a strained semiconductor layer;
a surface channel device; and
a buried channel device, wherein said surface and buried channel devices include a channel comprising said strained semiconductor layer.
24. The structure as claimed in claim 23, wherein said strained semiconductor layer comprises Si.
25. The structure as claimed in claim 23, wherein said structure further includes a relaxed semiconductor layer.
26. The structure as claimed in claim 25, wherein said relaxed semiconductor layer comprises SiGe.
27. A circuit formed by interconnecting the buried channel device and the surface channel device of claim 23.
US10/797,231 2001-06-14 2004-03-10 Method of selective removal of SiGe alloys Abandoned US20040171223A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/797,231 US20040171223A1 (en) 2001-06-14 2004-03-10 Method of selective removal of SiGe alloys

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US29815301P 2001-06-14 2001-06-14
US10/172,542 US6900094B2 (en) 2001-06-14 2002-06-14 Method of selective removal of SiGe alloys
US10/797,231 US20040171223A1 (en) 2001-06-14 2004-03-10 Method of selective removal of SiGe alloys

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/172,542 Continuation US6900094B2 (en) 2001-06-14 2002-06-14 Method of selective removal of SiGe alloys

Publications (1)

Publication Number Publication Date
US20040171223A1 true US20040171223A1 (en) 2004-09-02

Family

ID=23149281

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/172,542 Expired - Lifetime US6900094B2 (en) 2001-06-14 2002-06-14 Method of selective removal of SiGe alloys
US10/797,231 Abandoned US20040171223A1 (en) 2001-06-14 2004-03-10 Method of selective removal of SiGe alloys

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/172,542 Expired - Lifetime US6900094B2 (en) 2001-06-14 2002-06-14 Method of selective removal of SiGe alloys

Country Status (3)

Country Link
US (2) US6900094B2 (en)
AU (1) AU2002322105A1 (en)
WO (1) WO2002103760A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133819A1 (en) * 2003-11-07 2005-06-23 Hirohisa Kawasaki Semiconductor device using strained silicon layer and method of manufacturing the same
US6936506B1 (en) * 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US20050202640A1 (en) * 2000-08-07 2005-09-15 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US20050279992A1 (en) * 2004-06-16 2005-12-22 Saurabh Gupta Strained tri-channel layer for semiconductor-based electronic devices
US20050282354A1 (en) * 2004-06-18 2005-12-22 Hirohisa Kawasaki Semiconductor device manufacturing method
US20110140170A1 (en) * 2009-12-14 2011-06-16 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
US9450049B2 (en) 2013-06-24 2016-09-20 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100400808B1 (en) * 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6940089B2 (en) * 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
JP2004531901A (en) * 2001-06-21 2004-10-14 マサチューセッツ インスティテュート オブ テクノロジー MOSFET with strained semiconductor layer
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US7132348B2 (en) * 2002-03-25 2006-11-07 Micron Technology, Inc. Low k interconnect dielectric using surface transformation
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7615829B2 (en) * 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US20030227057A1 (en) * 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
AU2003274922A1 (en) 2002-08-23 2004-03-11 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US6946373B2 (en) * 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US6730576B1 (en) * 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
EP1437764A1 (en) * 2003-01-10 2004-07-14 S.O.I. Tec Silicon on Insulator Technologies S.A. A compliant substrate for a heteroepitaxy, a heteroepitaxial structure and a method for fabricating a compliant substrate
JP4659732B2 (en) * 2003-01-27 2011-03-30 台湾積體電路製造股▲ふん▼有限公司 Method for forming a semiconductor layer
US7198974B2 (en) * 2003-03-05 2007-04-03 Micron Technology, Inc. Micro-mechanically strained semiconductor film
CN100437970C (en) * 2003-03-07 2008-11-26 琥珀波系统公司 Shallow trench isolation process
US7041575B2 (en) * 2003-04-29 2006-05-09 Micron Technology, Inc. Localized strained semiconductor on insulator
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US7115480B2 (en) 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US6987037B2 (en) * 2003-05-07 2006-01-17 Micron Technology, Inc. Strained Si/SiGe structures by ion implantation
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7087473B2 (en) * 2003-06-13 2006-08-08 Matsushita Electric Industrial Co., Ltd. Method of forming conventional complementary MOS transistors and complementary heterojunction MOS transistors on common substrate
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7256465B2 (en) * 2004-01-21 2007-08-14 Sharp Laboratories Of America, Inc. Ultra-shallow metal oxide surface channel MOS transistor
US7078723B2 (en) * 2004-04-06 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device with depth adjustable sill
FR2870043B1 (en) * 2004-05-07 2006-11-24 Commissariat Energie Atomique MANUFACTURING OF ACTIVE ZONES OF DIFFERENT NATURE DIRECTLY ON INSULATION AND APPLICATION TO MOS TRANSISTOR WITH SINGLE OR DOUBLE GRID
EP2650907A3 (en) 2004-06-04 2014-10-08 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
DE102004048096A1 (en) * 2004-09-30 2006-04-27 Forschungszentrum Jülich GmbH Method for producing a strained layer on a substrate and layer structure
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7327008B2 (en) * 2005-01-24 2008-02-05 International Business Machines Corporation Structure and method for mixed-substrate SIMOX technology
FR2893446B1 (en) * 2005-11-16 2008-02-15 Soitec Silicon Insulator Techn SEGMENT SEGMENT SEGMENT LAYER TREATMENT
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US7485544B2 (en) 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US8962447B2 (en) * 2006-08-03 2015-02-24 Micron Technology, Inc. Bonded strained semiconductor with a desired surface orientation and conductance direction
US7968960B2 (en) * 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US7932123B2 (en) * 2006-09-20 2011-04-26 The Board Of Trustees Of The University Of Illinois Release strategies for making transferable semiconductor structures, devices and device components
CN104637954B (en) 2007-01-17 2018-02-16 伊利诺伊大学评议会 The method for manufacturing semiconductor-based optical system
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US20090142891A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Maskless stress memorization technique for cmos devices
US8552299B2 (en) 2008-03-05 2013-10-08 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
US8886334B2 (en) 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8372726B2 (en) 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
JP5646492B2 (en) 2008-10-07 2014-12-24 エムシー10 インコーポレイテッドMc10,Inc. Stretchable integrated circuit and device with sensor array
EP2430652B1 (en) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Printed assemblies of ultrathin, microscale inorganic light emitting diodes for deformable and semitransparent displays
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
EP2513953B1 (en) 2009-12-16 2017-10-18 The Board of Trustees of the University of Illionis Electrophysiology using conformal electronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
KR101837481B1 (en) 2010-03-17 2018-03-13 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 implantable biomedical devices on bioresorbable substrates
US9442285B2 (en) 2011-01-14 2016-09-13 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
US9765934B2 (en) 2011-05-16 2017-09-19 The Board Of Trustees Of The University Of Illinois Thermally managed LED arrays assembled by printing
EP2712491B1 (en) 2011-05-27 2019-12-04 Mc10, Inc. Flexible electronic structure
WO2012167096A2 (en) 2011-06-03 2012-12-06 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
US9691873B2 (en) 2011-12-01 2017-06-27 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
WO2013149181A1 (en) 2012-03-30 2013-10-03 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
US9059321B2 (en) 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
BR112017025616A2 (en) 2015-06-01 2018-08-07 Univ Illinois alternative approach to uv capture
KR20180033468A (en) 2015-06-01 2018-04-03 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 Miniaturized electronic systems with wireless power and local communication capabilities
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same

Citations (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4710788A (en) * 1985-11-30 1987-12-01 Licentia Patent-Verwaltungs-Gmbh Modulation doped field effect transistor with doped Six Ge1-x -intrinsic Si layering
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
US5417180A (en) * 1991-10-24 1995-05-23 Rohm Co., Ltd. Method for forming SOI structure
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5786612A (en) * 1995-10-25 1998-07-28 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising trench EEPROM
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US5981400A (en) * 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5986287A (en) * 1995-09-08 1999-11-16 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E. V. Semiconductor structure for a transistor
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6044255A (en) * 1997-03-26 2000-03-28 Mitsubishi Denki Kabushiki Kaisha Radio frequency circuit with integrated elements and method of manufacture
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6096590A (en) * 1996-07-18 2000-08-01 International Business Machines Corporation Scalable MOS field effect transistor
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6111267A (en) * 1997-05-13 2000-08-29 Siemens Aktiengesellschaft CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6130453A (en) * 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6143636A (en) * 1997-07-08 2000-11-07 Micron Technology, Inc. High density flash memory
US6154475A (en) * 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
US6162688A (en) * 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6266278B1 (en) * 1999-06-30 2001-07-24 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared adjacent cells
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6423989B1 (en) * 2000-05-26 2002-07-23 Mitsubishi Heavy Industries, Ltd. Semiconductor device and method of manufacturing the same
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020123197A1 (en) * 2000-12-04 2002-09-05 Fitzgerald Eugene A. Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US20020125497A1 (en) * 2001-03-02 2002-09-12 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20020140031A1 (en) * 2001-03-31 2002-10-03 Kern Rim Strained silicon on insulator structures
US6468869B1 (en) * 2001-05-11 2002-10-22 Macronix International Co., Ltd. Method of fabricating mask read only memory
US6498359B2 (en) * 2000-05-22 2002-12-24 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Field-effect transistor based on embedded cluster structures and process for its production
US20020197803A1 (en) * 2001-06-21 2002-12-26 Amberwave Systems Corporation Enhancement of p-type metal-oxide-semiconductor field effect transistors
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US20030052334A1 (en) * 2001-06-18 2003-03-20 Lee Minjoo L. Structure and method for a high-speed semiconductor device
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6541321B1 (en) * 2002-05-14 2003-04-01 Advanced Micro Devices, Inc. Method of making transistors with gate insulation layers of differing thickness
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6559040B1 (en) * 1999-10-20 2003-05-06 Taiwan Semiconductor Manufacturing Company Process for polishing the top surface of a polysilicon gate
US6563260B1 (en) * 1999-03-15 2003-05-13 Kabushiki Kaisha Toshiba Electron emission element having resistance layer of particular particles
US20030089901A1 (en) * 2001-03-02 2003-05-15 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6570205B2 (en) * 2001-02-09 2003-05-27 Samsung Electronics Co., Ltd. DRAM cell
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6599040B2 (en) * 2001-03-16 2003-07-29 Hitachi Printing Solutions, Ltd. Method of setting a print start position in a continuous form printing system
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US20050003229A1 (en) * 2003-07-01 2005-01-06 International Business Machines Corporation Defect reduction by oxidation of silicon

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63122176A (en) 1986-11-11 1988-05-26 Nippon Telegr & Teleph Corp <Ntt> Semiconductor device and its manufacture
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
JPH04307974A (en) 1991-04-05 1992-10-30 Sharp Corp Electrically erasable nonvolatile semiconductor storage device
JPH07106466A (en) 1993-09-30 1995-04-21 Toppan Printing Co Ltd Printed-wiring board for mounting of multichip module
EP0844651A1 (en) 1996-11-26 1998-05-27 Xerox Corporation Method of controlling oxidation in multilayer semiconductor structure comprising Group III elements
JP3059145B2 (en) 1997-12-12 2000-07-04 松下電子工業株式会社 Nonvolatile semiconductor memory device and driving method thereof
JP3762221B2 (en) 1998-04-10 2006-04-05 マサチューセッツ・インスティテュート・オブ・テクノロジー Silicon germanium etch stop layer system
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
ATE394794T1 (en) 1999-03-12 2008-05-15 Ibm HIGH VELOCITY GE CHANNEL HETEROSTRUCTURE FOR FIELD EFFECT ARRANGEMENTS
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
WO2001054202A1 (en) 2000-01-20 2001-07-26 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
AU2001268577A1 (en) 2000-06-22 2002-01-02 Massachusetts Institute Of Technology Etch stop layer system
US6573126B2 (en) 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
JP2002241195A (en) 2001-02-15 2002-08-28 Mitsubishi Materials Silicon Corp Method for producing epitaxial multilayer film and epitaxial multilayer film
WO2002071491A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071488A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
WO2002071495A1 (en) 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits

Patent Citations (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4710788A (en) * 1985-11-30 1987-12-01 Licentia Patent-Verwaltungs-Gmbh Modulation doped field effect transistor with doped Six Ge1-x -intrinsic Si layering
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US5327375B1 (en) * 1988-07-08 1999-03-16 Eliyahou Harari Dram cellutilizing novel capacitor
US5327375A (en) * 1988-07-08 1994-07-05 Eliyahou Harari DRAM cell utilizing novel capacitor
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5417180A (en) * 1991-10-24 1995-05-23 Rohm Co., Ltd. Method for forming SOI structure
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US5986287A (en) * 1995-09-08 1999-11-16 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E. V. Semiconductor structure for a transistor
US5786612A (en) * 1995-10-25 1998-07-28 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising trench EEPROM
US6096590A (en) * 1996-07-18 2000-08-01 International Business Machines Corporation Scalable MOS field effect transistor
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US6044255A (en) * 1997-03-26 2000-03-28 Mitsubishi Denki Kabushiki Kaisha Radio frequency circuit with integrated elements and method of manufacture
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6111267A (en) * 1997-05-13 2000-08-29 Siemens Aktiengesellschaft CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US6143636A (en) * 1997-07-08 2000-11-07 Micron Technology, Inc. High density flash memory
US5981400A (en) * 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6154475A (en) * 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6130453A (en) * 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6162688A (en) * 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6563260B1 (en) * 1999-03-15 2003-05-13 Kabushiki Kaisha Toshiba Electron emission element having resistance layer of particular particles
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6266278B1 (en) * 1999-06-30 2001-07-24 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared adjacent cells
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6559040B1 (en) * 1999-10-20 2003-05-06 Taiwan Semiconductor Manufacturing Company Process for polishing the top surface of a polysilicon gate
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6498359B2 (en) * 2000-05-22 2002-12-24 Max-Planck-Gesellschaft Zur Foerderung Der Wissenschaften E.V. Field-effect transistor based on embedded cluster structures and process for its production
US6423989B1 (en) * 2000-05-26 2002-07-23 Mitsubishi Heavy Industries, Ltd. Semiconductor device and method of manufacturing the same
US7217668B2 (en) * 2000-08-07 2007-05-15 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6846715B2 (en) * 2000-08-07 2005-01-25 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US20070082470A1 (en) * 2000-08-07 2007-04-12 Amberwave System Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US20020125471A1 (en) * 2000-12-04 2002-09-12 Fitzgerald Eugene A. CMOS inverter circuits utilizing strained silicon surface channel MOSFETS
US20020123197A1 (en) * 2000-12-04 2002-09-05 Fitzgerald Eugene A. Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6570205B2 (en) * 2001-02-09 2003-05-27 Samsung Electronics Co., Ltd. DRAM cell
US20020125497A1 (en) * 2001-03-02 2002-09-12 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20030089901A1 (en) * 2001-03-02 2003-05-15 Fitzgerald Eugene A. Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6599040B2 (en) * 2001-03-16 2003-07-29 Hitachi Printing Solutions, Ltd. Method of setting a print start position in a continuous form printing system
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US20020140031A1 (en) * 2001-03-31 2002-10-03 Kern Rim Strained silicon on insulator structures
US6468869B1 (en) * 2001-05-11 2002-10-22 Macronix International Co., Ltd. Method of fabricating mask read only memory
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6900094B2 (en) * 2001-06-14 2005-05-31 Amberwave Systems Corporation Method of selective removal of SiGe alloys
US20030052334A1 (en) * 2001-06-18 2003-03-20 Lee Minjoo L. Structure and method for a high-speed semiconductor device
US20020197803A1 (en) * 2001-06-21 2002-12-26 Amberwave Systems Corporation Enhancement of p-type metal-oxide-semiconductor field effect transistors
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6541321B1 (en) * 2002-05-14 2003-04-01 Advanced Micro Devices, Inc. Method of making transistors with gate insulation layers of differing thickness
US20050003229A1 (en) * 2003-07-01 2005-01-06 International Business Machines Corporation Defect reduction by oxidation of silicon

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7217668B2 (en) * 2000-08-07 2007-05-15 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US20050202640A1 (en) * 2000-08-07 2005-09-15 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US20070082470A1 (en) * 2000-08-07 2007-04-12 Amberwave System Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
US6936506B1 (en) * 2003-05-22 2005-08-30 Advanced Micro Devices, Inc. Strained-silicon devices with different silicon thicknesses
US7417250B1 (en) 2003-05-22 2008-08-26 Advanced Micro Devices, Inc. Strained-silicon device with different silicon thicknesses
US20050133819A1 (en) * 2003-11-07 2005-06-23 Hirohisa Kawasaki Semiconductor device using strained silicon layer and method of manufacturing the same
US20050279992A1 (en) * 2004-06-16 2005-12-22 Saurabh Gupta Strained tri-channel layer for semiconductor-based electronic devices
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
US20050282354A1 (en) * 2004-06-18 2005-12-22 Hirohisa Kawasaki Semiconductor device manufacturing method
US7687368B2 (en) * 2004-06-18 2010-03-30 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
US20110140170A1 (en) * 2009-12-14 2011-06-16 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
US8716752B2 (en) * 2009-12-14 2014-05-06 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
US9450049B2 (en) 2013-06-24 2016-09-20 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20030013323A1 (en) 2003-01-16
WO2002103760A3 (en) 2003-07-31
US6900094B2 (en) 2005-05-31
WO2002103760A2 (en) 2002-12-27
AU2002322105A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
US6900094B2 (en) Method of selective removal of SiGe alloys
US7023057B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
CN100461430C (en) Semiconductor structure and its forming method
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
US7141459B2 (en) Silicon-on-insulator ULSI devices with multiple silicon film thicknesses
US8378414B2 (en) Low leakage FINFETs
US7595232B2 (en) CMOS devices incorporating hybrid orientation technology (HOT) with embedded connectors
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US6949420B1 (en) Silicon-on-insulator (SOI) substrate having dual surface crystallographic orientations and method of forming same
US20050082531A1 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US6225163B1 (en) Process for forming high quality gate silicon dioxide layers of multiple thicknesses
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
JPH0530064B2 (en)
JP2009526390A (en) CMOS device with hybrid channel orientation and method of manufacturing the same
US7271447B2 (en) Semiconductor device
US7316943B2 (en) Method for manufacturing semiconductor apparatus having drain/source on insulator
US20030049893A1 (en) Method for isolating semiconductor devices
US5445107A (en) Semiconductor device and method of formation
KR100296130B1 (en) Manufacturing Method of Metal-Oxide-Semiconductor Field Effect Transistor Using Double-Layer Silicon Wafer
US6849508B2 (en) Method of forming multiple gate insulators on a strained semiconductor heterostructure
JP4328708B2 (en) Manufacturing method of CMOS device and structure including CMOS device
US7541258B2 (en) Method of manufacturing semiconductor substrate and method of manufacturing semiconductor device
KR19980032981A (en) Manufacturing Method of Semiconductor Device
JP2003249648A (en) Semiconductor device and manufacturing method therefor
JP2937459B2 (en) Method for forming contact hole in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FITZGERALD, EUGENE A.;HAMMOND, RICHARD;CURRIE, MATTHEW;REEL/FRAME:019037/0310;SIGNING DATES FROM 20021211 TO 20051116

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION