US20040191990A1 - Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line - Google Patents

Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line Download PDF

Info

Publication number
US20040191990A1
US20040191990A1 US10/818,590 US81859004A US2004191990A1 US 20040191990 A1 US20040191990 A1 US 20040191990A1 US 81859004 A US81859004 A US 81859004A US 2004191990 A1 US2004191990 A1 US 2004191990A1
Authority
US
United States
Prior art keywords
regions
forming
layer
conductive material
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/818,590
Other versions
US7144778B2 (en
Inventor
Sohrab Kianian
Chih Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/818,590 priority Critical patent/US7144778B2/en
Publication of US20040191990A1 publication Critical patent/US20040191990A1/en
Application granted granted Critical
Publication of US7144778B2 publication Critical patent/US7144778B2/en
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SILICON STORAGE TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC., MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to MICROSEMI CORPORATION, ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC., MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC. reassignment MICROSEMI CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC., MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to SILICON STORAGE TECHNOLOGY, INC., MICROSEMI CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI STORAGE SOLUTIONS, INC., ATMEL CORPORATION reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to SILICON STORAGE TECHNOLOGY, INC. reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to MICROSEMI CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, SILICON STORAGE TECHNOLOGY, INC., MICROSEMI STORAGE SOLUTIONS, INC., ATMEL CORPORATION reassignment MICROSEMI CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to MICROCHIP TECHNOLOGY INCORPORATED, SILICON STORAGE TECHNOLOGY, INC., ATMEL CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., MICROSEMI CORPORATION reassignment MICROCHIP TECHNOLOGY INCORPORATED RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI STORAGE SOLUTIONS, INC., MICROSEMI CORPORATION, ATMEL CORPORATION, SILICON STORAGE TECHNOLOGY, INC. reassignment MICROCHIP TECHNOLOGY INCORPORATED RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to MICROSEMI STORAGE SOLUTIONS, INC., MICROSEMI CORPORATION, ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, SILICON STORAGE TECHNOLOGY, INC. reassignment MICROSEMI STORAGE SOLUTIONS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7883Programmable transistors with only two possible levels of programmation charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • G11C16/0425Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing a merged floating gate and select transistor

Definitions

  • the present invention relates to a self-aligned method of forming a semiconductor memory array of floating gate memory cells.
  • the present invention also relates to a semiconductor memory array of floating gate memory cells of the foregoing type.
  • Non-volatile semiconductor memory cells using a floating gate to store charges thereon and memory arrays of such non-volatile memory cells formed in a semiconductor substrate are well known in the art.
  • floating gate memory cells have been of the split gate type, or stacked gate type.
  • Self-alignment is well known in the art. Self-alignment refers to the act of processing one or more steps involving one or more materials such that the features are automatically aligned with respect to one another in that step processing. Accordingly, the present invention uses the technique of self-alignment to achieve the manufacturing of a semiconductor memory array of the floating gate memory cell type.
  • the present invention solves the above mentioned problems by providing a self aligned method of forming memory cells with reduced size, by minimizing the space needed for the bit-line connection and word-line. transistor, and a memory cell array formed thereby.
  • the electrically programmable and erasable memory device of the present invention includes a substrate of semiconductor material of a first conductivity type, a trench formed into a surface of the substrate, first and second spaced-apart regions formed in the substrate and having a second conductivity type with a channel region formed in the substrate therebetween, wherein the second region is formed underneath the trench and the channel region includes a first portion that extends substantially along a sidewall of the trench and a second portion that extends substantially along the surface of the substrate, a block of conductive material disposed over the first region, an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material, and an electrically conductive control gate having a first portion disposed in the trench.
  • an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, a plurality of trenches formed into a surface of the substrate which are substantially parallel to one another and extend across the isolation and active regions in a second direction that is substantially perpendicular to the first direction, a plurality of electrically conductive control gates, and a plurality of memory cells in each of the active regions.
  • Each of the memory cells includes first and second spaced-apart regions formed in the substrate having a second conductivity type, with a channel region formed in the substrate therebetween, wherein the second region is formed underneath one of the trenches, and wherein the channel region has a first portion extending substantially along a sidewall of the one trench and a second portion extending substantially along the surface of the substrate, a block of conductive material disposed over the first region, and an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material.
  • the plurality of electrically conductive control gates each extends along one of the active regions, wherein the control gates each have first portions disposed in the trenches.
  • a method of forming a semiconductor memory cell comprises the steps of: forming a first region in a semiconductor substrate, wherein the substrate has a first conductivity type and the first region has a second conductivity type, forming a trench into a surface of the semiconductor substrate, wherein the trench is spaced apart from the first region, forming a second region in the substrate and underneath the trench, wherein the second region has the second conductivity type and a channel region in the substrate is defined between the first and second regions, the channel region includes a first portion that extends substantially along a sidewall of the trench and a second portion that extends substantially along the substrate surface, forming a block of conductive material disposed over the first region, forming a floating gate of electrically conductive material disposed over and insulated from at least a portion of the channel region, and disposed laterally adjacent to and insulated from the block of conductive material, and forming a control gate of electrically conductive material having a first portion disposed in the trench.
  • a method of forming an array of semiconductor memory cells comprises the steps of: forming a plurality of first regions in a semiconductor substrate that are substantially parallel to one another and extend in a first direction, wherein the substrate has a first conductivity type and the first regions have a second conductivity type, forming a plurality of trenches into a surface of the semiconductor substrate, wherein the trenches are spaced apart from and extend substantially parallel to the first regions, forming a plurality of second regions in the substrate having the second conductivity type and are substantially parallel to one another, each of the second regions extends in the first direction and is formed underneath one of the trenches, wherein a plurality of channel regions in the substrate are defined each having a first portion extending substantially along a sidewall of one of the trenches and a second portion that extends substantially along the substrate surface between the one trench and one of the first regions, forming a plurality of blocks of conductive material that are substantially parallel to one another and extend in the first direction,
  • an electrically programmable and erasable memory device includes a substrate of semiconductor material of a first conductivity type, first and second spaced-apart regions formed in the substrate and having a second conductivity type, with a non-linear channel region therebetween, a block of conductive material disposed over the first region, and a floating gate disposed over and insulated from a surface of the substrate and disposed laterally adjacent to and insulated from the block of conductive material.
  • the channel region defines a path for programming the floating gate with electrons from the second region.
  • an electrically programmable and erasable memory device includes a substrate of semiconductor material of a first conductivity type, an electrically conductive control gate having a first portion formed in the substrate, first and second spaced-apart regions formed in the substrate and having a second conductivity type, with a non-linear channel region therebetween, wherein the second region is formed underneath and is insulated from the control gate first portion, and the channel region includes a first portion that extends substantially along the control gate first portion and a second portion that extends substantially along a surface of the substrate, a block of conductive material disposed over the first region, and an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material.
  • an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type and having a surface, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, each of the active regions including a plurality of memory cells, wherein each of the memory cells includes an electrically conductive floating gate disposed over and insulated from the substrate surface, a plurality of first regions formed in the substrate and having a second conductivity type, each of the first regions extends across the active regions and isolation regions in a second direction perpendicular to the first direction, a plurality of second regions formed in the substrate and having the second conductivity type, each of the second regions extends across the active regions and the isolation regions in the second direction and is disposed between a pair of the first regions, wherein the second regions are buried underneath the substrate surface, a plurality of blocks of conductive material that are substantially parallel to one another and extend
  • an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, a plurality of spaced apart first and second regions formed in the semiconductor substrate having a second conductivity type and extending across the active and isolation regions in a second direction substantially perpendicular to the first direction, wherein the first and second regions have a second conductivity type and define a plurality of channel regions formed in the substrate therebetween, a plurality of blocks of conductive material that are substantially parallel to one another and extend in the second direction, wherein each of the conductive blocks is disposed over and electrically connected to one of the first regions, a plurality of floating gates of electrically conductive material each disposed over and insulated from at least a portion of one of the channel regions, and disposed laterally adjacent to and insulated from one of the blocks of conductive
  • FIGS. 1A-1K are cross sectional views of a semiconductor structure showing in sequence the steps in the processing of the semiconductor structure in the formation of a non volatile memory array of floating memory cells of the present invention.
  • FIG. 1L is a top view showing the active and inactive regions, and the intersection thereof with the source and bit lines, formed by the process of the present invention.
  • FIG. 1M is a cross sectional view showing the next step(s) in the processing of the inactive regions formed by the process of the present invention.
  • FIG. 1N is a cross sectional view showing the next step(s) in the processing of the active regions formed by the process of the present invention.
  • FIG. 1O is a cross sectional view showing the next step(s) in the processing of the inactive regions formed by the process of the present invention.
  • FIG. 2A is a top view showing the active and inactive regions, the intersection thereof with the source and bit lines, and the bit strap implant regions, formed by the process of the present invention.
  • FIG. 2B is a cross sectional view of one of the second trenches, including the bit strap implant areas of the present invention.
  • FIG. 3 is a schematic diagram of the memory cell array of the present invention, with control gate transistor portions formed in the trench side-wall areas schematically shown as vertical gates.
  • FIG. 4 is a cross sectional view of the active regions showing the memory cell structure of the present invention.
  • FIG. 5 is a schematic diagram showing the operation of the memory cell array of the present invention.
  • FIGS. 6A-6K are cross sectional views of a semiconductor structure showing in sequence the steps in a first alternate processing of the semiconductor structure of FIG. 1C in the formation of a non volatile memory array of floating memory cells of the present invention.
  • FIGS. 7A-7D are cross sectional views of a semiconductor structure showing in sequence the steps in a second alternate processing of the semiconductor structure of FIG. 6F in the formation of a non volatile memory array of floating memory cells of the present invention.
  • FIGS. 8A-8N are cross sectional views of a semiconductor structure showing in sequence the steps in a third alternate processing of the semiconductor substrate in the formation of a non volatile memory array of floating memory cells of the present invention.
  • FIG. 9 is a cross sectional view of the active regions of the third alternate embodiment showing the memory cell structure of the present invention.
  • FIG. 10 is a cross sectional view of the active regions of the third alternate embodiment that includes an oxide layer insulating the poly blocks 88 from the source regions 34 .
  • FIGS. 1A-10 The method of the present invention is illustrated in FIGS. 1A-10, and begins with a semiconductor substrate 10 , which is preferably of P type and is well known in the art.
  • a semiconductor substrate 10 which is preferably of P type and is well known in the art.
  • the thicknesses of the layers described below will depend upon the design rules and the process technology generation. What is described herein is for the 0.13 micron process. However, it will be understood by those skilled in the art that the present invention is not limited to any specific process technology generation, nor to any specific value in any of the process parameters described hereinafter.
  • a thin layer of insulation material 12 such as silicon dioxide (hereinafter “oxide”) having a thickness approximately 80 A, is formed on substrate 10 by any well known technique such as oxidation or deposition (e.g. chemical vapor deposition or CVD).
  • a layer of conductive material 14 such as polysilicon (hereinafter “poly”), is deposited with an approximate thickness of 700 A on top of the layer of insulation material 12 by any well known process such as Low Pressure CVD or LPCVD.
  • Poly layer 14 may be doped by ion implantation.
  • Another layer of insulation material 18 such as silicon nitride (hereinafter “nitride”), is deposited with an approximate thickness of 3000 A over the poly layer 14 , preferably by CVD.
  • a conventional photo-lithography scheme is used to form semi-recessed first trenches 20 in the structure in the following manner.
  • a suitable photo-resistant material 19 is applied on the nitride layer 18 and a masking step is performed to selectively remove the photo-resistant material 19 from selected parallel stripe regions (under which the first trenches 20 will be formed).
  • the exposed silicon nitride 18 is removed using a standard nitride etch process (e.g. anisotropic nitride etch), leaving blocks of nitride 22 and first trenches 20 formed therebetween.
  • An optional poly etch follows to recess the top portion of poly layer 14 , and to create sloped regions 24 where the poly layer 14 meets the nitride blocks 22 .
  • the width W of first trenches 20 can be as small as the smallest lithographic feature of the process used. Where the photo resist 19 is not removed, the underlying nitride layer 18 , poly layer 14 and oxide layer 12 are maintained. The resulting structure is illustrated in FIG. 1B.
  • the structure is further processed to remove the remaining photo resist 19 .
  • a thermal oxidation process is used to oxidize the poly layer 14 to form a lens-shaped oxide layer 26 over the exposed portions of poly layer 14 , as shown in FIG. 1C.
  • the lens shape is caused by the sloping portions 24 of the underlying poly layer 14 , and the nitride region 22 blocking oxidation at the poly-nitride interface.
  • a thin layer of nitride 28 ( ⁇ 400 A) is formed over the structure (e.g. using convention chemical vapor deposition (CVD)), which is used to protect the lens-shaped oxide layer 26 .
  • Insulation spacers 32 are then formed along the side wall surfaces of first trenches 20 . Formation of spacers is well known in the art, and involves the deposition of a material over the contour of a structure, followed by an anisotropic etch process, whereby the material is removed from horizontal surfaces of the structure, while the material remains largely intact on vertically oriented surfaces of the structure.
  • Spacers 32 can be formed of any dielectric material with good etch selectivity to nitride layer 28 . In the structure illustrated in FIG.
  • insulation spacers 32 are formed of oxide by depositing a thick layer 30 of oxide ( ⁇ 2500 A) over the entire structure, as shown in FIG. 1D.
  • An anisotropic etch process such as the well known Reactive Ion Etch (RIE), is used to remove the deposited oxide layer 30 , except for spacers 32 , as shown in FIG. 1E. This etch process uses the nitride layer 28 as an etch stop.
  • RIE Reactive Ion Etch
  • Narrower lower portions 21 of first trenches 20 are formed by a series of anisotropic etch steps for layers of materials that are left exposed between spacers 32 , starting with a thin nitride etch step that removes the exposed portions of nitride layer 28 .
  • This nitride etch uses oxide layer 26 as an etch stop, and also removes the exposed portions of nitride layer 28 on top of nitride blocks 22 .
  • An oxide etch is then used to remove the exposed portions of oxide layer 26 , with poly layer 14 used as an etch stop. During this etch step, some of the exposed spacer oxide 32 may be removed.
  • a poly etch step is then used to remove the exposed portions of poly layer 14 to expose oxide layer 12 at the bottom of each of the first trenches 20 .
  • Suitable ion implantation is then made across the entire surface of the structure. Where the ions have sufficient energy to penetrate exposed portions of oxide layer 12 in each first trench 20 , they then form first regions (source line regions) 34 in the substrate 10 . In all other regions, the ions are absorbed by the underlying structure, where they have no effect.
  • the implanted source regions 34 are formed in parallel lines that are self aligned to the lower portions 21 of first trenches 20 .
  • a mask (not shown) should be used along the edges of the array to block the implantation of regions 34 and prevent adjacent source regions 34 from being shorted together. The resulting structure is shown in FIG. 1F.
  • a thick oxide layer 36 is deposited over the structure, followed by a planarization oxide etch process (e.g. Chemical-Mechanical-Polishing or CMP etch) that etches the thick oxide layer 36 down to the tops of nitride blocks 22 , which are used as the etch stop.
  • Oxide layer 36 is further anisotropically etched (e.g. by RIE) below the tops of nitride blocks 22 , resulting in the structure shown in FIG. 1G.
  • Parallel second trenches 38 extending down to oxide layer 12 are formed by first performing a nitride etch process to remove nitride blocks 22 and the exposed portions of nitride layer 28 , followed by a poly etch step that removes the exposed portions of poly layer 14 .
  • the resulting structure is shown in FIG. 1H.
  • Exposed portions of oxide layer 12 at the bottom of second trenches 38 and oxide layer 26 are removed by an anisotropic oxide etch process, leaving portions of the substrate 10 exposed.
  • a silicon etch process is used to remove exposed portions of poly layer 14 , and to extend second trenches 38 down into the substrate 10 (preferably to a depth of approximately 0.2 ⁇ m).
  • Suitable ion implantation is once again made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38 . Outside of second trenches 38 , the ions are blocked by the dielectric oxide layer 36 , where they have no effect.
  • the resulting structure is shown in FIG. 1I.
  • An oxide etch (preferably a dry oxide etch using nitride layer 28 as an etch stop) is used to remove oxide layer 36 , except for the portions disposed in the lower portions 21 of first trenches 20 .
  • a thin nitride etch follows which removes nitride layer 28 (and uses oxide layer 26 as an etch stop).
  • a thin layer of oxide 42 is then formed over the entire structure, including inside second trenches 38 , using for example an HTO oxide deposition process. The resulting structure is shown in FIG. 1J.
  • a thick poly layer 44 is deposited over the structure (e.g. ⁇ 0.18 ⁇ M), including filling second trenches 38 .
  • Poly layer 44 can be doped by ion implant, or by an in-situ process.
  • An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide.
  • the resulting structure is shown in FIG. 1K.
  • oxide layer 42 together possibly with part of oxide layer 26 , form an insulation layer having a thickness that permits Fowler-Nordheim tunneling therethrough.
  • the structure shown in FIG. 1K was formed with one masking step, with trenches 38 extending in a first direction.
  • Parallel active and inactive stripe regions that extend across and are perpendicular to the first direction of the second trenches 38 , are formed in the following manner.
  • a photo-resistant material is applied to the structure shown in FIG. 1K, and a masking step is performed to selectively remove the photo-resistant material from parallel stripe regions 46 , as shown in FIG. 1L.
  • This masking step defines alternating parallel active (word line) regions 48 (in which active memory cells are formed) and inactive regions 46 (in which no active memory cells will be formed).
  • a series of etch processes are then performed, which do not affect the active regions 48 (which are protected by the photo-resistant material).
  • a (dry) poly etch is performed to remove the exposed poly layer 44 outside of second trenches 38 in the inactive regions 46 , using oxide layer 42 as an etch stop.
  • An oxide etch is then performed to remove the oxide layers 42 and 26 disposed over poly layer 14 , using the poly layer 14 as an etch stop.
  • a poly etch follows, which removes the poly layer 14 and the remaining poly layer 44 inside of second trenches 38 .
  • the photo-resistant material in the active regions 48 is then removed.
  • the active regions 48 remain unchanged from the structure shown in FIG. 1K, while the resulting structure in the inactive regions 46 is illustrated in FIG. 1M.
  • a thin layer of oxide 50 is formed over the structure (e.g. thermally grown, HTO, or CVD deposit), followed by the deposition of a thick layer of oxide 52 over the structure which fills second trenches 38 in the inactive regions 46 .
  • a planarizing oxide etch e.g. CMP
  • An oxide etch follows to fully expose the poly layer 44 in the active regions 48 .
  • the resulting structure in the active regions 48 is shown in FIG. 1N (which is unchanged from FIG. 1K), and the structure in the inactive regions 46 is shown in FIG. 10.
  • FIG. 2A illustrates a top view of the resulting memory cell array, which includes rows of alternating active regions 48 and inactive regions 46 , and columns of alternating source line regions 34 and second (bit-line) trenches 38 formed in the substrate 10 .
  • Bit line regions 40 are formed under the second (bit-line) trenches 38 .
  • bit-line strap implant areas 54 are formed in which the second (buried bit-line) region 40 rises up to the surface of the silicon substrate 10 .
  • bit-line strap implant areas 54 are formed in which the second (buried bit-line) region 40 rises up to the surface of the silicon substrate 10 .
  • a mask is used to cover the structure except for the gap between the buried and surface portions of second region 40 .
  • An implant step is performed wherein the implant energy is selected so that the implanted area joins the buried and surface portions of second region 40 .
  • a large angle implant can be used to form second regions 40 at the bottom of trenches 38 , which will also create the rising portions of second region 40 up to the substrate surface.
  • Contacts 56 are formed over the substrate 10 to make electrical contact with the raised portions of second (buried bit-line) regions 40 .
  • Contacts 56 are preferably formed by depositing passivation, such as BPSG 58 , over the entire structure. A masking step is performed to define etching areas over the raised portions of the second (buried bit-line) regions 40 .
  • the BPSG 58 is selectively etched in the masked regions to create contact openings, which are then filled with a conductor metal 56 by metal deposition and planarization etch-back.
  • Bit line connectors 60 are added by metal masking over the BPSG 58 , to connect to contacts 56 .
  • Metal contacts 62 are also formed in the same manner in one or more of the inactive regions 46 to connect with the source line regions 34 , which includes an oxide etch to remove portions of oxide layers 12 , 36 , 50 and 52 disposed over the source regions 34 . It should be noted that strap implant areas can be formed at the ends of the memory arrays, and/or at one or more intermediate locations throughout the memory cell array.
  • FIG. 3 is a schematic circuit diagram of the memory cell array formed by the above described process.
  • the rows of word lines (WL 1 , WL 2 , WL 3 , . . . WLn+1) correspond to the stripes of(WL) poly layer 44 that extend along the row length of the active regions 48 .
  • the alternating columns of bit-lines (BL 1 , BL 2 , . . . ) and source lines (SL 1 , SL 2 , . . . . ) correspond to the buried bit line regions 40 and the source line regions 34 , respectively, formed in the substrate that traverse the rows of active regions 48 .
  • FIG. 4 illustrates the final structure of the memory cells 64 formed in the active regions 48 .
  • First and second regions 34 / 40 form the source and drain for each cell (although those skilled in the art know that source and drain can be switched during operation).
  • Poly layer 14 constitutes the floating gate, and the portions of poly layer 44 disposed in second trenches 38 and over floating gate 14 constitutes the control gate for each of the memory cells.
  • the channel region 66 for each cell is the surface portion of the substrate that is in-between the source and drain 34 / 40 .
  • the channel region 66 for each memory cell has two portions joined together at a right angle, with a vertical portion 68 extending along the vertical wall of second trench 38 and a horizontal portion 70 extending between the second trench 38 and the source region 34 .
  • the floating gate 14 is disposed over, but insulated from, the horizontal portion 70 of the channel region 66 , and a portion of the source region 34 .
  • the floating gates 14 each have a sharp edge 72 that faces toward a notch 74 formed in the control gates 44 over the edges of second trenches 38 .
  • the process of the present invention forms pairs of memory cells that mirror each other, with a memory cell formed on each side of the second trenches 38 that share a common bit-line region 40 .
  • each source line region 34 is shared between adjacent memory cells from different mirror sets of memory cells. The entire row of memory cells shares a single poly layer 44 that acts as the control gate for all the memory cells in that row.
  • a ground potential is applied to both its source 34 and drain 40 .
  • a high-positive voltage on the order of +12 volts, is applied to the control gate 44 . Electrons on the floating gate 14 are induced through the Fowler-Nordheim tunneling mechanism to tunnel through the oxide layer 42 to the control gate 44 , leaving the floating gate 14 positively charged. Tunneling is enhanced by the sharp edge 72 formed on each floating gate 14 . It should be noted that since the control gate 44 runs along the length of the active region 48 , the entire row of memory cells 64 in the selected active region 48 are ‘erased’.
  • a small voltage (e.g. 0.5 to 1.0 V) is applied to its drain region 40 .
  • a positive voltage level in the vicinity of the threshold voltage of the MOS structure defined by the control gate 44 (on the order of approximately +1.8 volts) is applied to its control gate 44 .
  • ground potential is applied to its source region 34 .
  • a read voltage of approximately +1 volt is applied to its drain region 40 and approximately 1.5 to 3.3 volts (depending upon the power supply voltage of the device) is applied to its control gate 44 . If the floating gate 14 is positively charged (i.e. the floating gate is discharged of electrons), then the horizontal portion 70 of the channel region 66 (directly underneath the floating gate 14 ) is turned on. When the control gate 44 is raised to the read potential, the vertical portion 68 of the channel region 66 (directly adjacent the control gate 44 ) is also turned on. Thus, the entire channel region 66 will be turned on, causing electrical current to flow from the source regions 34 to the drain region 40 . This would be the “1” state.
  • the horizontal portion 70 of the channel region 66 (directly below the floating gate 14 ) is either weakly turned on or is entirely shut off. Even when the control gate 44 and the drain region 40 are raised to the read potential, little or no current will flow through horizontal portion 70 of channel region 66 . In this case, either the current is very small compared to that of the “1” state or there is no current at all. In this manner, the memory cell 64 is sensed to be programmed at the “0” state. Ground potential is applied to the source lines 34 , bit-lines 40 , and control gates 44 for non-selected columns and rows so only the selected memory cell 64 is read.
  • the memory cell array includes peripheral circuitry including conventional row address decoding circuitry, column address decoding circuitry, sense amplifier circuitry, output buffer circuitry and input buffer circuitry, which are well known in the art.
  • the present invention provides a memory cell array with reduced size and superior program efficiency.
  • Memory cell size is reduced by as much as almost 50% because the bit line region 40 is buried inside the substrate 10 , and the bit line regions 40 are self aligned to the second trenches, where space is not wasted due to limitations in the lithography generation, contact alignment and contact integrity.
  • Cell areas of approximately 0.21 ⁇ m and 0.14 ⁇ m can be achieved by the present invention using 0.18 ⁇ m and 0.13 ⁇ m technology generations, respectively.
  • Program efficiency is greatly enhanced by “aiming” the vertical portion 68 of the channel region 66 at the floating gate 14 .
  • the electrons in the channel region flow in a path parallel to the floating gate, where a relatively small number of the electrons become heated and are injected onto the floating gate.
  • the estimated program efficiency (number of electrons injected compared to total number of electrons) is estimated at about ⁇ fraction (1/1000) ⁇ .
  • the program efficiency of the present invention is estimated to be closer to 1/1, where almost all the electrons are injected onto the floating gate.
  • control gate region formed in the side-wall of the trenches 38 can be separately optimized for conduction performance as well as punch-through immunity without affecting cell size.
  • punch-through suppression between source region 34 and the buried bit-line region 40 can be optimized by embedding the source region having a first conductivity type (e.g. N type) in a well having a second conductivity type (e.g. P type) different from the first conductivity type, along with using other sub-surface implant(s) that do not affect the conduction characteristics of the memory cell.
  • having source region 34 and bit-line region 40 separated vertically as well as horizontally allows easier optimization of reliability parameters without affecting cell size.
  • FIGS. 6A to 6 K illustrate an alternate process for forming the memory cell structure similar to that illustrated in FIG. 4.
  • This alternate process begins with the same structure as shown in FIG. 1C, except that the formation of the thin layer of nitride 28 is omitted. Instead, the deposition of the thick layer 30 of oxide is performed directly over nitride blocks 22 and oxide layer 26 ( ⁇ 2500 A), as shown in FIG. 6A.
  • An anisotropic etch process e.g. RIE
  • This etch process uses the poly layer 14 as an etch stop, and therefore a portion of the oxide layer 26 at the center bottom of first trenches 20 is also removed.
  • Narrower lower portions 21 of first trenches 20 are formed by a poly etch step that is used to remove the exposed portions of poly layer 14 between spacers 32 to expose oxide layer 12 at the bottom of each of the first trenches 20 . Suitable ion implantation is then made across the entire surface of the structure. Where the ions have sufficient energy to penetrate exposed portions of oxide layer 12 in each first trench 20 , they then form first regions (source line regions) 34 in the substrate 10 . In all other regions, the ions are absorbed by the underlying structure, where they have no effect. The implanted source regions 34 are formed in parallel lines that are self aligned to the lower portions 21 of first trenches 20 . A mask (not shown) should be used along the edges of the array to block the implantation of regions 34 and prevent adjacent source regions 34 from being shorted together. The resulting structure is shown in FIG. 6C.
  • a thick oxide layer 36 is deposited over the structure, followed by a planarization oxide etch process (e.g. Chemical-Mechanical-Polishing or CMP etch) that etches the thick oxide layer 36 down to the tops of nitride blocks 22 , which are used as the etch stop.
  • Oxide layer 36 is further etched below the tops of nitride blocks 22 , resulting in the structure shown in FIG. 6D.
  • a nitride etch process is used to remove nitride blocks 22 and form second trenches 38 that extend down to poly layer 14 .
  • Nitride spacers 76 are then formed on sidewalls of the second trenches 38 by depositing a layer of nitride ( ⁇ 200-400 A) over the structure, followed by a nitride etch that removes the deposited nitride except for nitride spacers 76 , as shown in FIG. 6E.
  • a poly etch step is then used to remove portions of poly layer 14 exposed between spacers 76 , which extends second trenches 38 down to oxide layer 12 . The resulting structure is shown in FIG. 6F.
  • Exposed portions of oxide layer 12 at the bottom of second trenches 38 are removed by an oxide etch process, leaving portions of the substrate 10 between spacers 76 exposed.
  • a silicon etch process is used to extend second trenches 38 down into the substrate 10 , preferably to a depth of 0.2 ⁇ m.
  • Suitable ion implantation is made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38 . Outside of second trenches 38 , the ions are blocked by the dielectric oxide layer 36 , where they have no effect.
  • the resulting structure is shown in FIG. 6G.
  • a nitride etch is used to remove nitride spacers 76 , which leaves the ends of oxide layer 26 exposed.
  • a controlled oxide etch is then used to remove the exposed ends of oxide layer 26 , leaving the sharp edges 72 of the floating gates 14 exposed, as shown in FIG. 6H.
  • a thin layer of oxide 42 is then formed over the entire structure, including inside second trenches 38 and over floating gate sharp edges 72 , using for example an HTO oxide deposition process.
  • a thick poly layer 44 ( ⁇ 0.18 ⁇ m) is then deposited over the oxide layer 42 , including filling second trenches 38 .
  • Poly layer 44 can be doped by ion implant, or by an in-situ process.
  • An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide.
  • a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum
  • a photo-resistant material is applied to the structure, and a masking step is performed to selectively remove the photo-resistant material from parallel stripe regions 46 (see FIG. 1L).
  • This masking step defines alternating parallel active (word line) regions 48 (in which active memory cells are formed) and inactive regions 46 (in which no active memory cells will be formed).
  • a series of etch processes are then performed, which do not affect the active regions 48 (which are protected by the photo-resistant material).
  • a (dry) poly etch is performed to remove the exposed poly layer 44 outside of second trenches 38 in the inactive regions 46 , using oxide layer 42 as an etch stop.
  • An oxide etch is then performed to remove exposed portions of the oxide layers 42 , 36 and 26 , using the poly layer 14 as an etch stop.
  • a poly etch follows, which removes the poly layer 14 and the remaining poly layer 44 inside of second trenches 38 .
  • the photo-resistant material in the active regions 48 is then removed.
  • the active regions 48 remain unchanged from the structure shown in FIG. 61, while the resulting structure in the inactive regions 46 is the same as that illustrated in FIG. 1M.
  • a thin layer of oxide 50 is formed over the structure (e.g. thermally grown, HTO, or CVD deposit), followed by the deposition of a thick layer of oxide 52 over the structure which fills second trenches 38 in the inactive regions 46 .
  • a planarizing oxide etch e.g. CMP
  • An oxide etch follows to fully expose the poly layer 44 in the active regions 48 .
  • the resulting structure in the active regions 48 is shown in FIG. 6J (which is unchanged from FIG. 6I), and the structure in the inactive regions 46 is shown in FIG. 6K.
  • This first alternate embodiment has the advantage of providing an additional thick oxide layer 36 between the floating gate 14 and the horizontal portion of the control gate 44 , which suppresses the coupling capacitance therebetween. This reduced coupling capacitance enhances both the erase operation and the program operation.
  • This embodiment also forms an optional overhang portion 78 on the control gates that better overhangs the sharp edges 72 of the floating gate 14 for better tunneling during the erase operation.
  • FIGS. 7A to 7 D illustrate a second alternate process for forming the memory cell structure similar to that illustrated in FIG. 4.
  • This alternate process begins with the same structure as shown in FIG. 6F, except that second nitride spacers 80 are formed inside second trenches 38 , by depositing a thick layer of nitride ( ⁇ 400-600 A) followed by a dry nitride etch. The resulting structure is shown in FIG. 7A.
  • Exposed portions of oxide layer 12 at the bottom of second trenches 38 are removed by an oxide etch process, leaving portions of the substrate 10 between spacers 80 exposed.
  • a silicon etch process is used to extend second trenches 38 down into the substrate 10 , preferably to a depth of 0.2 ⁇ m.
  • Suitable ion implantation is made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38 . Outside of second trenches 38 , the ions are blocked by the dielectric oxide layer 36 , where they have no effect.
  • the resulting structure is shown in FIG. 7B.
  • a nitride etch is used to remove nitride spacers 76 and 80 , which leaves the ends of oxide layer 26 exposed.
  • a controlled oxide etch is then used to remove the exposed ends of oxide layer 26 , leaving the sharp edges 72 of the floating gates 14 exposed, as shown-in FIG. 7C. This oxide etch also removes the exposed portions of oxide layer 12 that were underneath nitride spacers 80 .
  • a thin layer of oxide 42 is then formed over the entire structure, including inside second trenches 38 and over floating gate sharp edges 72 , using for example an HTO oxide deposition process.
  • a thick poly layer 44 ( ⁇ 0.18 ⁇ m) is then deposited over the oxide layer 42 , including filling second trenches 38 .
  • Poly layer 44 can be doped by ion implant, or by an in-situ process.
  • An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide.
  • a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum
  • the processing of the structure is then completed using the remaining steps as described with the respect to FIGS. 6J and 6K.
  • This second alternate embodiment has the further advantage of providing an offset A between the edges of the second trenches 38 in the substrate and the edges of the floating gate 14 .
  • This offset ⁇ causes part of the control gate 44 to overlap a first part of the horizontal portion 70 of the channel region 66 , while the floating gate overlaps the remaining (second) part of the channel regions second part.
  • the vertical portion 68 is not ‘aimed’ at the floating gate. Rather, this embodiment bridges a buried bit line region 40 with conventional hot electron programming, where the electrons in the channel region flow parallel to the floating gate for hot electron injection.
  • this embodiment is more immune to program disturbance during cell operation, because the electrons are not ‘aimed’ at the floating gate during a low voltage read operation, or when the memory cell is not selected during a program operation, and are therefore less likely to inadvertently be injected onto the floating gate.
  • FIGS. 8A to 8 N illustrate a third alternate process for forming the memory cell structure of the present invention.
  • This process starts by forming a layer of insulation material 84 (such as nitride) on the substrate 10 .
  • a plurality of parallel trenches 86 are formed in the nitride layer 84 by applying a photo-resistant material and performing a masking step to remove the photo-resistant material from selected parallel stripe regions.
  • An anisotropic nitride etch is used to remove the exposed portions of nitride layer 84 in the stripe regions, leaving trenches 86 that extend down to the substrate 10 , as shown in FIG. 8A.
  • Suitable ion implantation is then made across the surface of the structure to form the first regions (source regions) 34 in the substrate, formed in parallel lines that are self aligned to the trenches 86 .
  • the resulting structure is shown in FIG. 8A, with trenches 86 defined between blocks of the nitride 84 .
  • a layer of polysilicon 88 is then deposited over the structure, which fills trenches 86 , as shown in FIG. 8B.
  • a planarization poly etch process e.g. CMP
  • CMP planarization poly etch process
  • the structure is then subjected to an oxidation process, which forms a layer of oxide 90 on the exposed top surfaces of poly blocks 88 , as illustrated in FIG. 8D.
  • a nitride etch process is then used to remove nitride blocks 84 , leaving second trenches 92 defined between poly blocks 88 and extending down to the substrate 10 .
  • a linear oxidation process follows (e.g. HTO oxide deposition), which forms a thin layer of oxide 94 over the structure, including inside second trenches 92 .
  • FIG. 8E The resulting structure is shown in FIG. 8E.
  • a thick layer of material (e.g. nitride) 96 is deposited over the structure (see FIG. 8F), followed by an anisotropic nitride etch process that removes the nitride 96 except for nitride spacers 98 disposed against the sidewalls of the second trenches 92 (see FIG. 8G).
  • An oxide etch is then performed to remove the exposed portions of oxide layer 94 at the bottom of second trenches 92 , leaving portions of the substrate 10 exposed.
  • the resulting structure is shown in FIG. 8H. It should be noted that oxide could instead be used as insulation material 96 , in which case the oxide etch process after spacer formation would not be necessary.
  • a silicon etch process is used to remove portions of substrate 10 left exposed at the bottom of second trenches 92 and in-between nitride spacers 98 .
  • This etch process extends second trenches 92 down into the substrate 10 (preferably down to a depth of approximately one feature size deep, i.e. in 0.15 um technology, trenches 92 are about 0.15 um deep), where lower portions 92 a of second trenches 92 in substrate 10 have a width corresponding to the separation of nitride spacers 98 in upper portions 92 b of second trenches 92 above substrate 10 .
  • Suitable ion implantation is once again made across the entire surface of the structure. The ion implantation forms the second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 92 .
  • the resulting structure is shown in FIG. 81.
  • a nitride etch is used to remove nitride spacers 98 .
  • An optional oxide etch and oxidation process can be used to remove oxide layer 94 , and re-form it on exposed portions of poly blocks 88 and substrate 10 with a different but desired thickness.
  • a thick poly layer 100 is deposited over the structure which fills second trenches 92 , as shown in FIG. 8J.
  • Poly layer 100 can be doped by ion implant, or by in-situ process.
  • a poly etch follows, which removes poly layer 100 except for poly spacers 102 in the second trench upper portions 92 b , as shown in FIG. 8K. There may be some residual polysilicon 104 left at the bottom of second trenches 92 , which serve no constructive purpose for the formation or operation of the memory cells.
  • oxide layer 106 is formed on the exposed surfaces of the poly spacers 102 .
  • oxide layer 106 has a thickness that permits Fowler-Nordheim tunneling therethrough. This oxidation process also encapsulates any of the residual polysilicon 104 within oxide.
  • an optional oxide etch step could precede the formation of oxide layer 106 to remove the oxide layers 94 and 90 over poly blocks 88 and in trenches 92 , wherein the oxidation process used to form oxide layer 106 would also form an oxide layer over poly blocks 88 and in trenches 92 .
  • a thick poly layer 108 is then deposited over the structure, which fills second trenches 92 and extends over (and is insulated from) poly spacers 102 and poly blocks 88 . The resulting structure is shown in FIG. 8L.
  • FIG. 8L the structure shown in FIG. 8L was formed with one masking step, with second trenches 92 extending in a first direction.
  • Parallel active and inactive stripe regions that extend across and are perpendicular to the first direction of the second trenches 92 , are formed in the same manner as described above with respect to FIG. 1K (namely masking step to define alternating parallel active (word line) regions 48 and inactive regions 46 , poly and oxide etches to remove poly layer 108 , oxide layers 90 / 94 , and poly spacers 102 from the inactive regions 46 ).
  • a thick oxide deposition step covers both the active and inactive regions with a thick oxide layer 110 .
  • An oxide CMP planarization process is used to planarize the top surface of the oxide layer 110 .
  • the final active region structure is illustrated in FIG. 8M, and the final inactive regions structure is illustrated in FIG. 8N.
  • FIG. 9 illustrates the final structure of the memory cells formed by this third alternate embodiment in the active regions 48 .
  • First and second regions 34 / 40 form the source and drain for each cell (although those skilled in the art know that source and drain can be switched during operation).
  • Poly spacers 102 constitutes the floating gates, and the portions of poly layer 108 disposed in second trenches 92 and over floating gates 102 constitute the control gates for each of the memory cells.
  • the channel region 66 for each memory cell is the surface portion of the substrate that is in-between the source and drain 34 / 40 .
  • the channel region 66 for each memory cell has two portions joined together at a right angle, with the vertical portion 68 extending along the vertical wall of second trench 92 and a horizontal portion 70 extending between the second trench 92 and the source region 34 .
  • the floating gate 102 is disposed directly over, but insulated from, the horizontal portion 70 of the channel region 66 .
  • the process of the present invention forms pairs of memory cells that mirror each other, with a memory cell formed on each side of the second trenches 92 that share a common bit-line region 40 .
  • each source line region 34 is shared between adjacent memory cells from different mirror sets of memory cells. The entire row of memory cells shares a single poly layer 108 that acts as the control gate for all the memory cells in that row.
  • the memory cell structure shown in FIG. 9 includes “a raised source line” 88 , meaning that the conductive poly block 88 runs along (and is electrically connected.to) the source line 34 , but is disposed above the substrate surface.
  • the raised source lines 88 have sidewalls that are disposed laterally adjacent to sidewalls of floating gates 102 , but are insulated therefrom by oxide layer 94 .
  • This configuration provides capacitive coupling between the raised source lines 88 and floating gates 102 (without the need to overlap the floating gates with the source regions 34 , thus reducing the size of the memory cells).
  • the floating gates 102 each have sharp edges 112 that face toward the control gate poly 108 for enhancing the electric field therebetween.
  • the poly blocks 88 are self aligned to the source regions 34
  • the floating gates 102 are self aligned between the poly blocks 88 and the control gate poly 108 (and thus are self aligned to the first and second portions 68 / 70 of the channel region 66 ).
  • capacitive coupling between the raised source line 88 and the adjacent floating gates 102 can be enhanced by forming a layer of insulation material between the raised source lines 88 and the source regions 34 .
  • an oxidation step can be performed to form an oxide layer 114 on the substrate surface before the poly layer 88 is deposited (in FIG. 8B), which results in the final structure illustrated in FIG. 10.
  • a voltage can be applied to poly block 88 independent of source region 34 .
  • poly block 88 can be raised to a higher voltage than that of the source region 34 to better capacitively couple a higher voltage to the adjacent floating gates 102 during the programming operation, and a negative voltage can be applied to the poly block 88 to enable a more efficient erase operation.
  • second trenches can end up having any shape that extends into the substrate, not just the elongated rectangular shape shown in the figures.
  • second trenches can end up having any shape that extends into the substrate, not just the elongated rectangular shape shown in the figures.
  • any appropriate conductive material can be used.
  • any appropriate insulator can be used in place of silicon dioxide or silicon nitride.
  • any appropriate material whose etch property differs from silicon dioxide (or any insulator) and from polysilicon (or any conductor) can be used in place of silicon nitride.
  • the above described invention is shown to be formed in a substrate which is shown to be uniformly doped, but it is well known and contemplated by the present invention that memory cell elements can be formed in well regions of the substrate too, which are regions that are doped to have a different conductivity type compared to other portions of the substrate.
  • single layers of insulating or conductive material could be formed as multiple layers of such materials, and vice versa.

Abstract

A self aligned method of forming an array of floating gate memory cells, and an array formed thereby, wherein each memory cell includes a trench formed into a surface of a semiconductor substrate, and spaced apart source and drain regions with a channel region formed therebetween. The drain region is formed underneath the trench, and the channel region includes a first portion that extends vertically along a sidewall of the trench and a second portion that extends horizontally along the substrate surface. An electrically conductive floating gate is formed over and insulated from a portion of the channel region. A raised source line of conductive material is disposed over the source region, and laterally adjacent to and insulated from the floating gate. An electrically conductive control gate is formed having a first portion disposed in the trench and a second portion formed over but insulated from the floating gate.

Description

    TECHNICAL FIELD
  • The present invention relates to a self-aligned method of forming a semiconductor memory array of floating gate memory cells. The present invention also relates to a semiconductor memory array of floating gate memory cells of the foregoing type. [0001]
  • BACKGROUND OF THE INVENTION
  • Non-volatile semiconductor memory cells using a floating gate to store charges thereon and memory arrays of such non-volatile memory cells formed in a semiconductor substrate are well known in the art. Typically, such floating gate memory cells have been of the split gate type, or stacked gate type. [0002]
  • One of the problems facing the manufacturability of semiconductor floating gate memory cell arrays has been the alignment of the various components such as source, drain, control gate, and floating gate. As the design rule of integration of semiconductor processing decreases, reducing the smallest lithographic feature, the need for precise alignment becomes more critical. Alignment of various parts also determines the yield of the manufacturing of the semiconductor products. [0003]
  • Self-alignment is well known in the art. Self-alignment refers to the act of processing one or more steps involving one or more materials such that the features are automatically aligned with respect to one another in that step processing. Accordingly, the present invention uses the technique of self-alignment to achieve the manufacturing of a semiconductor memory array of the floating gate memory cell type. [0004]
  • There is also a constant need to shrink the size of the memory cell arrays in order to maximize the number of memory cells on a single wafer. It is well known that forming memory cells in pairs, with each pair sharing a single source region, and with adjacent pairs of cells sharing a common drain region, reduces the size of the memory cell array. However, a large area of the array is typically reserved for the bit-line connection to the drain regions. The bit-line area is often occupied by the contact openings between memory cell pairs, and the contact to wordline spacing, which strongly depends upon lithography generation, contact alignment and contact integrity. In addition, significant space is reserved for the word-line transistor, the size of which is set by lithography generation and junction scaling. [0005]
  • There is a need for a non-volatile, floating gate type memory cell array with significant cell size reduction. [0006]
  • SUMMARY OF THE INVENTION
  • The present invention solves the above mentioned problems by providing a self aligned method of forming memory cells with reduced size, by minimizing the space needed for the bit-line connection and word-line. transistor, and a memory cell array formed thereby. [0007]
  • The electrically programmable and erasable memory device of the present invention includes a substrate of semiconductor material of a first conductivity type, a trench formed into a surface of the substrate, first and second spaced-apart regions formed in the substrate and having a second conductivity type with a channel region formed in the substrate therebetween, wherein the second region is formed underneath the trench and the channel region includes a first portion that extends substantially along a sidewall of the trench and a second portion that extends substantially along the surface of the substrate, a block of conductive material disposed over the first region, an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material, and an electrically conductive control gate having a first portion disposed in the trench. [0008]
  • In another aspect of the present invention, an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, a plurality of trenches formed into a surface of the substrate which are substantially parallel to one another and extend across the isolation and active regions in a second direction that is substantially perpendicular to the first direction, a plurality of electrically conductive control gates, and a plurality of memory cells in each of the active regions. Each of the memory cells includes first and second spaced-apart regions formed in the substrate having a second conductivity type, with a channel region formed in the substrate therebetween, wherein the second region is formed underneath one of the trenches, and wherein the channel region has a first portion extending substantially along a sidewall of the one trench and a second portion extending substantially along the surface of the substrate, a block of conductive material disposed over the first region, and an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material. The plurality of electrically conductive control gates each extends along one of the active regions, wherein the control gates each have first portions disposed in the trenches. [0009]
  • In yet another aspect of the present invention, a method of forming a semiconductor memory cell comprises the steps of: forming a first region in a semiconductor substrate, wherein the substrate has a first conductivity type and the first region has a second conductivity type, forming a trench into a surface of the semiconductor substrate, wherein the trench is spaced apart from the first region, forming a second region in the substrate and underneath the trench, wherein the second region has the second conductivity type and a channel region in the substrate is defined between the first and second regions, the channel region includes a first portion that extends substantially along a sidewall of the trench and a second portion that extends substantially along the substrate surface, forming a block of conductive material disposed over the first region, forming a floating gate of electrically conductive material disposed over and insulated from at least a portion of the channel region, and disposed laterally adjacent to and insulated from the block of conductive material, and forming a control gate of electrically conductive material having a first portion disposed in the trench. [0010]
  • In still yet another aspect of the present invention, a method of forming an array of semiconductor memory cells comprises the steps of: forming a plurality of first regions in a semiconductor substrate that are substantially parallel to one another and extend in a first direction, wherein the substrate has a first conductivity type and the first regions have a second conductivity type, forming a plurality of trenches into a surface of the semiconductor substrate, wherein the trenches are spaced apart from and extend substantially parallel to the first regions, forming a plurality of second regions in the substrate having the second conductivity type and are substantially parallel to one another, each of the second regions extends in the first direction and is formed underneath one of the trenches, wherein a plurality of channel regions in the substrate are defined each having a first portion extending substantially along a sidewall of one of the trenches and a second portion that extends substantially along the substrate surface between the one trench and one of the first regions, forming a plurality of blocks of conductive material that are substantially parallel to one another and extend in the first direction, wherein each of the conductive blocks is disposed over one of the first regions, forming a plurality of floating gates of electrically conductive material each disposed over and insulated from at least a portion of one of the channel regions, and disposed laterally adjacent to and insulated from one of the blocks of conductive material, and forming a plurality of control gates of electrically conductive material each having a first portion disposed in one of the trenches, and a second portion disposed over and insulated from one of the floating gates. [0011]
  • In one more aspect of the present invention, an electrically programmable and erasable memory device includes a substrate of semiconductor material of a first conductivity type, first and second spaced-apart regions formed in the substrate and having a second conductivity type, with a non-linear channel region therebetween, a block of conductive material disposed over the first region, and a floating gate disposed over and insulated from a surface of the substrate and disposed laterally adjacent to and insulated from the block of conductive material. The channel region defines a path for programming the floating gate with electrons from the second region. [0012]
  • In another aspect of the present invention, an electrically programmable and erasable memory device includes a substrate of semiconductor material of a first conductivity type, an electrically conductive control gate having a first portion formed in the substrate, first and second spaced-apart regions formed in the substrate and having a second conductivity type, with a non-linear channel region therebetween, wherein the second region is formed underneath and is insulated from the control gate first portion, and the channel region includes a first portion that extends substantially along the control gate first portion and a second portion that extends substantially along a surface of the substrate, a block of conductive material disposed over the first region, and an electrically conductive floating gate disposed over and insulated from at least a portion of the channel region and disposed laterally adjacent to and insulated from the block of conductive material. [0013]
  • In still another aspect of the present invention, an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type and having a surface, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, each of the active regions including a plurality of memory cells, wherein each of the memory cells includes an electrically conductive floating gate disposed over and insulated from the substrate surface, a plurality of first regions formed in the substrate and having a second conductivity type, each of the first regions extends across the active regions and isolation regions in a second direction perpendicular to the first direction, a plurality of second regions formed in the substrate and having the second conductivity type, each of the second regions extends across the active regions and the isolation regions in the second direction and is disposed between a pair of the first regions, wherein the second regions are buried underneath the substrate surface, a plurality of blocks of conductive material that are substantially parallel to one another and extend across the active regions and isolation regions in the second direction, wherein each of the blocks of conductive material is disposed over one of the first regions and includes portions that are disposed laterally adjacent to and insulated from the floating gates, and a plurality of electrically conductive control gates each extending along one of the active regions in the first direction. [0014]
  • In one last aspect of the present invention, an array of electrically programmable and erasable memory devices includes a substrate of semiconductor material of a first conductivity type, spaced apart isolation regions formed on the substrate which are substantially parallel to one another and extend in a first direction, with an active region between each pair of adjacent isolation regions, a plurality of spaced apart first and second regions formed in the semiconductor substrate having a second conductivity type and extending across the active and isolation regions in a second direction substantially perpendicular to the first direction, wherein the first and second regions have a second conductivity type and define a plurality of channel regions formed in the substrate therebetween, a plurality of blocks of conductive material that are substantially parallel to one another and extend in the second direction, wherein each of the conductive blocks is disposed over and electrically connected to one of the first regions, a plurality of floating gates of electrically conductive material each disposed over and insulated from at least a portion of one of the channel regions, and disposed laterally adjacent to and insulated from one of the blocks of conductive material, and a plurality of control gates of electrically conductive material extending in the first direction and having portions that are each disposed over and insulated from one of the floating gates. [0015]
  • Other objects and features of the present invention will become apparent by a review of the specification, claims and appended figures. [0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1K are cross sectional views of a semiconductor structure showing in sequence the steps in the processing of the semiconductor structure in the formation of a non volatile memory array of floating memory cells of the present invention. [0017]
  • FIG. 1L is a top view showing the active and inactive regions, and the intersection thereof with the source and bit lines, formed by the process of the present invention. [0018]
  • FIG. 1M is a cross sectional view showing the next step(s) in the processing of the inactive regions formed by the process of the present invention. [0019]
  • FIG. 1N is a cross sectional view showing the next step(s) in the processing of the active regions formed by the process of the present invention. [0020]
  • FIG. 1O is a cross sectional view showing the next step(s) in the processing of the inactive regions formed by the process of the present invention. [0021]
  • FIG. 2A is a top view showing the active and inactive regions, the intersection thereof with the source and bit lines, and the bit strap implant regions, formed by the process of the present invention. [0022]
  • FIG. 2B is a cross sectional view of one of the second trenches, including the bit strap implant areas of the present invention. [0023]
  • FIG. 3 is a schematic diagram of the memory cell array of the present invention, with control gate transistor portions formed in the trench side-wall areas schematically shown as vertical gates. [0024]
  • FIG. 4 is a cross sectional view of the active regions showing the memory cell structure of the present invention. [0025]
  • FIG. 5 is a schematic diagram showing the operation of the memory cell array of the present invention. [0026]
  • FIGS. 6A-6K are cross sectional views of a semiconductor structure showing in sequence the steps in a first alternate processing of the semiconductor structure of FIG. 1C in the formation of a non volatile memory array of floating memory cells of the present invention. [0027]
  • FIGS. 7A-7D are cross sectional views of a semiconductor structure showing in sequence the steps in a second alternate processing of the semiconductor structure of FIG. 6F in the formation of a non volatile memory array of floating memory cells of the present invention. [0028]
  • FIGS. 8A-8N are cross sectional views of a semiconductor structure showing in sequence the steps in a third alternate processing of the semiconductor substrate in the formation of a non volatile memory array of floating memory cells of the present invention. [0029]
  • FIG. 9 is a cross sectional view of the active regions of the third alternate embodiment showing the memory cell structure of the present invention. [0030]
  • FIG. 10 is a cross sectional view of the active regions of the third alternate embodiment that includes an oxide layer insulating the [0031] poly blocks 88 from the source regions 34.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The method of the present invention is illustrated in FIGS. 1A-10, and begins with a [0032] semiconductor substrate 10, which is preferably of P type and is well known in the art. The thicknesses of the layers described below will depend upon the design rules and the process technology generation. What is described herein is for the 0.13 micron process. However, it will be understood by those skilled in the art that the present invention is not limited to any specific process technology generation, nor to any specific value in any of the process parameters described hereinafter. As shown in FIG. 1A, a thin layer of insulation material 12, such as silicon dioxide (hereinafter “oxide”) having a thickness approximately 80 A, is formed on substrate 10 by any well known technique such as oxidation or deposition (e.g. chemical vapor deposition or CVD). A layer of conductive material 14, such as polysilicon (hereinafter “poly”), is deposited with an approximate thickness of 700 A on top of the layer of insulation material 12 by any well known process such as Low Pressure CVD or LPCVD. Poly layer 14 may be doped by ion implantation. Another layer of insulation material 18, such as silicon nitride (hereinafter “nitride”), is deposited with an approximate thickness of 3000 A over the poly layer 14, preferably by CVD.
  • Once the [0033] oxide layer 12, the poly layer 14, and nitride layer 18 have been formed, a conventional photo-lithography scheme is used to form semi-recessed first trenches 20 in the structure in the following manner. A suitable photo-resistant material 19 is applied on the nitride layer 18 and a masking step is performed to selectively remove the photo-resistant material 19 from selected parallel stripe regions (under which the first trenches 20 will be formed). Where the photo-resist material 19 is removed, the exposed silicon nitride 18 is removed using a standard nitride etch process (e.g. anisotropic nitride etch), leaving blocks of nitride 22 and first trenches 20 formed therebetween. An optional poly etch follows to recess the top portion of poly layer 14, and to create sloped regions 24 where the poly layer 14 meets the nitride blocks 22. The width W of first trenches 20 can be as small as the smallest lithographic feature of the process used. Where the photo resist 19 is not removed, the underlying nitride layer 18, poly layer 14 and oxide layer 12 are maintained. The resulting structure is illustrated in FIG. 1B.
  • The structure is further processed to remove the remaining photo resist [0034] 19. Then, a thermal oxidation process is used to oxidize the poly layer 14 to form a lens-shaped oxide layer 26 over the exposed portions of poly layer 14, as shown in FIG. 1C. The lens shape is caused by the sloping portions 24 of the underlying poly layer 14, and the nitride region 22 blocking oxidation at the poly-nitride interface.
  • A thin layer of nitride [0035] 28 (˜400 A) is formed over the structure (e.g. using convention chemical vapor deposition (CVD)), which is used to protect the lens-shaped oxide layer 26. Insulation spacers 32 are then formed along the side wall surfaces of first trenches 20. Formation of spacers is well known in the art, and involves the deposition of a material over the contour of a structure, followed by an anisotropic etch process, whereby the material is removed from horizontal surfaces of the structure, while the material remains largely intact on vertically oriented surfaces of the structure. Spacers 32 can be formed of any dielectric material with good etch selectivity to nitride layer 28. In the structure illustrated in FIG. 1C, insulation spacers 32 are formed of oxide by depositing a thick layer 30 of oxide (˜2500 A) over the entire structure, as shown in FIG. 1D. An anisotropic etch process, such as the well known Reactive Ion Etch (RIE), is used to remove the deposited oxide layer 30, except for spacers 32, as shown in FIG. 1E. This etch process uses the nitride layer 28 as an etch stop.
  • Narrower [0036] lower portions 21 of first trenches 20 are formed by a series of anisotropic etch steps for layers of materials that are left exposed between spacers 32, starting with a thin nitride etch step that removes the exposed portions of nitride layer 28. This nitride etch uses oxide layer 26 as an etch stop, and also removes the exposed portions of nitride layer 28 on top of nitride blocks 22. An oxide etch is then used to remove the exposed portions of oxide layer 26, with poly layer 14 used as an etch stop. During this etch step, some of the exposed spacer oxide 32 may be removed. A poly etch step is then used to remove the exposed portions of poly layer 14 to expose oxide layer 12 at the bottom of each of the first trenches 20. Suitable ion implantation is then made across the entire surface of the structure. Where the ions have sufficient energy to penetrate exposed portions of oxide layer 12 in each first trench 20, they then form first regions (source line regions) 34 in the substrate 10. In all other regions, the ions are absorbed by the underlying structure, where they have no effect. The implanted source regions 34 are formed in parallel lines that are self aligned to the lower portions 21 of first trenches 20. A mask (not shown) should be used along the edges of the array to block the implantation of regions 34 and prevent adjacent source regions 34 from being shorted together. The resulting structure is shown in FIG. 1F.
  • A [0037] thick oxide layer 36 is deposited over the structure, followed by a planarization oxide etch process (e.g. Chemical-Mechanical-Polishing or CMP etch) that etches the thick oxide layer 36 down to the tops of nitride blocks 22, which are used as the etch stop. Oxide layer 36 is further anisotropically etched (e.g. by RIE) below the tops of nitride blocks 22, resulting in the structure shown in FIG. 1G.
  • Parallel [0038] second trenches 38 extending down to oxide layer 12 are formed by first performing a nitride etch process to remove nitride blocks 22 and the exposed portions of nitride layer 28, followed by a poly etch step that removes the exposed portions of poly layer 14. The resulting structure is shown in FIG. 1H.
  • Exposed portions of [0039] oxide layer 12 at the bottom of second trenches 38 and oxide layer 26 are removed by an anisotropic oxide etch process, leaving portions of the substrate 10 exposed. A silicon etch process is used to remove exposed portions of poly layer 14, and to extend second trenches 38 down into the substrate 10 (preferably to a depth of approximately 0.2 μm). Suitable ion implantation is once again made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38. Outside of second trenches 38, the ions are blocked by the dielectric oxide layer 36, where they have no effect. The resulting structure is shown in FIG. 1I.
  • An oxide etch (preferably a dry oxide etch using [0040] nitride layer 28 as an etch stop) is used to remove oxide layer 36, except for the portions disposed in the lower portions 21 of first trenches 20. A thin nitride etch follows which removes nitride layer 28 (and uses oxide layer 26 as an etch stop). A thin layer of oxide 42 is then formed over the entire structure, including inside second trenches 38, using for example an HTO oxide deposition process. The resulting structure is shown in FIG. 1J.
  • A [0041] thick poly layer 44 is deposited over the structure (e.g. ˜0.18 μM), including filling second trenches 38. Poly layer 44 can be doped by ion implant, or by an in-situ process. An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide. The resulting structure is shown in FIG. 1K. As described later, oxide layer 42, together possibly with part of oxide layer 26, form an insulation layer having a thickness that permits Fowler-Nordheim tunneling therethrough.
  • Thus far, the structure shown in FIG. 1K was formed with one masking step, with [0042] trenches 38 extending in a first direction. Parallel active and inactive stripe regions, that extend across and are perpendicular to the first direction of the second trenches 38, are formed in the following manner. A photo-resistant material is applied to the structure shown in FIG. 1K, and a masking step is performed to selectively remove the photo-resistant material from parallel stripe regions 46, as shown in FIG. 1L. This masking step defines alternating parallel active (word line) regions 48 (in which active memory cells are formed) and inactive regions 46 (in which no active memory cells will be formed). A series of etch processes are then performed, which do not affect the active regions 48 (which are protected by the photo-resistant material). First, a (dry) poly etch is performed to remove the exposed poly layer 44 outside of second trenches 38 in the inactive regions 46, using oxide layer 42 as an etch stop. An oxide etch is then performed to remove the oxide layers 42 and 26 disposed over poly layer 14, using the poly layer 14 as an etch stop. A poly etch follows, which removes the poly layer 14 and the remaining poly layer 44 inside of second trenches 38. The photo-resistant material in the active regions 48 is then removed. The active regions 48 remain unchanged from the structure shown in FIG. 1K, while the resulting structure in the inactive regions 46 is illustrated in FIG. 1M.
  • A thin layer of [0043] oxide 50 is formed over the structure (e.g. thermally grown, HTO, or CVD deposit), followed by the deposition of a thick layer of oxide 52 over the structure which fills second trenches 38 in the inactive regions 46. A planarizing oxide etch (e.g. CMP) is then used to level out oxide layer 52. An oxide etch follows to fully expose the poly layer 44 in the active regions 48. The resulting structure in the active regions 48 is shown in FIG. 1N (which is unchanged from FIG. 1K), and the structure in the inactive regions 46 is shown in FIG. 10.
  • FIG. 2A illustrates a top view of the resulting memory cell array, which includes rows of alternating [0044] active regions 48 and inactive regions 46, and columns of alternating source line regions 34 and second (bit-line) trenches 38 formed in the substrate 10. Bit line regions 40 are formed under the second (bit-line) trenches 38.
  • As shown in FIGS. 2A and 2B, at one edge of the array, bit-line [0045] strap implant areas 54 are formed in which the second (buried bit-line) region 40 rises up to the surface of the silicon substrate 10. There are several ways to form the rising portions of second region 40. A mask is used to cover the structure except for the gap between the buried and surface portions of second region 40. An implant step is performed wherein the implant energy is selected so that the implanted area joins the buried and surface portions of second region 40. Alternately, a large angle implant can be used to form second regions 40 at the bottom of trenches 38, which will also create the rising portions of second region 40 up to the substrate surface.
  • Contacts [0046] 56 (preferably metal) are formed over the substrate 10 to make electrical contact with the raised portions of second (buried bit-line) regions 40. Contacts 56 are preferably formed by depositing passivation, such as BPSG 58, over the entire structure. A masking step is performed to define etching areas over the raised portions of the second (buried bit-line) regions 40. The BPSG 58 is selectively etched in the masked regions to create contact openings, which are then filled with a conductor metal 56 by metal deposition and planarization etch-back. Bit line connectors 60 are added by metal masking over the BPSG 58, to connect to contacts 56. Metal contacts 62 are also formed in the same manner in one or more of the inactive regions 46 to connect with the source line regions 34, which includes an oxide etch to remove portions of oxide layers 12, 36, 50 and 52 disposed over the source regions 34. It should be noted that strap implant areas can be formed at the ends of the memory arrays, and/or at one or more intermediate locations throughout the memory cell array.
  • FIG. 3 is a schematic circuit diagram of the memory cell array formed by the above described process. There are a plurality of [0047] memory cells 64 arranged in rows and columns. The rows of word lines (WL1, WL2, WL3, . . . WLn+1) correspond to the stripes of(WL) poly layer 44 that extend along the row length of the active regions 48. The alternating columns of bit-lines (BL1, BL2, . . . ) and source lines (SL1, SL2, . . . . ) correspond to the buried bit line regions 40 and the source line regions 34, respectively, formed in the substrate that traverse the rows of active regions 48.
  • FIG. 4 illustrates the final structure of the [0048] memory cells 64 formed in the active regions 48. First and second regions 34/40 form the source and drain for each cell (although those skilled in the art know that source and drain can be switched during operation). Poly layer 14 constitutes the floating gate, and the portions of poly layer 44 disposed in second trenches 38 and over floating gate 14 constitutes the control gate for each of the memory cells. The channel region 66 for each cell is the surface portion of the substrate that is in-between the source and drain 34/40. The channel region 66 for each memory cell has two portions joined together at a right angle, with a vertical portion 68 extending along the vertical wall of second trench 38 and a horizontal portion 70 extending between the second trench 38 and the source region 34. The floating gate 14 is disposed over, but insulated from, the horizontal portion 70 of the channel region 66, and a portion of the source region 34. The floating gates 14 each have a sharp edge 72 that faces toward a notch 74 formed in the control gates 44 over the edges of second trenches 38. As illustrated in the FIG. 4, the process of the present invention forms pairs of memory cells that mirror each other, with a memory cell formed on each side of the second trenches 38 that share a common bit-line region 40. Similarly, each source line region 34 is shared between adjacent memory cells from different mirror sets of memory cells. The entire row of memory cells shares a single poly layer 44 that acts as the control gate for all the memory cells in that row.
  • Memory Cell Operation [0049]
  • The operation of the memory cells will now be described below with reference to FIGS. 4 and 5. The operation and theory of operation of such memory cells are also described in U.S. Pat. No. 5,572,054, whose disclosure is incorporated herein by reference with regard to the operation and theory of operation of a non-volatile memory cell having a floating gate and a control gate, floating gate to control gate tunneling, and an array of memory cells formed thereby. [0050]
  • To initially erase a selected [0051] memory cell 64 in any given active region 48, a ground potential is applied to both its source 34 and drain 40. A high-positive voltage, on the order of +12 volts, is applied to the control gate 44. Electrons on the floating gate 14 are induced through the Fowler-Nordheim tunneling mechanism to tunnel through the oxide layer 42 to the control gate 44, leaving the floating gate 14 positively charged. Tunneling is enhanced by the sharp edge 72 formed on each floating gate 14. It should be noted that since the control gate 44 runs along the length of the active region 48, the entire row of memory cells 64 in the selected active region 48 are ‘erased’.
  • When a selected [0052] memory cell 64 is desired to be programmed, a small voltage (e.g. 0.5 to 1.0 V) is applied to its drain region 40. A positive voltage level in the vicinity of the threshold voltage of the MOS structure defined by the control gate 44 (on the order of approximately +1.8 volts) is applied to its control gate 44. A positive high voltage, on the order of 9 or 10 volts, is applied to its source region 34. Electrons generated by the drain region 40 will flow from the drain region 40 towards the source region 34 through the weakly-inverted vertical portion 68 of the channel region 66. As the electrons reach the horizontal portion 70 of the channel region 66, they will see the high potential of the near end of floating gate 14 (because the floating gate 14 is more strongly capacitively coupled to the positively charged source region 34 than to the control gate 44). The electrons will accelerate and become heated, with most of them being injected into and through the insulating layer 12 and onto the floating gate 14. Ground potential and Vdd (approximately 1.5 to 3.3 volts depending upon the power supply voltage of the device) are applied to the source lines 34 and bit-lines 40, respectively, for memory cell columns not containing the selected memory cell 64, as well as to the control gates 44 for memory cell rows not containing the selected memory cell 64. Thus, only the memory cell 64 in the selected row and column is programmed.
  • The injection of electrons onto the floating [0053] gate 14 will continue until the reduction of the charge on the near end of the floating gate 14 can no longer sustain a high surface potential along the horizontal channel region portion 70 to generate hot electrons. At that point, the electrons or the negative charges in the floating gate 14 will decrease the electron flow from the drain region 40 onto the floating gate 14.
  • Finally, to read a selected [0054] memory cell 64, ground potential is applied to its source region 34. A read voltage of approximately +1 volt is applied to its drain region 40 and approximately 1.5 to 3.3 volts (depending upon the power supply voltage of the device) is applied to its control gate 44. If the floating gate 14 is positively charged (i.e. the floating gate is discharged of electrons), then the horizontal portion 70 of the channel region 66 (directly underneath the floating gate 14) is turned on. When the control gate 44 is raised to the read potential, the vertical portion 68 of the channel region 66 (directly adjacent the control gate 44) is also turned on. Thus, the entire channel region 66 will be turned on, causing electrical current to flow from the source regions 34 to the drain region 40. This would be the “1” state.
  • On the other hand, if the floating [0055] gate 14 is negatively charged, the horizontal portion 70 of the channel region 66 (directly below the floating gate 14) is either weakly turned on or is entirely shut off. Even when the control gate 44 and the drain region 40 are raised to the read potential, little or no current will flow through horizontal portion 70 of channel region 66. In this case, either the current is very small compared to that of the “1” state or there is no current at all. In this manner, the memory cell 64 is sensed to be programmed at the “0” state. Ground potential is applied to the source lines 34, bit-lines 40, and control gates 44 for non-selected columns and rows so only the selected memory cell 64 is read.
  • The memory cell array includes peripheral circuitry including conventional row address decoding circuitry, column address decoding circuitry, sense amplifier circuitry, output buffer circuitry and input buffer circuitry, which are well known in the art. [0056]
  • The present invention provides a memory cell array with reduced size and superior program efficiency. Memory cell size is reduced by as much as almost 50% because the [0057] bit line region 40 is buried inside the substrate 10, and the bit line regions 40 are self aligned to the second trenches, where space is not wasted due to limitations in the lithography generation, contact alignment and contact integrity. Cell areas of approximately 0.21 μm and 0.14 μm can be achieved by the present invention using 0.18 μm and 0.13 μm technology generations, respectively. Program efficiency is greatly enhanced by “aiming” the vertical portion 68 of the channel region 66 at the floating gate 14. In conventional programming schemes, the electrons in the channel region flow in a path parallel to the floating gate, where a relatively small number of the electrons become heated and are injected onto the floating gate. The estimated program efficiency (number of electrons injected compared to total number of electrons) is estimated at about {fraction (1/1000)}. However, because the first portion of the channel region defines an electron path that is ‘aimed’ directly at the floating gate, the program efficiency of the present invention is estimated to be closer to 1/1, where almost all the electrons are injected onto the floating gate.
  • Also with the present invention, the control gate region formed in the side-wall of the [0058] trenches 38 can be separately optimized for conduction performance as well as punch-through immunity without affecting cell size. Additionally, the punch-through suppression between source region 34 and the buried bit-line region 40 can be optimized by embedding the source region having a first conductivity type (e.g. N type) in a well having a second conductivity type (e.g. P type) different from the first conductivity type, along with using other sub-surface implant(s) that do not affect the conduction characteristics of the memory cell. Furthermore, having source region 34 and bit-line region 40 separated vertically as well as horizontally allows easier optimization of reliability parameters without affecting cell size.
  • First Alternate Embodiment
  • FIGS. 6A to [0059] 6K illustrate an alternate process for forming the memory cell structure similar to that illustrated in FIG. 4. This alternate process begins with the same structure as shown in FIG. 1C, except that the formation of the thin layer of nitride 28 is omitted. Instead, the deposition of the thick layer 30 of oxide is performed directly over nitride blocks 22 and oxide layer 26 (˜2500 A), as shown in FIG. 6A. An anisotropic etch process (e.g. RIE) is used to remove the deposited oxide layer 30, except for spacers 32, as shown in FIG. 6B. This etch process uses the poly layer 14 as an etch stop, and therefore a portion of the oxide layer 26 at the center bottom of first trenches 20 is also removed.
  • Narrower [0060] lower portions 21 of first trenches 20 are formed by a poly etch step that is used to remove the exposed portions of poly layer 14 between spacers 32 to expose oxide layer 12 at the bottom of each of the first trenches 20. Suitable ion implantation is then made across the entire surface of the structure. Where the ions have sufficient energy to penetrate exposed portions of oxide layer 12 in each first trench 20, they then form first regions (source line regions) 34 in the substrate 10. In all other regions, the ions are absorbed by the underlying structure, where they have no effect. The implanted source regions 34 are formed in parallel lines that are self aligned to the lower portions 21 of first trenches 20. A mask (not shown) should be used along the edges of the array to block the implantation of regions 34 and prevent adjacent source regions 34 from being shorted together. The resulting structure is shown in FIG. 6C.
  • A [0061] thick oxide layer 36 is deposited over the structure, followed by a planarization oxide etch process (e.g. Chemical-Mechanical-Polishing or CMP etch) that etches the thick oxide layer 36 down to the tops of nitride blocks 22, which are used as the etch stop. Oxide layer 36 is further etched below the tops of nitride blocks 22, resulting in the structure shown in FIG. 6D.
  • A nitride etch process is used to remove [0062] nitride blocks 22 and form second trenches 38 that extend down to poly layer 14. Nitride spacers 76 are then formed on sidewalls of the second trenches 38 by depositing a layer of nitride (˜200-400 A) over the structure, followed by a nitride etch that removes the deposited nitride except for nitride spacers 76, as shown in FIG. 6E. A poly etch step is then used to remove portions of poly layer 14 exposed between spacers 76, which extends second trenches 38 down to oxide layer 12. The resulting structure is shown in FIG. 6F.
  • Exposed portions of [0063] oxide layer 12 at the bottom of second trenches 38 are removed by an oxide etch process, leaving portions of the substrate 10 between spacers 76 exposed. A silicon etch process is used to extend second trenches 38 down into the substrate 10, preferably to a depth of 0.2 μm. Suitable ion implantation is made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38. Outside of second trenches 38, the ions are blocked by the dielectric oxide layer 36, where they have no effect. The resulting structure is shown in FIG. 6G.
  • A nitride etch is used to remove [0064] nitride spacers 76, which leaves the ends of oxide layer 26 exposed. A controlled oxide etch is then used to remove the exposed ends of oxide layer 26, leaving the sharp edges 72 of the floating gates 14 exposed, as shown in FIG. 6H. A thin layer of oxide 42 is then formed over the entire structure, including inside second trenches 38 and over floating gate sharp edges 72, using for example an HTO oxide deposition process. A thick poly layer 44 (˜0.18 μm) is then deposited over the oxide layer 42, including filling second trenches 38. Poly layer 44 can be doped by ion implant, or by an in-situ process. An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide. The resulting structure is shown in FIG. 6I.
  • A photo-resistant material is applied to the structure, and a masking step is performed to selectively remove the photo-resistant material from parallel stripe regions [0065] 46 (see FIG. 1L). This masking step defines alternating parallel active (word line) regions 48 (in which active memory cells are formed) and inactive regions 46 (in which no active memory cells will be formed). A series of etch processes are then performed, which do not affect the active regions 48 (which are protected by the photo-resistant material). First, a (dry) poly etch is performed to remove the exposed poly layer 44 outside of second trenches 38 in the inactive regions 46, using oxide layer 42 as an etch stop. An oxide etch is then performed to remove exposed portions of the oxide layers 42, 36 and 26, using the poly layer 14 as an etch stop. A poly etch follows, which removes the poly layer 14 and the remaining poly layer 44 inside of second trenches 38. The photo-resistant material in the active regions 48 is then removed. The active regions 48 remain unchanged from the structure shown in FIG. 61, while the resulting structure in the inactive regions 46 is the same as that illustrated in FIG. 1M.
  • A thin layer of [0066] oxide 50 is formed over the structure (e.g. thermally grown, HTO, or CVD deposit), followed by the deposition of a thick layer of oxide 52 over the structure which fills second trenches 38 in the inactive regions 46. A planarizing oxide etch (e.g. CMP) is then used to level out oxide layer 52. An oxide etch follows to fully expose the poly layer 44 in the active regions 48. The resulting structure in the active regions 48 is shown in FIG. 6J (which is unchanged from FIG. 6I), and the structure in the inactive regions 46 is shown in FIG. 6K.
  • This first alternate embodiment has the advantage of providing an additional [0067] thick oxide layer 36 between the floating gate 14 and the horizontal portion of the control gate 44, which suppresses the coupling capacitance therebetween. This reduced coupling capacitance enhances both the erase operation and the program operation. This embodiment also forms an optional overhang portion 78 on the control gates that better overhangs the sharp edges 72 of the floating gate 14 for better tunneling during the erase operation.
  • Second Alternate Embodiment
  • FIGS. 7A to [0068] 7D illustrate a second alternate process for forming the memory cell structure similar to that illustrated in FIG. 4. This alternate process begins with the same structure as shown in FIG. 6F, except that second nitride spacers 80 are formed inside second trenches 38, by depositing a thick layer of nitride (˜400-600 A) followed by a dry nitride etch. The resulting structure is shown in FIG. 7A.
  • Exposed portions of [0069] oxide layer 12 at the bottom of second trenches 38 are removed by an oxide etch process, leaving portions of the substrate 10 between spacers 80 exposed. A silicon etch process is used to extend second trenches 38 down into the substrate 10, preferably to a depth of 0.2 μm. Suitable ion implantation is made across the entire surface of the structure. The ion implantation forms second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 38. Outside of second trenches 38, the ions are blocked by the dielectric oxide layer 36, where they have no effect. The resulting structure is shown in FIG. 7B.
  • A nitride etch is used to remove [0070] nitride spacers 76 and 80, which leaves the ends of oxide layer 26 exposed. A controlled oxide etch is then used to remove the exposed ends of oxide layer 26, leaving the sharp edges 72 of the floating gates 14 exposed, as shown-in FIG. 7C. This oxide etch also removes the exposed portions of oxide layer 12 that were underneath nitride spacers 80.
  • A thin layer of [0071] oxide 42 is then formed over the entire structure, including inside second trenches 38 and over floating gate sharp edges 72, using for example an HTO oxide deposition process. A thick poly layer 44 (˜0.18 μm) is then deposited over the oxide layer 42, including filling second trenches 38. Poly layer 44 can be doped by ion implant, or by an in-situ process. An optional layer (not shown) of metalized silicon (polycide) can be formed on top of poly layer 44 by depositing a metal such as tungsten, cobalt, titanium, nickel, platinum, or molybdenum over the structure, and then annealing the structure to permit the hot metal to flow and to seep into the top portion of poly layer 44 to form the conductive layer of polycide. The resulting structure is shown in FIG. 7D. The processing of the structure is then completed using the remaining steps as described with the respect to FIGS. 6J and 6K.
  • This second alternate embodiment has the further advantage of providing an offset A between the edges of the [0072] second trenches 38 in the substrate and the edges of the floating gate 14. This offset Δ causes part of the control gate 44 to overlap a first part of the horizontal portion 70 of the channel region 66, while the floating gate overlaps the remaining (second) part of the channel regions second part. Thus, with this embodiment, the vertical portion 68 is not ‘aimed’ at the floating gate. Rather, this embodiment bridges a buried bit line region 40 with conventional hot electron programming, where the electrons in the channel region flow parallel to the floating gate for hot electron injection. Further, this embodiment is more immune to program disturbance during cell operation, because the electrons are not ‘aimed’ at the floating gate during a low voltage read operation, or when the memory cell is not selected during a program operation, and are therefore less likely to inadvertently be injected onto the floating gate.
  • Third Alternate Embodiment
  • FIGS. 8A to [0073] 8N illustrate a third alternate process for forming the memory cell structure of the present invention. This process starts by forming a layer of insulation material 84 (such as nitride) on the substrate 10. A plurality of parallel trenches 86 are formed in the nitride layer 84 by applying a photo-resistant material and performing a masking step to remove the photo-resistant material from selected parallel stripe regions. An anisotropic nitride etch is used to remove the exposed portions of nitride layer 84 in the stripe regions, leaving trenches 86 that extend down to the substrate 10, as shown in FIG. 8A. Suitable ion implantation is then made across the surface of the structure to form the first regions (source regions) 34 in the substrate, formed in parallel lines that are self aligned to the trenches 86. The resulting structure is shown in FIG. 8A, with trenches 86 defined between blocks of the nitride 84.
  • A layer of [0074] polysilicon 88 is then deposited over the structure, which fills trenches 86, as shown in FIG. 8B. A planarization poly etch process (e.g. CMP) is used to etch the poly layer 88 down even with the tops of nitride blocks 84, which are used as the etch stop. The resulting structure is shown in FIG. 8C, where blocks 88 of polysilicon are separated by blocks 84 of nitride.
  • The structure is then subjected to an oxidation process, which forms a layer of [0075] oxide 90 on the exposed top surfaces of poly blocks 88, as illustrated in FIG. 8D. A nitride etch process is then used to remove nitride blocks 84, leaving second trenches 92 defined between poly blocks 88 and extending down to the substrate 10. A linear oxidation process follows (e.g. HTO oxide deposition), which forms a thin layer of oxide 94 over the structure, including inside second trenches 92. The resulting structure is shown in FIG. 8E.
  • A thick layer of material (e.g. nitride) [0076] 96 is deposited over the structure (see FIG. 8F), followed by an anisotropic nitride etch process that removes the nitride 96 except for nitride spacers 98 disposed against the sidewalls of the second trenches 92 (see FIG. 8G). An oxide etch is then performed to remove the exposed portions of oxide layer 94 at the bottom of second trenches 92, leaving portions of the substrate 10 exposed. The resulting structure is shown in FIG. 8H. It should be noted that oxide could instead be used as insulation material 96, in which case the oxide etch process after spacer formation would not be necessary.
  • A silicon etch process is used to remove portions of [0077] substrate 10 left exposed at the bottom of second trenches 92 and in-between nitride spacers 98. This etch process extends second trenches 92 down into the substrate 10 (preferably down to a depth of approximately one feature size deep, i.e. in 0.15 um technology, trenches 92 are about 0.15 um deep), where lower portions 92 a of second trenches 92 in substrate 10 have a width corresponding to the separation of nitride spacers 98 in upper portions 92 b of second trenches 92 above substrate 10. Suitable ion implantation is once again made across the entire surface of the structure. The ion implantation forms the second regions 40 (buried bit-line regions) in the substrate 10 underneath second trenches 92. The resulting structure is shown in FIG. 81.
  • A nitride etch is used to remove [0078] nitride spacers 98. An optional oxide etch and oxidation process can be used to remove oxide layer 94, and re-form it on exposed portions of poly blocks 88 and substrate 10 with a different but desired thickness. A thick poly layer 100 is deposited over the structure which fills second trenches 92, as shown in FIG. 8J. Poly layer 100 can be doped by ion implant, or by in-situ process. A poly etch follows, which removes poly layer 100 except for poly spacers 102 in the second trench upper portions 92 b, as shown in FIG. 8K. There may be some residual polysilicon 104 left at the bottom of second trenches 92, which serve no constructive purpose for the formation or operation of the memory cells.
  • An oxidation process is used to form an [0079] oxide layer 106 on the exposed surfaces of the poly spacers 102. As described later, oxide layer 106 has a thickness that permits Fowler-Nordheim tunneling therethrough. This oxidation process also encapsulates any of the residual polysilicon 104 within oxide. Depending upon the coupling ratio with poly spacers 102 (which form the floating gates of the memory cells), an optional oxide etch step could precede the formation of oxide layer 106 to remove the oxide layers 94 and 90 over poly blocks 88 and in trenches 92, wherein the oxidation process used to form oxide layer 106 would also form an oxide layer over poly blocks 88 and in trenches 92. A thick poly layer 108 is then deposited over the structure, which fills second trenches 92 and extends over (and is insulated from) poly spacers 102 and poly blocks 88. The resulting structure is shown in FIG. 8L.
  • Thus far, the structure shown in FIG. 8L was formed with one masking step, with [0080] second trenches 92 extending in a first direction. Parallel active and inactive stripe regions, that extend across and are perpendicular to the first direction of the second trenches 92, are formed in the same manner as described above with respect to FIG. 1K (namely masking step to define alternating parallel active (word line) regions 48 and inactive regions 46, poly and oxide etches to remove poly layer 108, oxide layers 90/94, and poly spacers 102 from the inactive regions 46). After the photo-resistant material in the active regions 48 is removed, a thick oxide deposition step covers both the active and inactive regions with a thick oxide layer 110. An oxide CMP planarization process is used to planarize the top surface of the oxide layer 110. The final active region structure is illustrated in FIG. 8M, and the final inactive regions structure is illustrated in FIG. 8N.
  • FIG. 9 illustrates the final structure of the memory cells formed by this third alternate embodiment in the [0081] active regions 48. First and second regions 34/40 form the source and drain for each cell (although those skilled in the art know that source and drain can be switched during operation). Poly spacers 102 constitutes the floating gates, and the portions of poly layer 108 disposed in second trenches 92 and over floating gates 102 constitute the control gates for each of the memory cells. The channel region 66 for each memory cell is the surface portion of the substrate that is in-between the source and drain 34/40. The channel region 66 for each memory cell has two portions joined together at a right angle, with the vertical portion 68 extending along the vertical wall of second trench 92 and a horizontal portion 70 extending between the second trench 92 and the source region 34. The floating gate 102 is disposed directly over, but insulated from, the horizontal portion 70 of the channel region 66. As illustrated in the FIG. 9, the process of the present invention forms pairs of memory cells that mirror each other, with a memory cell formed on each side of the second trenches 92 that share a common bit-line region 40. Similarly, each source line region 34 is shared between adjacent memory cells from different mirror sets of memory cells. The entire row of memory cells shares a single poly layer 108 that acts as the control gate for all the memory cells in that row.
  • The memory cell structure shown in FIG. 9 includes “a raised source line” [0082] 88, meaning that the conductive poly block 88 runs along (and is electrically connected.to) the source line 34, but is disposed above the substrate surface. The raised source lines 88 have sidewalls that are disposed laterally adjacent to sidewalls of floating gates 102, but are insulated therefrom by oxide layer 94. This configuration provides capacitive coupling between the raised source lines 88 and floating gates 102 (without the need to overlap the floating gates with the source regions 34, thus reducing the size of the memory cells). The floating gates 102 each have sharp edges 112 that face toward the control gate poly 108 for enhancing the electric field therebetween. With this third alternate embodiment, the poly blocks 88 are self aligned to the source regions 34, and the floating gates 102 are self aligned between the poly blocks 88 and the control gate poly 108 (and thus are self aligned to the first and second portions 68/70 of the channel region 66).
  • It should be noted that capacitive coupling between the raised [0083] source line 88 and the adjacent floating gates 102 can be enhanced by forming a layer of insulation material between the raised source lines 88 and the source regions 34. For example, an oxidation step can be performed to form an oxide layer 114 on the substrate surface before the poly layer 88 is deposited (in FIG. 8B), which results in the final structure illustrated in FIG. 10. With poly block 88 insulated from source region 34 by oxide layer 114, a voltage can be applied to poly block 88 independent of source region 34. Thus, poly block 88 can be raised to a higher voltage than that of the source region 34 to better capacitively couple a higher voltage to the adjacent floating gates 102 during the programming operation, and a negative voltage can be applied to the poly block 88 to enable a more efficient erase operation.
  • It is to be understood that the present invention is not limited to the embodiments described above and illustrated herein, but encompasses any and all variations falling within the scope of the appended claims. For example, second trenches can end up having any shape that extends into the substrate, not just the elongated rectangular shape shown in the figures. Also, although the foregoing method describes the use of appropriately doped polysilicon as the conductive material used to form the memory cells, it should be clear to those having ordinary skill in the art that any appropriate conductive material can be used. In addition, any appropriate insulator can be used in place of silicon dioxide or silicon nitride. Moreover, any appropriate material whose etch property differs from silicon dioxide (or any insulator) and from polysilicon (or any conductor) can be used in place of silicon nitride. Further, as is apparent from the claims, not all method steps need be performed in the exact order illustrated or claimed, but rather in any order that allows the proper formation of the memory cell of the present invention. Additionally, the above described invention is shown to be formed in a substrate which is shown to be uniformly doped, but it is well known and contemplated by the present invention that memory cell elements can be formed in well regions of the substrate too, which are regions that are doped to have a different conductivity type compared to other portions of the substrate. Lastly, single layers of insulating or conductive material could be formed as multiple layers of such materials, and vice versa. [0084]

Claims (26)

1-29. Cancelled.
30. A method of forming a semiconductor memory cell, comprising the steps of:
forming a first region in a semiconductor substrate, wherein the substrate has a first conductivity type and the first region has a second conductivity type;
forming a trench into a surface of the semiconductor substrate, wherein the trench is spaced apart from the first region;
forming a second region in the substrate and underneath the trench, wherein the second region has the second conductivity type and a channel region in the substrate is defined between the first and second regions, the channel region includes a first portion that extends substantially along a sidewall of the trench and a second portion that extends substantially along the substrate surface;
forming a block of conductive material disposed over the first region;
forming a floating gate of electrically conductive material disposed over and insulated from at least a portion of the channel region, and disposed laterally adjacent to and insulated from the block of conductive material; and
forming a control gate of electrically conductive material having a first portion disposed in the trench.
31. The method of claim 30, wherein the control gate has a second portion disposed over and insulated from the floating gate.
32. The method of claim 30, wherein the floating gate is a spacer, and the formation of the floating gate includes the steps of:
depositing a layer of the conductive material;
etching away the layer of conductive material except for the spacer of the conductive material.
33. The method of claim 32,.wherein the floating gate includes at least one sharp edge that extends toward the control gate.
34. The method of claim 30, wherein the floating gate is disposed substantially over the entire second portion of the channel region.
35. The method of claim 30, further comprising the step of:
forming a layer of insulation material between the floating gate and the control gate that has a thickness permitting Fowler-Nordheim tunneling of charges therethrough.
36. The method of claim 31, further comprising the step of:
forming a layer of insulating material that extends along sidewalls of the trench and between the control gate and the floating gate.
37. The method of claim 36, wherein the formation of the layer of insulating material includes the steps of:
forming a first portion of the layer of insulating material along sidewalls of the trench and between the control gate first portion and the channel region first portion; and
forming a second portion of the layer of insulating material under the control gate second portion and over the floating gate.
38. The method of claim 30, wherein channel region first portion extends in a direction directly toward the floating gate.
39. The method of claim 30, wherein the first region is electrically connected with the block of conductive material.
40. The method of claim 30, further including the step of:
forming a layer of insulation material between the first region and the block of conductive material, wherein the insulation material electrically insulates the first region from the block of conductive material.
41. A method of forming an array of semiconductor memory cells, comprising the steps of:
forming a plurality of first regions in a semiconductor substrate that are substantially parallel to one another and extend in a first direction, wherein the substrate has a first conductivity type and the first regions have a second conductivity type;
forming a plurality of trenches into a surface of the semiconductor substrate, wherein the trenches are spaced apart from and extend substantially parallel to the first regions;
forming a plurality of second regions in the substrate having the second conductivity type and are substantially parallel to one another, each of the second regions extends in the first direction and is formed underneath one of the trenches, wherein a plurality of channel regions in the substrate are defined each having a first portion extending substantially along a sidewall of one of the trenches and a second portion that extends substantially along the substrate surface between the one trench and one of the first regions;
forming a plurality of blocks of conductive material that are substantially parallel to one another and extend in the first direction, wherein each of the conductive blocks is disposed over one of the first regions;
forming a plurality of floating gates of electrically conductive material each disposed over and insulated from at least a portion of one of the channel regions, and disposed laterally adjacent to and insulated from one of the blocks of conductive material; and
forming a plurality of control gates of electrically conductive material each having a first portion disposed in one of the trenches, and a second portion disposed over and insulated from one of the floating gates.
42. The method of claim 41, further comprising the steps of:
forming spaced apart isolation regions on the semiconductor substrate which are substantially parallel to one another and extend in a second direction substantially orthogonal to the first direction, with an active region between each pair of adjacent isolation regions; and
forming insulating material in portions of the trenches that are in the isolation regions.
43. The method of claim 41, wherein for each of the active regions, the control gate second portions are integrally connected together and extend in a second direction substantially orthogonal to the first direction.
44. The method of claim 43, wherein for each of the active regions, the control gate second portions therein are electrically connected together.
45. The method of claim 43, wherein the floating gate is a spacer, and the formation of the floating gate includes the steps of:
depositing a layer of the conductive material;
etching away the layer of conductive material except for the spacer of the conductive material.
46. The method of claim 45, wherein each of the floating gates includes at least one sharp edge that extends toward one of the control gates.
47. The method of claim 41, wherein each of the floating gates is disposed substantially over the entire second portion of one of the channel regions.
48. The method of claim 41, further comprising the step of:
forming a layer of insulation material between each of the floating gates and one of the control gates having a thickness permitting Fowler-Nordheim tunneling of charges therethrough.
49. The method of claim 43, further comprising the step of:
forming insulating material that extends along sidewalls of the trenches and between the control gates and the floating gates.
50. The method of claim 49, wherein the formation of the insulating material includes the steps of:
forming first portions of the insulating material along sidewalls of the trenches and between the control gate first portions and the channel region first portions; and
forming second portions of the insulating material under the control gate second portions and over the floating gates.
51. The method of claim 41, wherein each of the channel region first portions extends in a direction directly toward one of the floating gates.
52. The method of claim 41, wherein each of the first regions are electrically connected with one of the blocks of conductive material.
53. The method of claim 41, further including the step of: forming a layer of insulation material between each of the first regions and one of blocks of conductive material, wherein the insulation material electrically insulates the first regions from the blocks of conductive material.
54-75. Cancelled.
US10/818,590 2002-03-20 2004-04-05 Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line Expired - Lifetime US7144778B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/818,590 US7144778B2 (en) 2002-03-20 2004-04-05 Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/105,741 US6952033B2 (en) 2002-03-20 2002-03-20 Semiconductor memory array of floating gate memory cells with buried bit-line and raised source line
US10/818,590 US7144778B2 (en) 2002-03-20 2004-04-05 Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/105,741 Division US6952033B2 (en) 2001-10-17 2002-03-20 Semiconductor memory array of floating gate memory cells with buried bit-line and raised source line

Publications (2)

Publication Number Publication Date
US20040191990A1 true US20040191990A1 (en) 2004-09-30
US7144778B2 US7144778B2 (en) 2006-12-05

Family

ID=28040856

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/105,741 Expired - Lifetime US6952033B2 (en) 2001-10-17 2002-03-20 Semiconductor memory array of floating gate memory cells with buried bit-line and raised source line
US10/818,590 Expired - Lifetime US7144778B2 (en) 2002-03-20 2004-04-05 Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/105,741 Expired - Lifetime US6952033B2 (en) 2001-10-17 2002-03-20 Semiconductor memory array of floating gate memory cells with buried bit-line and raised source line

Country Status (1)

Country Link
US (2) US6952033B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040159864A1 (en) * 2001-10-17 2004-08-19 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and vertical word line transistor
US20080153234A1 (en) * 2005-12-28 2008-06-26 Hynix Semiconductor Inc. Flash memory device and method of manufacturing the same
US20090267133A1 (en) * 2005-07-26 2009-10-29 Sang Bum Lee Flash memory device and method for fabricating the same
US20150214062A1 (en) * 2014-01-30 2015-07-30 Anirban Roy Methods For Extending Floating Gates For NVM Cells To Form Sub-Lithographic Features And Related NVM Cells
TWI624062B (en) * 2014-06-17 2018-05-11 世界先進積體電路股份有限公司 Method and apparatus for semiconductor device with reduced device footprint

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7613041B2 (en) * 2003-06-06 2009-11-03 Chih-Hsin Wang Methods for operating semiconductor device and semiconductor memory device
US7550800B2 (en) * 2003-06-06 2009-06-23 Chih-Hsin Wang Method and apparatus transporting charges in semiconductor device and semiconductor memory device
US7759719B2 (en) 2004-07-01 2010-07-20 Chih-Hsin Wang Electrically alterable memory cell
KR100620223B1 (en) * 2004-12-31 2006-09-08 동부일렉트로닉스 주식회사 Method for manufacturing split gate flash EEPROM
US7411244B2 (en) 2005-06-28 2008-08-12 Chih-Hsin Wang Low power electrically alterable nonvolatile memory cells and arrays
JP2007149721A (en) * 2005-11-24 2007-06-14 Nec Electronics Corp Nonvolatile semiconductor memory and its fabrication process
US20080142879A1 (en) * 2006-12-14 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing differential spacers
US20080315326A1 (en) * 2007-06-21 2008-12-25 Werner Graf Method for forming an integrated circuit having an active semiconductor device and integrated circuit
US8072023B1 (en) 2007-11-12 2011-12-06 Marvell International Ltd. Isolation for non-volatile memory cell array
US8120088B1 (en) 2007-12-07 2012-02-21 Marvell International Ltd. Non-volatile memory cell and array
KR100971422B1 (en) * 2008-04-01 2010-07-21 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US20090273015A1 (en) * 2008-04-30 2009-11-05 Atmel Corporation Non-volatile memory cell
US7851846B2 (en) * 2008-12-03 2010-12-14 Silicon Storage Technology, Inc. Non-volatile memory cell with buried select gate, and method of making same
US11309324B2 (en) * 2020-07-28 2022-04-19 Globalfoundries Singapore Pte. Ltd. Compact memory cell with a shared conductive word line and methods of making such a memory cell

Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4757360A (en) * 1983-07-06 1988-07-12 Rca Corporation Floating gate memory device with facing asperities on floating and control gates
US4794565A (en) * 1986-09-15 1988-12-27 The Regents Of The University Of California Electrically programmable memory device employing source side injection
US4882707A (en) * 1986-10-27 1989-11-21 Kabushiki Kaisha Toshiba Non-volatile semi-conductor memory device with double gate structure
US4905062A (en) * 1987-11-19 1990-02-27 Texas Instruments Incorporated Planar famos transistor with trench isolation
US4931847A (en) * 1986-06-27 1990-06-05 Sgs-Thomson Microelectronics S.P.A. Floating gate memory with sidewall tunnelling area
US4947221A (en) * 1985-11-29 1990-08-07 General Electric Company Memory cell for a dense EPROM
US5021848A (en) * 1990-03-13 1991-06-04 Chiu Te Long Electrically-erasable and electrically-programmable memory storage devices with self aligned tunnel dielectric area and the method of fabricating thereof
US5029130A (en) * 1990-01-22 1991-07-02 Silicon Storage Technology, Inc. Single transistor non-valatile electrically alterable semiconductor memory device
US5041886A (en) * 1989-08-17 1991-08-20 Samsung Electronics Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof
US5049515A (en) * 1990-03-09 1991-09-17 Intel Corporation, Inc. Method of making a three-dimensional memory cell with integral select transistor
US5101250A (en) * 1988-06-28 1992-03-31 Mitsubishi Denki Kabushiki Kaisha Electrically programmable non-volatile memory device and manufacturing method thereof
US5268319A (en) * 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US5338953A (en) * 1991-06-20 1994-08-16 Mitsubishi Denki Kabushiki Kaisha Electrically erasable and programmable semiconductor memory device with trench memory transistor and manufacturing method of the same
US5381028A (en) * 1993-01-21 1995-01-10 Nippon Steel Corporation Nonvolatile semiconductor memory with raised source and drain
US5429965A (en) * 1991-07-08 1995-07-04 Shimoji; Noriyuki Method for manufacturing a semiconductor memory
US5495441A (en) * 1994-05-18 1996-02-27 United Microelectronics Corporation Split-gate flash memory cell
US5544103A (en) * 1992-03-03 1996-08-06 Xicor, Inc. Compact page-erasable eeprom non-volatile memory
US5572054A (en) * 1990-01-22 1996-11-05 Silicon Storage Technology, Inc. Method of operating a single transistor non-volatile electrically alterable semiconductor memory device
US5705415A (en) * 1994-10-04 1998-01-06 Motorola, Inc. Process for forming an electrically programmable read-only memory cell
US5780892A (en) * 1995-03-21 1998-07-14 Winbond Electronics Corporation Flash E2 PROM cell structure with poly floating and control gates
US5780341A (en) * 1996-12-06 1998-07-14 Halo Lsi Design & Device Technology, Inc. Low voltage EEPROM/NVRAM transistors and making method
US5789293A (en) * 1995-03-31 1998-08-04 Samsung Electronics Co., Ltd. Nonvolatile memory device and manufacturing method thereof
US5796139A (en) * 1995-02-23 1998-08-18 Sanyo Electric Co., Ltd. Semiconductor device
US5808328A (en) * 1977-02-21 1998-09-15 Zaidan Hojin Handotai Kenkyu Shinkokai High-speed and high-density semiconductor memory
US5811853A (en) * 1995-12-20 1998-09-22 Winbond Electronics Corp. Single-side oxide sealed salicide for EPROMS
US5814853A (en) * 1996-01-22 1998-09-29 Advanced Micro Devices, Inc. Sourceless floating gate memory device and method of storing data
US5943572A (en) * 1995-07-10 1999-08-24 Siemens Aktiengesellschaft Electrically writable and erasable read-only memory cell arrangement and method for its production
US5998261A (en) * 1995-07-05 1999-12-07 Siemens Aktiengesellschaft Method of producing a read-only storage cell arrangement
US6091104A (en) * 1999-03-24 2000-07-18 Chen; Chiou-Feng Flash memory cell with self-aligned gates and fabrication process
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6140182A (en) * 1999-02-23 2000-10-31 Actrans System Inc. Nonvolatile memory with self-aligned floating gate and fabrication process
US6180458B1 (en) * 1996-02-02 2001-01-30 Infineon Technologies Ag Method of producing a memory cell configuration
US6222227B1 (en) * 1999-08-09 2001-04-24 Actrans System Inc. Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6262917B1 (en) * 1999-10-22 2001-07-17 United Microelectronics Corp. Structure of a flash memory device
US6316315B1 (en) * 1998-02-20 2001-11-13 Infineon Technologies Ag Method for fabricating a memory cell having a MOS transistor
US6521944B1 (en) * 2001-08-09 2003-02-18 National Semiconductor Corporation Split gate memory cell with a floating gate in the corner of a trench
US6525371B2 (en) * 1999-09-22 2003-02-25 International Business Machines Corporation Self-aligned non-volatile random access memory cell and process to make the same
US6538275B2 (en) * 2000-07-12 2003-03-25 Matsushita Electric Industrial Co., Ltd. Nonvolatile semiconductor memory device and method for fabricating the same
US6541815B1 (en) * 2001-10-11 2003-04-01 International Business Machines Corporation High-density dual-cell flash memory structure
US20030073275A1 (en) * 2001-10-17 2003-04-17 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bitline and vertical word line transistor, and a memory array made thereby
US20030227048A1 (en) * 2002-04-01 2003-12-11 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line, and a memory array made thereby
US6756633B2 (en) * 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5051793A (en) 1989-03-27 1991-09-24 Ict International Cmos Technology, Inc. Coplanar flash EPROM cell and method of making same

Patent Citations (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808328A (en) * 1977-02-21 1998-09-15 Zaidan Hojin Handotai Kenkyu Shinkokai High-speed and high-density semiconductor memory
US4757360A (en) * 1983-07-06 1988-07-12 Rca Corporation Floating gate memory device with facing asperities on floating and control gates
US4947221A (en) * 1985-11-29 1990-08-07 General Electric Company Memory cell for a dense EPROM
US4931847A (en) * 1986-06-27 1990-06-05 Sgs-Thomson Microelectronics S.P.A. Floating gate memory with sidewall tunnelling area
US4794565A (en) * 1986-09-15 1988-12-27 The Regents Of The University Of California Electrically programmable memory device employing source side injection
US4882707A (en) * 1986-10-27 1989-11-21 Kabushiki Kaisha Toshiba Non-volatile semi-conductor memory device with double gate structure
US4905062A (en) * 1987-11-19 1990-02-27 Texas Instruments Incorporated Planar famos transistor with trench isolation
US5268319A (en) * 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US5101250A (en) * 1988-06-28 1992-03-31 Mitsubishi Denki Kabushiki Kaisha Electrically programmable non-volatile memory device and manufacturing method thereof
US5041886A (en) * 1989-08-17 1991-08-20 Samsung Electronics Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof
US5029130A (en) * 1990-01-22 1991-07-02 Silicon Storage Technology, Inc. Single transistor non-valatile electrically alterable semiconductor memory device
US5572054A (en) * 1990-01-22 1996-11-05 Silicon Storage Technology, Inc. Method of operating a single transistor non-volatile electrically alterable semiconductor memory device
US5049515A (en) * 1990-03-09 1991-09-17 Intel Corporation, Inc. Method of making a three-dimensional memory cell with integral select transistor
US5021848A (en) * 1990-03-13 1991-06-04 Chiu Te Long Electrically-erasable and electrically-programmable memory storage devices with self aligned tunnel dielectric area and the method of fabricating thereof
US5338953A (en) * 1991-06-20 1994-08-16 Mitsubishi Denki Kabushiki Kaisha Electrically erasable and programmable semiconductor memory device with trench memory transistor and manufacturing method of the same
US5429965A (en) * 1991-07-08 1995-07-04 Shimoji; Noriyuki Method for manufacturing a semiconductor memory
US5544103A (en) * 1992-03-03 1996-08-06 Xicor, Inc. Compact page-erasable eeprom non-volatile memory
US5381028A (en) * 1993-01-21 1995-01-10 Nippon Steel Corporation Nonvolatile semiconductor memory with raised source and drain
US5495441A (en) * 1994-05-18 1996-02-27 United Microelectronics Corporation Split-gate flash memory cell
US5705415A (en) * 1994-10-04 1998-01-06 Motorola, Inc. Process for forming an electrically programmable read-only memory cell
US5796139A (en) * 1995-02-23 1998-08-18 Sanyo Electric Co., Ltd. Semiconductor device
US5780892A (en) * 1995-03-21 1998-07-14 Winbond Electronics Corporation Flash E2 PROM cell structure with poly floating and control gates
US5789293A (en) * 1995-03-31 1998-08-04 Samsung Electronics Co., Ltd. Nonvolatile memory device and manufacturing method thereof
US5998261A (en) * 1995-07-05 1999-12-07 Siemens Aktiengesellschaft Method of producing a read-only storage cell arrangement
US5943572A (en) * 1995-07-10 1999-08-24 Siemens Aktiengesellschaft Electrically writable and erasable read-only memory cell arrangement and method for its production
US5811853A (en) * 1995-12-20 1998-09-22 Winbond Electronics Corp. Single-side oxide sealed salicide for EPROMS
US5814853A (en) * 1996-01-22 1998-09-29 Advanced Micro Devices, Inc. Sourceless floating gate memory device and method of storing data
US6180458B1 (en) * 1996-02-02 2001-01-30 Infineon Technologies Ag Method of producing a memory cell configuration
US5780341A (en) * 1996-12-06 1998-07-14 Halo Lsi Design & Device Technology, Inc. Low voltage EEPROM/NVRAM transistors and making method
US6316315B1 (en) * 1998-02-20 2001-11-13 Infineon Technologies Ag Method for fabricating a memory cell having a MOS transistor
US6140182A (en) * 1999-02-23 2000-10-31 Actrans System Inc. Nonvolatile memory with self-aligned floating gate and fabrication process
US6091104A (en) * 1999-03-24 2000-07-18 Chen; Chiou-Feng Flash memory cell with self-aligned gates and fabrication process
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6222227B1 (en) * 1999-08-09 2001-04-24 Actrans System Inc. Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6525371B2 (en) * 1999-09-22 2003-02-25 International Business Machines Corporation Self-aligned non-volatile random access memory cell and process to make the same
US6262917B1 (en) * 1999-10-22 2001-07-17 United Microelectronics Corp. Structure of a flash memory device
US6316298B1 (en) * 1999-10-22 2001-11-13 United Microelectronics Corp. Fabrication method for a flash memory device
US6538275B2 (en) * 2000-07-12 2003-03-25 Matsushita Electric Industrial Co., Ltd. Nonvolatile semiconductor memory device and method for fabricating the same
US6521944B1 (en) * 2001-08-09 2003-02-18 National Semiconductor Corporation Split gate memory cell with a floating gate in the corner of a trench
US6541815B1 (en) * 2001-10-11 2003-04-01 International Business Machines Corporation High-density dual-cell flash memory structure
US20030073275A1 (en) * 2001-10-17 2003-04-17 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bitline and vertical word line transistor, and a memory array made thereby
US6756633B2 (en) * 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
US6882572B2 (en) * 2001-12-27 2005-04-19 Silicon Storage Technology, Inc. Method of operating a semiconductor memory array of floating gate memory cells with horizontally oriented edges
US20030227048A1 (en) * 2002-04-01 2003-12-11 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line, and a memory array made thereby
US20050104115A1 (en) * 2002-04-01 2005-05-19 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line, and a memory array made thereby

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040159864A1 (en) * 2001-10-17 2004-08-19 Sohrab Kianian Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and vertical word line transistor
US7074672B2 (en) * 2001-10-17 2006-07-11 Silicon Storage Technology, Inc. Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and vertical word line transistor
US20090267133A1 (en) * 2005-07-26 2009-10-29 Sang Bum Lee Flash memory device and method for fabricating the same
US8338881B2 (en) * 2005-07-26 2012-12-25 Dongbu Electronics, Co. Ltd. Flash memory device and method for fabricating the same
US20080153234A1 (en) * 2005-12-28 2008-06-26 Hynix Semiconductor Inc. Flash memory device and method of manufacturing the same
US20150214062A1 (en) * 2014-01-30 2015-07-30 Anirban Roy Methods For Extending Floating Gates For NVM Cells To Form Sub-Lithographic Features And Related NVM Cells
US9117754B2 (en) * 2014-01-30 2015-08-25 Freescale Semiconductor, Inc. Methods for extending floating gates for NVM cells to form sub-lithographic features and related NVM cells
TWI624062B (en) * 2014-06-17 2018-05-11 世界先進積體電路股份有限公司 Method and apparatus for semiconductor device with reduced device footprint

Also Published As

Publication number Publication date
US7144778B2 (en) 2006-12-05
US6952033B2 (en) 2005-10-04
US20030178668A1 (en) 2003-09-25

Similar Documents

Publication Publication Date Title
US7074672B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and vertical word line transistor
US7208376B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried floating gate and pointed channel region
US7816723B2 (en) Semiconductor memory array of floating gate memory cells with program/erase and select gates
US7537996B2 (en) Self-aligned method of forming a semiconductor memory array of floating gate memory cells with buried source line and floating gate
US6906379B2 (en) Semiconductor memory array of floating gate memory cells with buried floating gate
US6756633B2 (en) Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
US7411246B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried bit-line and raised source line, and a memory array made thereby
US9293204B2 (en) Non-volatile memory cell with self aligned floating and erase gates, and method of making same
US8148768B2 (en) Non-volatile memory cell with self aligned floating and erase gates, and method of making same
US6952033B2 (en) Semiconductor memory array of floating gate memory cells with buried bit-line and raised source line
US7851846B2 (en) Non-volatile memory cell with buried select gate, and method of making same
KR101923791B1 (en) Non-volatile memory cell with self aligned floating and erase gates, and method of making same
KR20080039786A (en) Self-aligned method of forming a semiconductor memory array of floating gate memory cells with source side erase, and a memory array made thereby
JP4662680B2 (en) Self-alignment method for forming a semiconductor memory array of floating gate memory cells with buried bit lines and raised source lines and memory array manufactured by the method

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:SILICON STORAGE TECHNOLOGY, INC.;REEL/FRAME:041675/0316

Effective date: 20170208

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY INTEREST;ASSIGNOR:SILICON STORAGE TECHNOLOGY, INC.;REEL/FRAME:041675/0316

Effective date: 20170208

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:046426/0001

Effective date: 20180529

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:046426/0001

Effective date: 20180529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:047103/0206

Effective date: 20180914

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES C

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:047103/0206

Effective date: 20180914

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, DELAWARE

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INC.;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:053311/0305

Effective date: 20200327

AS Assignment

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: MICROCHIP TECHNOLOGY INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: MICROSEMI CORPORATION, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INC.;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:053468/0705

Effective date: 20200529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:055671/0612

Effective date: 20201217

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:057935/0474

Effective date: 20210528

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

AS Assignment

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059687/0344

Effective date: 20220218

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228