US20040229452A1 - Densifying a relatively porous material - Google Patents

Densifying a relatively porous material Download PDF

Info

Publication number
US20040229452A1
US20040229452A1 US10/439,154 US43915403A US2004229452A1 US 20040229452 A1 US20040229452 A1 US 20040229452A1 US 43915403 A US43915403 A US 43915403A US 2004229452 A1 US2004229452 A1 US 2004229452A1
Authority
US
United States
Prior art keywords
porous layer
layer
forming
densifying
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/439,154
Inventor
Steven Johnston
Kevin O'Brien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/439,154 priority Critical patent/US20040229452A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: O'BRIEN, KEVIN P., JOHNSTON, STEVEN W.
Publication of US20040229452A1 publication Critical patent/US20040229452A1/en
Priority to US11/101,688 priority patent/US7071126B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Definitions

  • This invention relates generally to processes for manufacturing semiconductor integrated circuits.
  • a hard mask is a mask that may be utilized as an etching mask for subsequent process steps.
  • a hard mask may be formed that prevents etched vias from extending through the hard mask.
  • the hard mask may be utilized to enable an unlanded via integration scheme.
  • hard masks may require an extra processing step. Basically, a separate hard mask may be deposited on top of a previously deposited material, such as a low dielectric constant film. The use of such hard mask may increase etch complexity due to the nature of the stack, including the resist, hard mask and underlying film. In addition, moisture may infiltrate through the hard mask. The infiltrated moisture, for example, may increase the capacitance contributed by a low dielectric constant film.
  • FIG. 1 is an enlarged, cross-sectional view of one embodiment of the present invention in the course of manufacture
  • FIG. 2 is an enlarged, cross-sectional view of the embodiment as shown in FIG. 1 at a subsequent stage of manufacture
  • FIG. 3 is an enlarged, cross-sectional view of the embodiment as shown in FIG. 1 at a subsequent stage of manufacture in accordance with one embodiment of the present invention
  • FIG. 4 is an enlarged, cross-sectional view of the embodiment shown in FIG. 1 at a subsequent stage of manufacture in accordance with one embodiment of the present invention
  • FIG. 5 is an enlarged, cross-sectional view of another embodiment of the present invention.
  • FIG. 6 is an enlarged, cross-sectional view of the embodiment shown in FIG. 5 at a subsequent stage in accordance with one embodiment of the present invention
  • FIG. 7 is an enlarged, cross-sectional view of the embodiment shown in FIG. 5 at a subsequent stage in accordance with one embodiment of the present invention.
  • FIG. 8 is a schematic depiction of an apparatus for forming gas cluster ions.
  • a substrate 12 may be defined with the appropriate active and passive components, such as the metal elements 14 and the dielectric layer 16 .
  • a masking layer 18 may then be deposited.
  • a dielectric film 20 may be deposited over the layer 18 .
  • the dielectric film 20 may be followed by a second interlayer dielectric film 26 in one embodiment.
  • Lithography, etching, and cleaning may be utilized to form trenches or vias through the dielectric films 26 and 20 using a damascene or dual damascene approach. Degassing, cleaning, barrier deposition, and seed deposition of the dielectric material may follow as desired. The resulting trenches may be filled with conductive material 22 and 24 using electroplating or any other fill technology.
  • the resulting wafer may be planarized down to the top of the dielectric film 26 by chemical mechanical polishing (CMP) or other planarization technology.
  • CMP chemical mechanical polishing
  • deposition of a thin copper capping film 28 may be done using chemical vapor deposition, atomic layer deposition, or electroless methods to create a thin, continuous capping film.
  • the film 26 may be modified by the addition of carbon to form carbon-doped oxide (CDO) film.
  • CDO carbon-doped oxide
  • Other films 26 may also be used including porous silicon, spin-on glass, polymers, and other low dielectric constant materials.
  • the exposed film 26 may be bombarded with a gas clustered ion beam.
  • the upper layer 30 of the film 26 may be densified.
  • the capping film 28 is not substantially affected by the densification.
  • the bombarding clustered ions actually compress the atomic structure of the exposed film 26 .
  • a relatively porous material can be converted to a substantially non-porous hard mask.
  • another low dielectric constant interlayer dielectric layer 40 may be deposited in accordance with the damascene or dual damascene approach as shown in FIG. 3.
  • the masking layer 42 may be formed and patterned to the desired trench characteristics as shown in FIG. 4.
  • the masking layer 42 may then be used as a mask to pattern the layer 40 to form additional trenches for forming an additional layer of metal interconnects.
  • the metal interconnects may be arranged in an unlanded via configuration to repeat the sequence described in connection with FIGS. 1 and 2.
  • the etched vias 44 may be filled with a sacrificial light activated material.
  • the resulting structure may be covered by another masking layer, trenches may be etched, and then the sacrificial light activated material is etched to leave behind a trench and via that are filled with the material 22 , 24 .
  • the techniques described herein can also be used to form embedded etch stops and to densify the bottom of trenches. Any surface layer may be converted in a directional fashion as described herein.
  • a similar process sequence to that described above may be utilized, except that a previously deposited, porous hard mask may be densified. Subsequent steps can then be built up to form multi-levels of vias and interconnects, all using the densified hard mask procedure described herein.
  • a non-hermetic etch stop may have its top surface densified while the bulk of a silicon carbide etch stop is not altered. As a result, a low dielectric constant may be preserved for the material.
  • a porous layer 56 may be formed over a sacrificial material 54 in a layer 52 over a substrate 50 , as shown in FIG. 5.
  • the porosity of the layer 56 enables the sacrificial material 54 to be evaporated and exhausted through the porous layer 56 in one embodiment, to create a void 58 , shown in FIG. 6.
  • the porous layer 56 may be densified to form a substantially non-porous hard mask 60 , sealing the void 58 as shown in FIG. 7.
  • a typical gas clustered ion beam is illustrated.
  • the desired gas is provided to a nozzle 32 and ejected by an ionizer 34 and accelerated by an accelerator 36 .
  • the accelerated ion clusters then impact the target structure causing densification.
  • the clustered ions may include oxygen ions in one embodiment.
  • an unlanded via integration scheme may be enabled, as indicated by 22 .
  • the modified structure may result in a hard mask with lower interconnect capacitance since no silicon carbide etch stop layer may be utilized, a simpler etch process for the next metal layer since no separate hard mask is used on top of the next interlayer dielectric layer to etch through to form a via trench, a naturally self-aligned hard mask material, and a smoother copper or copper capped surface, which may have advantages in some embodiments.
  • gas cluster ions Another advantage of using the gas cluster ions is that the total energy of the gas cluster can be high while the energy per atom is quite low. Thus, subsurface damage is reduced and is controllable to less than 20 Angstroms at low cluster energies. The gas cluster ion also tends to smooth the exposed metal surfaces, resulting in a smoother overlying via barrier interface.

Abstract

An interlayer dielectric may be exposed to a gas cluster ion beam to densify an upper layer of the interlayer dielectric. As a result, the upper layer of the interlayer dielectric may be densified without separate deposition steps and without the need for etch stops that may adversely affect the capacitance of the overall structure.

Description

    BACKGROUND
  • This invention relates generally to processes for manufacturing semiconductor integrated circuits. [0001]
  • In a variety of different processes, it may be desirable to form what is called a hard mask. A hard mask is a mask that may be utilized as an etching mask for subsequent process steps. For example, in the damascene process, a hard mask may be formed that prevents etched vias from extending through the hard mask. The hard mask may be utilized to enable an unlanded via integration scheme. [0002]
  • One problem with hard masks is that they may require an extra processing step. Basically, a separate hard mask may be deposited on top of a previously deposited material, such as a low dielectric constant film. The use of such hard mask may increase etch complexity due to the nature of the stack, including the resist, hard mask and underlying film. In addition, moisture may infiltrate through the hard mask. The infiltrated moisture, for example, may increase the capacitance contributed by a low dielectric constant film. [0003]
  • Thus, there is a need for better ways to form a hardmask. [0004]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an enlarged, cross-sectional view of one embodiment of the present invention in the course of manufacture; [0005]
  • FIG. 2 is an enlarged, cross-sectional view of the embodiment as shown in FIG. 1 at a subsequent stage of manufacture; [0006]
  • FIG. 3 is an enlarged, cross-sectional view of the embodiment as shown in FIG. 1 at a subsequent stage of manufacture in accordance with one embodiment of the present invention; [0007]
  • FIG. 4 is an enlarged, cross-sectional view of the embodiment shown in FIG. 1 at a subsequent stage of manufacture in accordance with one embodiment of the present invention; [0008]
  • FIG. 5 is an enlarged, cross-sectional view of another embodiment of the present invention; [0009]
  • FIG. 6 is an enlarged, cross-sectional view of the embodiment shown in FIG. 5 at a subsequent stage in accordance with one embodiment of the present invention; [0010]
  • FIG. 7 is an enlarged, cross-sectional view of the embodiment shown in FIG. 5 at a subsequent stage in accordance with one embodiment of the present invention; and [0011]
  • FIG. 8 is a schematic depiction of an apparatus for forming gas cluster ions. [0012]
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a [0013] substrate 12 may be defined with the appropriate active and passive components, such as the metal elements 14 and the dielectric layer 16. A masking layer 18 may then be deposited. A dielectric film 20 may be deposited over the layer 18. The dielectric film 20 may be followed by a second interlayer dielectric film 26 in one embodiment.
  • Lithography, etching, and cleaning may be utilized to form trenches or vias through the [0014] dielectric films 26 and 20 using a damascene or dual damascene approach. Degassing, cleaning, barrier deposition, and seed deposition of the dielectric material may follow as desired. The resulting trenches may be filled with conductive material 22 and 24 using electroplating or any other fill technology.
  • The resulting wafer may be planarized down to the top of the [0015] dielectric film 26 by chemical mechanical polishing (CMP) or other planarization technology. If desired, deposition of a thin copper capping film 28 may be done using chemical vapor deposition, atomic layer deposition, or electroless methods to create a thin, continuous capping film.
  • The [0016] film 26 may be modified by the addition of carbon to form carbon-doped oxide (CDO) film. Other films 26 may also be used including porous silicon, spin-on glass, polymers, and other low dielectric constant materials. Then, as shown in FIG. 2, the exposed film 26 may be bombarded with a gas clustered ion beam. As a result of the mass and energy of the clustered ions used to bombard the film 26, the upper layer 30 of the film 26 may be densified. The capping film 28 is not substantially affected by the densification. In effect, the bombarding clustered ions actually compress the atomic structure of the exposed film 26. In one embodiment, a relatively porous material can be converted to a substantially non-porous hard mask.
  • Thereafter, another low dielectric constant interlayer [0017] dielectric layer 40 may be deposited in accordance with the damascene or dual damascene approach as shown in FIG. 3. The masking layer 42 may be formed and patterned to the desired trench characteristics as shown in FIG. 4. The masking layer 42 may then be used as a mask to pattern the layer 40 to form additional trenches for forming an additional layer of metal interconnects. Again, the metal interconnects may be arranged in an unlanded via configuration to repeat the sequence described in connection with FIGS. 1 and 2. In one application, the etched vias 44 may be filled with a sacrificial light activated material. The resulting structure may be covered by another masking layer, trenches may be etched, and then the sacrificial light activated material is etched to leave behind a trench and via that are filled with the material 22, 24. The techniques described herein can also be used to form embedded etch stops and to densify the bottom of trenches. Any surface layer may be converted in a directional fashion as described herein.
  • In another embodiment of the present invention, a similar process sequence to that described above may be utilized, except that a previously deposited, porous hard mask may be densified. Subsequent steps can then be built up to form multi-levels of vias and interconnects, all using the densified hard mask procedure described herein. As another example, a non-hermetic etch stop may have its top surface densified while the bulk of a silicon carbide etch stop is not altered. As a result, a low dielectric constant may be preserved for the material. [0018]
  • In one embodiment, a [0019] porous layer 56 may be formed over a sacrificial material 54 in a layer 52 over a substrate 50, as shown in FIG. 5. The porosity of the layer 56 enables the sacrificial material 54 to be evaporated and exhausted through the porous layer 56 in one embodiment, to create a void 58, shown in FIG. 6. Then, the porous layer 56 may be densified to form a substantially non-porous hard mask 60, sealing the void 58 as shown in FIG. 7.
  • Referring to FIG. 8, a typical gas clustered ion beam is illustrated. The desired gas is provided to a [0020] nozzle 32 and ejected by an ionizer 34 and accelerated by an accelerator 36. The accelerated ion clusters then impact the target structure causing densification. The clustered ions may include oxygen ions in one embodiment. As a result, an unlanded via integration scheme may be enabled, as indicated by 22.
  • The modified structure may result in a hard mask with lower interconnect capacitance since no silicon carbide etch stop layer may be utilized, a simpler etch process for the next metal layer since no separate hard mask is used on top of the next interlayer dielectric layer to etch through to form a via trench, a naturally self-aligned hard mask material, and a smoother copper or copper capped surface, which may have advantages in some embodiments. [0021]
  • Another advantage of using the gas cluster ions is that the total energy of the gas cluster can be high while the energy per atom is quite low. Thus, subsurface damage is reduced and is controllable to less than 20 Angstroms at low cluster energies. The gas cluster ion also tends to smooth the exposed metal surfaces, resulting in a smoother overlying via barrier interface. [0022]
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.[0023]

Claims (15)

What is claimed is:
1. A method comprising:
forming a porous layer; and
exposing said porous layer to a gas clustered ion beam to densify said porous layer.
2. The method of claim 1 wherein forming a porous layer includes forming an interlayer dielectric.
3. The method of claim 2 including forming a carbon doped oxide interlayer dielectric.
4. The method of claim 1 including forming metallic features on said porous layer and exposing said metallic features and said porous layer to a clustered ion beam.
5. The method of claim 1 including densifying said porous layer to form a hard mask.
6. The method of claim 5 including using said hard mask to enable an unlanded via integration scheme.
7. The method of claim 5 including using said hard mask in a damascene or dual damascene process.
8. The method of claim 1 including forming said porous layer over a sacrificial layer.
9. The method of claim 8 including removing said sacrificial layer through said porous layer and after removing said sacrificial layer through said porous layer exposing said porous layer to said clustered ion beam to form said hard mask.
10. The method of claim 1 including densifying only a surface region of said porous layer while the remainder of said porous layer below said surface region remains undensified.
11. The method of claim 10 including controlling the thickness of a densified region to approximately 20 Angstroms or less.
12-19. (Canceled).
20. A method comprising:
forming a porous layer over a sacrificial layer;
exhausting at least a portion of said sacrificial layer through said overlying porous layer; and
densifying the porous layer.
21. The method of claim 20 including densifying the porous layer using a clustered ion beam.
22. The method of claim 21 including forming a non-porous region in said porous layer by densifying.
US10/439,154 2003-05-15 2003-05-15 Densifying a relatively porous material Abandoned US20040229452A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/439,154 US20040229452A1 (en) 2003-05-15 2003-05-15 Densifying a relatively porous material
US11/101,688 US7071126B2 (en) 2003-05-15 2005-04-08 Densifying a relatively porous material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/439,154 US20040229452A1 (en) 2003-05-15 2003-05-15 Densifying a relatively porous material

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/101,688 Continuation-In-Part US7071126B2 (en) 2003-05-15 2005-04-08 Densifying a relatively porous material
US11/101,688 Continuation US7071126B2 (en) 2003-05-15 2005-04-08 Densifying a relatively porous material

Publications (1)

Publication Number Publication Date
US20040229452A1 true US20040229452A1 (en) 2004-11-18

Family

ID=33417739

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/439,154 Abandoned US20040229452A1 (en) 2003-05-15 2003-05-15 Densifying a relatively porous material
US11/101,688 Expired - Fee Related US7071126B2 (en) 2003-05-15 2005-04-08 Densifying a relatively porous material

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/101,688 Expired - Fee Related US7071126B2 (en) 2003-05-15 2005-04-08 Densifying a relatively porous material

Country Status (1)

Country Link
US (2) US20040229452A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050129926A1 (en) * 2003-12-12 2005-06-16 Grant Kloster Sealing porous dielectric materials
US20050272265A1 (en) * 2004-06-03 2005-12-08 Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
US20060105570A1 (en) * 2004-11-08 2006-05-18 Epion Corporation Copper interconnect wiring and method of forming thereof
US20070184655A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. Copper Interconnect Wiring and Method and Apparatus for Forming Thereof
US20100029078A1 (en) * 2008-07-30 2010-02-04 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US20100029071A1 (en) * 2008-07-30 2010-02-04 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US20110237015A1 (en) * 2004-11-30 2011-09-29 Spire Corporation Nanophotovoltaic devices

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8226835B2 (en) * 2009-03-06 2012-07-24 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8728958B2 (en) * 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8546209B1 (en) 2012-06-15 2013-10-01 International Business Machines Corporation Replacement metal gate processing with reduced interlevel dielectric layer etch rate
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6171951B1 (en) * 1998-10-30 2001-01-09 United Microelectronic Corp. Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening
US6475929B1 (en) * 2001-02-01 2002-11-05 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008502150A (en) * 2004-06-03 2008-01-24 エピオン コーポレーション Improved dual damascene integrated structure and method of manufacturing the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US6171951B1 (en) * 1998-10-30 2001-01-09 United Microelectronic Corp. Dual damascene method comprising ion implanting to densify dielectric layer and forming a hard mask layer with a tapered opening
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6475929B1 (en) * 2001-02-01 2002-11-05 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US6812147B2 (en) * 2001-10-11 2004-11-02 Epion Corporation GCIB processing to improve interconnection vias and improved interconnection via

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560165B2 (en) 2003-12-12 2009-07-14 Intel Corporation Sealing porous dielectric materials
US20050227094A1 (en) * 2003-12-12 2005-10-13 Grant Kloster Sealing porous dielectric materials
US7658975B2 (en) 2003-12-12 2010-02-09 Intel Corporation Sealing porous dielectric materials
US20050129926A1 (en) * 2003-12-12 2005-06-16 Grant Kloster Sealing porous dielectric materials
US20050272265A1 (en) * 2004-06-03 2005-12-08 Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
WO2005122224A2 (en) * 2004-06-03 2005-12-22 Epion Corporation Improved dual damascene integration structures and method of forming improved dual damascene integration structures
US7759251B2 (en) * 2004-06-03 2010-07-20 Tel Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
WO2005122224A3 (en) * 2004-06-03 2006-11-09 Epion Corp Improved dual damascene integration structures and method of forming improved dual damascene integration structures
US20090186482A1 (en) * 2004-11-08 2009-07-23 Tel Epion Inc. Method of forming capping structures on one or more material layer surfaces
US7291558B2 (en) * 2004-11-08 2007-11-06 Tel Epion Inc. Copper interconnect wiring and method of forming thereof
US20070184655A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. Copper Interconnect Wiring and Method and Apparatus for Forming Thereof
WO2006052958A3 (en) * 2004-11-08 2007-04-12 Epion Corp Copper interconnect wiring and method of forming thereof
US20060105570A1 (en) * 2004-11-08 2006-05-18 Epion Corporation Copper interconnect wiring and method of forming thereof
US7799683B2 (en) 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7838423B2 (en) 2004-11-08 2010-11-23 Tel Epion Inc. Method of forming capping structures on one or more material layer surfaces
US20110237015A1 (en) * 2004-11-30 2011-09-29 Spire Corporation Nanophotovoltaic devices
US8242009B2 (en) 2004-11-30 2012-08-14 Spire Corporation Nanophotovoltaic devices
US20100029078A1 (en) * 2008-07-30 2010-02-04 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US20100029071A1 (en) * 2008-07-30 2010-02-04 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7871929B2 (en) 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers

Also Published As

Publication number Publication date
US7071126B2 (en) 2006-07-04
US20050181631A1 (en) 2005-08-18

Similar Documents

Publication Publication Date Title
US7071126B2 (en) Densifying a relatively porous material
US7884474B2 (en) Method for fabricating semiconductor device and semiconductor device
US7301107B2 (en) Semiconductor device having reduced intra-level and inter-level capacitance
US7879683B2 (en) Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7816253B2 (en) Surface treatment of inter-layer dielectric
US6764939B1 (en) Semiconductor device and method of manufacturing the same
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
JP2008535212A (en) Method of forming conductive wiring portion structure on integrated circuit die, conductive wiring portion and integrated circuit die
US8564136B2 (en) Semiconductor device and method for fabricating the same
US20040169281A1 (en) Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
US20100102452A1 (en) Method for fabricating semiconductor device and semiconductor device
JP2009194072A (en) Method of manufacturing semiconductor device
US20060099786A1 (en) Copper interconnect structure with modulated topography and method for forming the same
US7687394B2 (en) Method for forming inter-layer dielectric of low dielectric constant and method for forming copper wiring using the same
KR100310495B1 (en) Method for manufacturing semiconductor device having multiple layer
JP2004522315A (en) Semiconductor structure
US7071129B2 (en) Enhancing adhesion of silicon nitride films to carbon-containing oxide films
KR101019699B1 (en) Method for forming dielectric layer of semiconductor device
JP2003209169A (en) Manufacturing method of semiconductor device
JP2009117673A (en) Semiconductor device and manufacturing method thereof
TWI282602B (en) Dual damascene process
KR100871358B1 (en) Method for forming metal interconnection layer of semiconductor device
JP3733106B2 (en) Semiconductor device
JP2000277611A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JOHNSTON, STEVEN W.;O'BRIEN, KEVIN P.;REEL/FRAME:014084/0660;SIGNING DATES FROM 20030512 TO 20030514

STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION