US20040230919A1 - Advanced design format library for integrated circuit design synthesis and floorplanning tools - Google Patents

Advanced design format library for integrated circuit design synthesis and floorplanning tools Download PDF

Info

Publication number
US20040230919A1
US20040230919A1 US10/438,530 US43853003A US2004230919A1 US 20040230919 A1 US20040230919 A1 US 20040230919A1 US 43853003 A US43853003 A US 43853003A US 2004230919 A1 US2004230919 A1 US 2004230919A1
Authority
US
United States
Prior art keywords
parameter
integrated circuit
library
area size
routing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/438,530
Other versions
US6990651B2 (en
Inventor
Balamurugan Balasubramanian
Juergen Lahner
Srinivas Adusumalli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bell Semiconductor LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/438,530 priority Critical patent/US6990651B2/en
Assigned to LSI LOGIC CORPORATION reassignment LSI LOGIC CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADUSUMALLI, SRINIVAS, BASASUBRAMANIAN, BALAMURUGAN, LAHNER, JUERGEN
Publication of US20040230919A1 publication Critical patent/US20040230919A1/en
Application granted granted Critical
Publication of US6990651B2 publication Critical patent/US6990651B2/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to LSI CORPORATION reassignment LSI CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: LSI LOGIC CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LSI CORPORATION
Assigned to AGERE SYSTEMS LLC, LSI CORPORATION reassignment AGERE SYSTEMS LLC TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to BELL SEMICONDUCTOR, LLC reassignment BELL SEMICONDUCTOR, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., BROADCOM CORPORATION
Assigned to CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT reassignment CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC
Assigned to BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC reassignment BELL NORTHERN RESEARCH, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CORTLAND CAPITAL MARKET SERVICES LLC
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Definitions

  • the present invention is directed to integrated circuit design software used in the manufacture of integrated circuits. More specifically, but without limitation thereto, the present invention is directed to library of elements for synthesizing hardware description language (HDL) from register transfer level (RTL) code.
  • HDL hardware description language
  • RTL register transfer level
  • Identifying and estimating routing congestion in an integrated circuit design is one of the fundamental issues in very large scale integrated circuit (VLSI) design.
  • VLSI very large scale integrated circuit
  • HDL hardware description language
  • RTL register transfer level
  • an integrated circuit design library includes a timing parameter representative of a design element in an integrated circuit; an area size parameter representative of the design element in an integrated circuit; and a routing demand parameter representative of a number of connections required for the design element for each value of the timing parameter and the area size parameter.
  • a computer program product for an integrated circuit design library includes a medium for embodying a computer program for input to a computer and a computer program embodied in the medium for causing the computer to perform the following functions: extracting a value for a timing parameter from a netlist; extracting a value for an area size parameter from the netlist; and calculating a value for a routing demand parameter from the netlist.
  • FIG. 1 illustrates a flow diagram of an advanced design format (ADF) database used to generate an advanced design format library according to an embodiment of the present invention
  • FIG. 2 illustrates a flow diagram of an advanced design format library generated from the advanced design format database of FIG. 1;
  • FIG. 3 illustrates some possible combinations of selected parameters for a library element of an advanced format design library according to an embodiment of the present invention
  • FIG. 4 illustrates an example of computer code for a library element according to an embodiment of the present invention.
  • FIG. 5 illustrates a flow chart for an integrated circuit library according to an embodiment of the present invention.
  • Congestion is a fundamental issue in very large scale integrated circuit (VLSI) design. Congestion estimation and analysis have gained increasing importance as the size and complexity of designs grow. It is therefore desirable to identify potential congestion problems early in the design cycle. Previous methods of estimating congestion are typically employed in the netlist placement phase, when the design information is lost in a multitude of gates and the placement of cells is nearly fixed. Even though congestion avoidance is the main objective of currently used global routing tools, optimization performance of these tools is minimal, because congestion is primarily due to the manner in which the RTL code was designed than to cell placement and/or netlist synthesis. Reducing utilization and increasing cell padding size may assist in reducing congestion, but not enough to avoid significant design delays. There is insufficient insight at the netlist placement phase to enable redesigning or repartitioning the design at the RTL code level. The global routing tools simply pass on the congestion problems to the next phase of the design, for example, detailed routing, or else generate timing tradeoffs.
  • an advanced design format (ADF) library in which the library elements include routing demand information in addition to the conventional timing information, area information, and technology specific information, for example, the number of metal layers, the number of tracks per grid, and so on.
  • ADF advanced design format
  • a library of elements representative of components for an integrated circuit design includes a time parameter, an area size parameter, a routing demand parameter, and a drive length parameter for each of the components.
  • the time parameter includes a timing table of propagation, rise and fall times for best case, typical case and worst case operating conditions between the input pins and the output pins of the library element.
  • the area size parameter includes the number and units of area required for placing the library element in a floorplan.
  • the routing demand parameter is representative of a number of connections required for routing the library element.
  • FIG. 1 illustrates a flow diagram of an advanced design format (ADF) database used to generate an advanced design format library according to an embodiment of the present invention. Shown in FIG. 1 are architectural variations 102 , synthesis methods 104 , register transfer level (RTL) code descriptions 106 , place and route methods 108 , advanced design components (ADC) 110 , an advanced design format (ADF) database 112 , extraction methods 114 , timing parameters 116 , area size parameters 118 , and routing demand parameters 120 .
  • ADF advanced design format
  • FIG. 1 illustrates a flow diagram of an advanced design format (ADF) database used to generate an advanced design format library according to an embodiment of the present invention. Shown in FIG. 1 are architectural variations 102 , synthesis methods 104 , register transfer level (RTL) code descriptions 106 , place and route methods 108 , advanced design components (ADC) 110 , an advanced design format (ADF) database 112 , extraction methods 114 , timing parameters 116 , area size parameters 118 ,
  • the advanced design components (ADC) 110 are the common, basic design elements representative of structures that may be mapped into a hardware description language (HDL). Examples of these basic design elements include adders, multipliers, multiplexers, bitwise logical operators, and dividers. Each of these basic design elements is described for various area size parameters 118 and architectural variations 102 .
  • a multiplexer may be implemented as a 2:1 multiplexer, a 4:1 multiplexer, and so on, and may have a single bitwidth or a multiple bitwidth.
  • the architectural variations 102 may be based on the register transfer level (RTL) code descriptions 106 , for example, using case statements, assign statements, and so on.
  • synthesis methods 104 For each advanced design component 110 having a specific architectural variation 102 , there are generally several synthesis methods 104 to achieve variations in the timing parameters 116 and the area size parameters 118 . Some synthesis methods 104 may be used to constrain a synthesis tool to yield an implementation having a low routing demand or a high routing demand. For example, a 32:1 multiplexer may be implemented by a plurality of and-or gates, or by a tree of 2:1 multiplexers, or by a tree of 4:1 multiplexers, and so on. Each of these implementations may be further constrained by the timing parameters 116 and the area size parameters 118 to result in a single register transfer level code description 106 of an advanced design component 110 that may be associated with more than one synthesis method.
  • a single advanced design component 110 may be associated with several place and route methods, each yielding a different routing demand parameter 120 .
  • the advanced format design library 112 includes the various synthesis/place and route methods 108 that may be generic to several advanced design components 110 and may also include special place and route methods 108 applicable to specific advanced design components 110 , for example, multiplexers and multipliers.
  • the routing demand parameter 120 is representative of a number of connections required for an element in the advanced design format database 112 for specific values of the timing parameter 116 and the area size parameter 118 .
  • the extraction methods 114 are algorithms that are used to extract information from the netlist and/or the place and route database about the timing parameters 116 , the area size parameters 118 , and the routing demand parameters 120 for each advanced design component 110 in a format that may be used to compile the advanced design format database 112 .
  • the routing demand parameters 120 include routing congestion and an associated length unit that may be driven by the corresponding advanced design component 110 .
  • the extraction methods 114 are also included in the advanced design format library 112 and are common to all of the advanced design components 110 .
  • the timing parameters 116 and the area size parameters 118 are extracted according to well-known techniques and formats.
  • a routing demand parameter 120 may be, for example, an internal routing demand number that may be calculated according to well-known techniques as a function of the total wire length in the netlist for each advanced design component in the advanced design component database 110 .
  • the number of length units that an advanced design component can drive may also be derived according to well-known techniques from the drive cells in the netlist for each advanced design component 110 .
  • the drive cells in the netlist may be replaced with high drive strength cells to generate advanced design components 110 having higher length unit values and the same functionality.
  • FIG. 2 illustrates a flow diagram of an advanced design format library generated from the advanced design format database of FIG. 1. Shown in FIG. 2 are an advanced design format component database 112 , synthesis methods 104 , place and route methods 108 , extraction methods 114 , a compiling step 202 , and an advanced design format library 204 .
  • the following steps describe how an advanced design format library according to an embodiment of the present invention may be generated for use with integrated circuit design software tools.
  • ADF industry standard of advanced design format
  • other integrated circuit design library formats may also be used to practice various embodiments of the present invention within the scope of the appended claims.
  • a set of advanced design components is defined as described above in the advanced design components database 110 .
  • the extraction methods 114 are used to extract information about timing, area size, and routing demand.
  • the extracted information may be stored in an intermediate database 112 according to well-known techniques.
  • Steps (4) and (5) are repeated for each place and route method associated with the advanced design component.
  • Steps (3-6) are repeated for each of the synthesis methods 104 associated with the advanced design component.
  • Steps (2-7) are repeated for each advanced design component in the advanced design components database 110 . for each combination of timing, area size, and routing demand.
  • the following example illustrates four variations each of timing, area size, and routing demand, however, fewer or more than for of each of these parameters may be used to suit specific applications within the scope of the appended claims:
  • Routing demand number (RDN): RD 1 , RD 2 , RD 3 , RD 4
  • each of the advanced design components in the library will have several combinations for the same function.
  • Table 1 illustrates an example of four possible combinations of timing, area size, routing demand number RDN, and drive length NL for an adder library element having a specific architecture of, for example, a carry save adder, 32 bits wide.
  • FIG. 3 illustrates some possible combinations of selected parameters for a library element of an advanced format design library according to an embodiment of the present invention. Shown in FIG. 3 are a set 302 of two variations of a given timing and area size for an advanced design component, a set 304 of three variations for different place and route methods, and two sets 306 and 308 of two variations each for different drive lengths.
  • FIG. 4 illustrates an example of computer code for a library element 400 included in the intermediate database according to an embodiment of the present invention.
  • the library element 400 includes three blocks for timing, area size, and congestion.
  • the timing block includes timing parameters such as setup and hold time for each pin of the block.
  • the timing block may also include related pin descriptions and clock signal requirements.
  • the area block includes the block area size in predefined units, for example, square microns.
  • the routing congestion block includes a routing demand number representative of the number of connections required for the block, the number of internal nets, that is, the number of nets that begin and end inside the block, and the number of external nets, that is, the number of nets that begin or end outside the block.
  • routing demand information is included in the library element descriptions, library elements having the same functionality may be defined for different routing demand values, a method for extracting the routing demand for each library element, and a method for utilizing the routing demand during place and route.
  • routing demand value in the advanced design format library enables synthesis and placement tools to allow sufficient chip area for routing without unnecessarily increasing chip area, thereby optimizing an integrated circuit design without the costly iterations and even manual re-design steps typically required to resolve routing congestion problems.
  • the desired functionality may advantageously be selected by synthesis tools according to the general design constraints.
  • the synthesis tools may also use the routing demand parameter in the advanced design format library to converge on a lower overall routing demand parameter value or number for the integrated circuit design in the same manner used by synthesis tools for timing and area size.
  • An example of how a routing demand parameter in the advanced design format library may be used by a synthesis tool is described as follows.
  • routing resource number RRN
  • CEV congestion estimate value
  • the routing demand number is defined as a function of routing demand, for example, the number of interconnects, the length of the interconnects, and the number of library elements included.
  • the congestion estimate value (CEV) is defined as the difference between the routing demand number and the routing resource number and may be expressed by the following formula:
  • region is used herein to indicate a region, a logical partition, or a physical partition or design. If the CEV is positive, that is, if the routing demand exceeds the routing resources, then congestion is indicated.
  • the routing demand parameter is a function of the number and type of library elements in the region, the length of the internal interconnects and the partial length of external interconnects that originate or terminate within the region.
  • Each library element included within the region has an associated routing demand number stored, for example, in the cell library, that contributes to the internal routing demand of the region.
  • the internal routing demand number for the region may be expressed by the following formula:
  • RDN internal f ( RDN element , WL I-net , ⁇ ( WL E-net )) (2)
  • RDN element is the sum of the routing demand numbers for every library element in the region
  • WL I-net is the wire length of the internal interconnects
  • ⁇ (WL E-net ) is the partial wire length of an external net based on the distance between a library element pin and an input or output of the region or partition.
  • the library elements within a region having a high routing demand number may be replaced with other library elements having the same functionality but a smaller routing demand number according to the advanced design format library.
  • the individual routing demand numbers of the library elements By reducing the individual routing demand numbers of the library elements, the total routing demand number of the region may be reduced, thereby reducing congestion.
  • external nets that have a high probability of extending across the region may be routed through regions having a smaller routing demand number, thereby redistributing the routing demand for a given technology having a constant routing resource number.
  • a computer program product for an integrated circuit design library includes a medium for embodying a computer program for input to a computer and a computer program embodied in the medium for causing the computer to perform the following functions: extracting a value for a timing parameter from a netlist; extracting a value for an area size parameter from the netlist; and calculating a value for a routing demand parameter from the netlist.
  • FIG. 5 illustrates a flow chart for a computer program product for an integrated circuit design library according to an embodiment of the present invention.
  • Step 502 is the entry point of the flow chart 500 .
  • a value of a timing parameter is extracted from a netlist of, for example, an advanced design component according to well-known techniques.
  • step 506 a value of an area size parameter is extracted from the netlist according to well-known techiques.
  • step 508 a value of a routing demand parameter is calculated from the netlist as described above.
  • a library element is generated as output that is representative of the values of the timing parameter, the area size parameter, and the routing demand parameter determined in steps 504 , 506 and 508 .
  • Step 512 is the exit point of the flow chart 500 .

Abstract

An integrated circuit design library includes a timing parameter representative of a design element in an integrated circuit; an area size parameter representative of the design element in an integrated circuit; and a routing demand parameter representative of a number of connections required for the design element for each value of the timing parameter and the area size parameter.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention is directed to integrated circuit design software used in the manufacture of integrated circuits. More specifically, but without limitation thereto, the present invention is directed to library of elements for synthesizing hardware description language (HDL) from register transfer level (RTL) code. [0002]
  • 2. Description of the Prior Art [0003]
  • Identifying and estimating routing congestion in an integrated circuit design is one of the fundamental issues in very large scale integrated circuit (VLSI) design. In conventional software tools for synthesizing hardware description language (HDL) from register transfer level (RTL) code, components are selected from a library and mapped to a hardware description language. The routing congestion is then estimated using probabilistic models during trial circuit layout and floorplanning. [0004]
  • SUMMARY OF THE INVENTION
  • In one aspect of the present invention, an integrated circuit design library includes a timing parameter representative of a design element in an integrated circuit; an area size parameter representative of the design element in an integrated circuit; and a routing demand parameter representative of a number of connections required for the design element for each value of the timing parameter and the area size parameter. [0005]
  • In another aspect of the present invention, a computer program product for an integrated circuit design library includes a medium for embodying a computer program for input to a computer and a computer program embodied in the medium for causing the computer to perform the following functions: extracting a value for a timing parameter from a netlist; extracting a value for an area size parameter from the netlist; and calculating a value for a routing demand parameter from the netlist.[0006]
  • DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example and not limitation in the accompanying figures, in which like references indicate similar elements throughout the several views of the drawings, and in which: [0007]
  • FIG. 1 illustrates a flow diagram of an advanced design format (ADF) database used to generate an advanced design format library according to an embodiment of the present invention; [0008]
  • FIG. 2 illustrates a flow diagram of an advanced design format library generated from the advanced design format database of FIG. 1; [0009]
  • FIG. 3 illustrates some possible combinations of selected parameters for a library element of an advanced format design library according to an embodiment of the present invention; [0010]
  • FIG. 4 illustrates an example of computer code for a library element according to an embodiment of the present invention; and [0011]
  • FIG. 5 illustrates a flow chart for an integrated circuit library according to an embodiment of the present invention.[0012]
  • Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some elements in the figures may be exaggerated relative to other elements to point out distinctive features in the illustrated embodiments of the present invention. [0013]
  • DESCRIPTION OF THE ILLUSTRATED EMBODIMENTS
  • Congestion is a fundamental issue in very large scale integrated circuit (VLSI) design. Congestion estimation and analysis have gained increasing importance as the size and complexity of designs grow. It is therefore desirable to identify potential congestion problems early in the design cycle. Previous methods of estimating congestion are typically employed in the netlist placement phase, when the design information is lost in a multitude of gates and the placement of cells is nearly fixed. Even though congestion avoidance is the main objective of currently used global routing tools, optimization performance of these tools is minimal, because congestion is primarily due to the manner in which the RTL code was designed than to cell placement and/or netlist synthesis. Reducing utilization and increasing cell padding size may assist in reducing congestion, but not enough to avoid significant design delays. There is insufficient insight at the netlist placement phase to enable redesigning or repartitioning the design at the RTL code level. The global routing tools simply pass on the congestion problems to the next phase of the design, for example, detailed routing, or else generate timing tradeoffs. [0014]
  • In previous technology libraries used to map register transfer level (RTL) code to a hardware description language (HDL), there is no routing demand or congestion information contained in the library elements that may be used to avoid routing conflicts in the synthesis and floorplanning of the integrated circuit design due to routing congestion. As a result, a substantial amount of time may be required in trial and error layouts to resolve the routing congestion conflicts. [0015]
  • In the present invention, an advanced design format (ADF) library is provided in which the library elements include routing demand information in addition to the conventional timing information, area information, and technology specific information, for example, the number of metal layers, the number of tracks per grid, and so on. [0016]
  • In one aspect of the present invention, a library of elements representative of components for an integrated circuit design includes a time parameter, an area size parameter, a routing demand parameter, and a drive length parameter for each of the components. The time parameter includes a timing table of propagation, rise and fall times for best case, typical case and worst case operating conditions between the input pins and the output pins of the library element. The area size parameter includes the number and units of area required for placing the library element in a floorplan. The routing demand parameter is representative of a number of connections required for routing the library element. [0017]
  • FIG. 1 illustrates a flow diagram of an advanced design format (ADF) database used to generate an advanced design format library according to an embodiment of the present invention. Shown in FIG. 1 are [0018] architectural variations 102, synthesis methods 104, register transfer level (RTL) code descriptions 106, place and route methods 108, advanced design components (ADC) 110, an advanced design format (ADF) database 112, extraction methods 114, timing parameters 116, area size parameters 118, and routing demand parameters 120.
  • The advanced design components (ADC) [0019] 110 are the common, basic design elements representative of structures that may be mapped into a hardware description language (HDL). Examples of these basic design elements include adders, multipliers, multiplexers, bitwise logical operators, and dividers. Each of these basic design elements is described for various area size parameters 118 and architectural variations 102. For example, a multiplexer may be implemented as a 2:1 multiplexer, a 4:1 multiplexer, and so on, and may have a single bitwidth or a multiple bitwidth. The architectural variations 102 may be based on the register transfer level (RTL) code descriptions 106, for example, using case statements, assign statements, and so on.
  • For each [0020] advanced design component 110 having a specific architectural variation 102, there are generally several synthesis methods 104 to achieve variations in the timing parameters 116 and the area size parameters 118. Some synthesis methods 104 may be used to constrain a synthesis tool to yield an implementation having a low routing demand or a high routing demand. For example, a 32:1 multiplexer may be implemented by a plurality of and-or gates, or by a tree of 2:1 multiplexers, or by a tree of 4:1 multiplexers, and so on. Each of these implementations may be further constrained by the timing parameters 116 and the area size parameters 118 to result in a single register transfer level code description 106 of an advanced design component 110 that may be associated with more than one synthesis method. Likewise, a single advanced design component 110 may be associated with several place and route methods, each yielding a different routing demand parameter 120. For example, using different routing utilization or placement constraints will result in the same synthesized netlist with different routing demand parameters 120. The advanced format design library 112 includes the various synthesis/place and route methods 108 that may be generic to several advanced design components 110 and may also include special place and route methods 108 applicable to specific advanced design components 110, for example, multiplexers and multipliers. The routing demand parameter 120 is representative of a number of connections required for an element in the advanced design format database 112 for specific values of the timing parameter 116 and the area size parameter 118.
  • The [0021] extraction methods 114 are algorithms that are used to extract information from the netlist and/or the place and route database about the timing parameters 116, the area size parameters 118, and the routing demand parameters 120 for each advanced design component 110 in a format that may be used to compile the advanced design format database 112. The routing demand parameters 120 include routing congestion and an associated length unit that may be driven by the corresponding advanced design component 110. The extraction methods 114 are also included in the advanced design format library 112 and are common to all of the advanced design components 110. The timing parameters 116 and the area size parameters 118 are extracted according to well-known techniques and formats.
  • An important feature of the present invention is the inclusion of the [0022] routing demand parameters 120. A routing demand parameter 120 may be, for example, an internal routing demand number that may be calculated according to well-known techniques as a function of the total wire length in the netlist for each advanced design component in the advanced design component database 110. The number of length units that an advanced design component can drive may also be derived according to well-known techniques from the drive cells in the netlist for each advanced design component 110. Alternatively, the drive cells in the netlist may be replaced with high drive strength cells to generate advanced design components 110 having higher length unit values and the same functionality.
  • FIG. 2 illustrates a flow diagram of an advanced design format library generated from the advanced design format database of FIG. 1. Shown in FIG. 2 are an advanced design [0023] format component database 112, synthesis methods 104, place and route methods 108, extraction methods 114, a compiling step 202, and an advanced design format library 204. The following steps describe how an advanced design format library according to an embodiment of the present invention may be generated for use with integrated circuit design software tools. Although the example described herein is directed to the widely accepted industry standard of advanced design format (ADF) for compatibility with industry standard synthesis and placement tools, other integrated circuit design library formats may also be used to practice various embodiments of the present invention within the scope of the appended claims.
  • (1) A set of advanced design components is defined as described above in the advanced [0024] design components database 110.
  • (2) For each advanced design component in the advanced [0025] design components database 110, the associated synthesis methods 104 and place and route methods 108 are identified.
  • (3) Using the register transfer level code for the advanced design component and one of the associated [0026] synthesis methods 104, a netlist for the advanced design component is generated according to well-known techniques.
  • (4) The netlist is placed and routed according to one of the place and [0027] route methods 108.
  • (5) The [0028] extraction methods 114 are used to extract information about timing, area size, and routing demand. The extracted information may be stored in an intermediate database 112 according to well-known techniques.
  • (6) Steps (4) and (5) are repeated for each place and route method associated with the advanced design component. [0029]
  • (7) Steps (3-6) are repeated for each of the [0030] synthesis methods 104 associated with the advanced design component.
  • (8) Steps (2-7) are repeated for each advanced design component in the advanced [0031] design components database 110. for each combination of timing, area size, and routing demand. The following example illustrates four variations each of timing, area size, and routing demand, however, fewer or more than for of each of these parameters may be used to suit specific applications within the scope of the appended claims:
  • Timing: T[0032] 1, T2, T3, T4
  • where T[0033] 1<T2<T3<T4
  • Area: A[0034] 1, A2, A3, A4
  • where A[0035] 1<A2<A3<A4
  • Routing demand number (RDN): RD[0036] 1, RD2, RD3, RD4
  • where RD[0037] 1<RD2<RD3<RD4
  • Given the above variations for each parameter, each of the advanced design components in the library will have several combinations for the same function. Table 1 below illustrates an example of four possible combinations of timing, area size, routing demand number RDN, and drive length NL for an adder library element having a specific architecture of, for example, a carry save adder, 32 bits wide. [0038]
    TABLE 1
    NO. TIMING AREA SIZE RDN NL
    1 T1 A4 RD4 L
    2 T2 A3 RD3 2L
    3 T3 A2 RD1 L
    4 T4 A1 RD2 3L
  • FIG. 3 illustrates some possible combinations of selected parameters for a library element of an advanced format design library according to an embodiment of the present invention. Shown in FIG. 3 are a set [0039] 302 of two variations of a given timing and area size for an advanced design component, a set 304 of three variations for different place and route methods, and two sets 306 and 308 of two variations each for different drive lengths.
  • As may be appreciated from Table 1 and FIG. 3, many combinations may be generated in the advanced design format library for each of the advanced design components in the advanced design components database having the same functionality and different combinations of specific values of the timing parameter, the area size parameter, and the routing demand parameter. [0040]
  • (9) The technology type information and other standard library attributes, for example, the vendor name and the routing resource number, are extracted during the characterization process of each of the advanced design components and included in the intermediate database. [0041]
  • FIG. 4 illustrates an example of computer code for a [0042] library element 400 included in the intermediate database according to an embodiment of the present invention. In the example of FIG. 4, the library element 400 includes three blocks for timing, area size, and congestion.
  • The timing block includes timing parameters such as setup and hold time for each pin of the block. The timing block may also include related pin descriptions and clock signal requirements. [0043]
  • The area block includes the block area size in predefined units, for example, square microns. [0044]
  • The routing congestion block includes a routing demand number representative of the number of connections required for the block, the number of internal nets, that is, the number of nets that begin and end inside the block, and the number of external nets, that is, the number of nets that begin or end outside the block. [0045]
  • (10) The intermediate database is compiled according to well-known techniques to generate the advanced design format library. [0046]
  • Some important features of the new advanced design format library of the present invention are that routing demand information is included in the library element descriptions, library elements having the same functionality may be defined for different routing demand values, a method for extracting the routing demand for each library element, and a method for utilizing the routing demand during place and route. [0047]
  • The availability of the routing demand value in the advanced design format library enables synthesis and placement tools to allow sufficient chip area for routing without unnecessarily increasing chip area, thereby optimizing an integrated circuit design without the costly iterations and even manual re-design steps typically required to resolve routing congestion problems. [0048]
  • By including several variations having different routing demand values for the same advanced design component in the advanced design format library, the desired functionality may advantageously be selected by synthesis tools according to the general design constraints. The synthesis tools may also use the routing demand parameter in the advanced design format library to converge on a lower overall routing demand parameter value or number for the integrated circuit design in the same manner used by synthesis tools for timing and area size. An example of how a routing demand parameter in the advanced design format library may be used by a synthesis tool is described as follows. [0049]
  • Two parameters are associated with the routing demand number, a routing resource number (RRN) and a congestion estimate value (CEV). The routing resource number (RRN) is defined as a function of routing resources available for a given technology, for example, the number of metal layers and the number of routing tracks per grid. The routing resource number applies to a region, a logical partition, and to a physical partition or physical design. [0050]
  • The routing demand number (RDN) is defined as a function of routing demand, for example, the number of interconnects, the length of the interconnects, and the number of library elements included. [0051]
  • The congestion estimate value (CEV) is defined as the difference between the routing demand number and the routing resource number and may be expressed by the following formula:[0052]
  • CEV(region)=RDN(region)−RRN(region)  (1)
  • where region is used herein to indicate a region, a logical partition, or a physical partition or design. If the CEV is positive, that is, if the routing demand exceeds the routing resources, then congestion is indicated. [0053]
  • The routing demand parameter is a function of the number and type of library elements in the region, the length of the internal interconnects and the partial length of external interconnects that originate or terminate within the region. Each library element included within the region has an associated routing demand number stored, for example, in the cell library, that contributes to the internal routing demand of the region. The internal routing demand number for the region may be expressed by the following formula:[0054]
  • RDN internal =f(RDN element , WL I-net, ∂(WL E-net))  (2)
  • where RDN[0055] element is the sum of the routing demand numbers for every library element in the region, WLI-net is the wire length of the internal interconnects, and ∂(WLE-net) is the partial wire length of an external net based on the distance between a library element pin and an input or output of the region or partition.
  • The library elements within a region having a high routing demand number may be replaced with other library elements having the same functionality but a smaller routing demand number according to the advanced design format library. By reducing the individual routing demand numbers of the library elements, the total routing demand number of the region may be reduced, thereby reducing congestion. Additionally, external nets that have a high probability of extending across the region may be routed through regions having a smaller routing demand number, thereby redistributing the routing demand for a given technology having a constant routing resource number. [0056]
  • In another aspect of the present invention, a computer program product for an integrated circuit design library includes a medium for embodying a computer program for input to a computer and a computer program embodied in the medium for causing the computer to perform the following functions: extracting a value for a timing parameter from a netlist; extracting a value for an area size parameter from the netlist; and calculating a value for a routing demand parameter from the netlist. [0057]
  • FIG. 5 illustrates a flow chart for a computer program product for an integrated circuit design library according to an embodiment of the present invention. [0058]
  • [0059] Step 502 is the entry point of the flow chart 500.
  • In [0060] step 504, a value of a timing parameter is extracted from a netlist of, for example, an advanced design component according to well-known techniques.
  • In [0061] step 506, a value of an area size parameter is extracted from the netlist according to well-known techiques.
  • In [0062] step 508, a value of a routing demand parameter is calculated from the netlist as described above.
  • The values of other parameters used to define library elements may be extracted from the netlist and other data sources according to well-known techniques to suit specific applications, such as compatibility with industry standard synthesis and placement tools. [0063]
  • In [0064] step 510, a library element is generated as output that is representative of the values of the timing parameter, the area size parameter, and the routing demand parameter determined in steps 504, 506 and 508.
  • [0065] Step 512 is the exit point of the flow chart 500.
  • Although the method of the present invention illustrated by the flowchart descriptions above are described and shown with reference to specific steps performed in a specific order, these steps may be combined, sub-divided, or reordered without departing from the scope of the claims. Unless specifically indicated herein, the order and grouping of steps is not a limitation of the present invention. [0066]
  • While the invention herein disclosed has been described by means of specific embodiments and applications thereof, numerous modifications and variations could be made thereto by those skilled in the art without departing from the scope of the invention set forth in the following claims. [0067]

Claims (15)

What is claimed is:
1. An integrated circuit design library comprising:
a timing parameter representative of a design element in an integrated circuit;
an area size parameter representative of the design element in an integrated circuit; and
a routing demand parameter representative of a number of connections required for the design element for each value of the timing parameter and the area size parameter.
2. The integrated circuit design library of claim 1 wherein the routing demand parameter is representative of a number of connections required for an element in the integrated circuit design library for specific values of the timing parameter and the area size parameter.
3. The integrated circuit design library of claim 1 wherein the routing demand parameter is representative of a wire length of internal interconnects required for an element in the integrated circuit design library.
4. The integrated circuit design library of claim 1 wherein the timing parameter, the area size parameter, and the routing demand parameter have an advanced design format for compatibility with industry standard synthesis and placement tools.
5. The integrated circuit design library of claim 1 further including a plurality of library elements each having the same functionality and different combinations of specific values of the timing parameter, the area size parameter, and the routing demand parameter.
6. A computer program product for an integrated circuit design library comprising:
a medium for embodying a computer program for input to a computer; and
a computer program embodied in the medium for causing the computer to perform steps of:
extracting a value for a timing parameter from a netlist;
extracting a value for an area size parameter from the netlist; and
calculating a value for a routing demand parameter from the netlist.
7. The computer program product of claim 6 wherein the routing demand parameter is representative of a number of connections required for an element in the integrated circuit design library for specific values of the timing parameter and the area size parameter.
8. The computer program product of claim 6 wherein the routing demand parameter is representative of a wire length of internal interconnects required for an element in the integrated circuit design library.
9. The computer program product of claim 6 wherein the timing parameter, the area size parameter, and the routing demand parameter have an advanced design format for compatibility with industry standard synthesis and placement tools.
10. The computer program product of claim 6 further comprising generating as output a library element representative of the values of the timing parameter, the area size parameter, and the routing demand parameter.
11. A method of generating an integrated circuit design library comprising steps of:
extracting a value for a timing parameter from a netlist;
extracting a value for an area size parameter from the netlist; and
calculating a value for a routing demand parameter from the netlist.
12. The method of claim 11 wherein the routing demand parameter is representative of a number of connections required for an element in the integrated circuit design library for specific values of the timing parameter and the area size parameter.
13. The method of claim 11 wherein the routing demand parameter is representative of a wire length of internal interconnects required for an element in the integrated circuit design library.
14. The method of claim 11 wherein the timing parameter, the area size parameter, and the routing demand parameter have an advanced design format for compatibility with industry standard synthesis and placement tools.
15. The method of claim 11 further comprising generating as output a library element representative of the values of the timing parameter, the area size parameter, and the routing demand parameter.
US10/438,530 2003-05-14 2003-05-14 Advanced design format library for integrated circuit design synthesis and floorplanning tools Expired - Fee Related US6990651B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/438,530 US6990651B2 (en) 2003-05-14 2003-05-14 Advanced design format library for integrated circuit design synthesis and floorplanning tools

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/438,530 US6990651B2 (en) 2003-05-14 2003-05-14 Advanced design format library for integrated circuit design synthesis and floorplanning tools

Publications (2)

Publication Number Publication Date
US20040230919A1 true US20040230919A1 (en) 2004-11-18
US6990651B2 US6990651B2 (en) 2006-01-24

Family

ID=33417599

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/438,530 Expired - Fee Related US6990651B2 (en) 2003-05-14 2003-05-14 Advanced design format library for integrated circuit design synthesis and floorplanning tools

Country Status (1)

Country Link
US (1) US6990651B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9852254B2 (en) * 2015-11-10 2017-12-26 Arteris, Inc. Automatic architecture placement guidance
US11558259B2 (en) 2019-12-27 2023-01-17 Arteris, Inc. System and method for generating and using physical roadmaps in network synthesis
US11601357B2 (en) 2020-12-22 2023-03-07 Arteris, Inc. System and method for generation of quality metrics for optimization tasks in topology synthesis of a network
US11657203B2 (en) 2019-12-27 2023-05-23 Arteris, Inc. Multi-phase topology synthesis of a network-on-chip (NoC)
US11665776B2 (en) 2019-12-27 2023-05-30 Arteris, Inc. System and method for synthesis of a network-on-chip for deadlock-free transformation
US11675942B2 (en) 2020-12-26 2023-06-13 Arteris, Inc. Optimization of parameters for synthesis of a topology using a discriminant function module
US11748535B2 (en) 2019-12-27 2023-09-05 Arteris, Inc. System and method to generate a network-on-chip (NoC) description using incremental topology synthesis
US11838211B2 (en) 2020-04-09 2023-12-05 Arteris, Inc. System and method to determine optimal path(s) and use load balancing in an interconnect
US11836427B2 (en) 2020-12-30 2023-12-05 Arteris, Inc. Constraints and objectives used in synthesis of a network-on-chip (NoC)
US11956127B2 (en) 2022-03-03 2024-04-09 Arteris, Inc. Incremental topology modification of a network-on-chip

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879538B2 (en) * 2003-09-24 2011-02-01 Cadence Design Systems, Inc. Frequency division multiplexing (FDM) lithography
KR100855434B1 (en) * 2003-11-06 2008-09-01 클리어 쉐이프 테크날러지즈, 인크. Delta-information design closure in integrated circuit fabrication
US7360191B2 (en) * 2003-11-06 2008-04-15 Clear Shape Technologies, Inc. Delta information design closure integrated circuit fabrication
US20060242618A1 (en) * 2005-02-14 2006-10-26 Yao-Ting Wang Lithographic simulations using graphical processing units
US7385988B2 (en) * 2005-02-28 2008-06-10 Cisco Technology, Inc. Method and apparatus for limiting VPNv4 prefixes per VPN in an inter-autonomous system environment
CN101506810B (en) * 2005-10-24 2013-06-05 卡德思设计规划公司 Timing, noise, and power analysis of integrated circuits
US7594203B2 (en) * 2007-01-24 2009-09-22 Prolific, Inc. Parallel optimization using independent cell instances
US7669161B2 (en) * 2007-06-22 2010-02-23 Synopsys, Inc. Minimizing effects of interconnect variations in integrated circuit designs
US10271437B2 (en) 2014-08-14 2019-04-23 The United States Of America As Represented By The Secretary Of The Army Motion-based reconfigurable microelectronics system

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5537330A (en) * 1994-06-10 1996-07-16 International Business Machines Corporation Method for mapping in logic synthesis by logic classification
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5870308A (en) * 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6289498B1 (en) * 1998-02-20 2001-09-11 Lsi Logic Corporation VDHL/Verilog expertise and gate synthesis automation system
US6292931B1 (en) * 1998-02-20 2001-09-18 Lsi Logic Corporation RTL analysis tool
US6378123B1 (en) * 1998-02-20 2002-04-23 Lsi Logic Corporation Method of handling macro components in circuit design synthesis
US6421818B1 (en) * 1998-02-20 2002-07-16 Lsi Logic Corporation Efficient top-down characterization method
US6438730B1 (en) * 2001-05-30 2002-08-20 Lsi Logic Corporation RTL code optimization for resource sharing structures
US6807660B1 (en) * 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6449761B1 (en) * 1998-03-10 2002-09-10 Monterey Design Systems, Inc. Method and apparatus for providing multiple electronic design solutions

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5870308A (en) * 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
US5537330A (en) * 1994-06-10 1996-07-16 International Business Machines Corporation Method for mapping in logic synthesis by logic classification
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6360356B1 (en) * 1998-01-30 2002-03-19 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
US6289498B1 (en) * 1998-02-20 2001-09-11 Lsi Logic Corporation VDHL/Verilog expertise and gate synthesis automation system
US6292931B1 (en) * 1998-02-20 2001-09-18 Lsi Logic Corporation RTL analysis tool
US6378123B1 (en) * 1998-02-20 2002-04-23 Lsi Logic Corporation Method of handling macro components in circuit design synthesis
US6421818B1 (en) * 1998-02-20 2002-07-16 Lsi Logic Corporation Efficient top-down characterization method
US6438730B1 (en) * 2001-05-30 2002-08-20 Lsi Logic Corporation RTL code optimization for resource sharing structures
US6807660B1 (en) * 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9852254B2 (en) * 2015-11-10 2017-12-26 Arteris, Inc. Automatic architecture placement guidance
US11558259B2 (en) 2019-12-27 2023-01-17 Arteris, Inc. System and method for generating and using physical roadmaps in network synthesis
US11657203B2 (en) 2019-12-27 2023-05-23 Arteris, Inc. Multi-phase topology synthesis of a network-on-chip (NoC)
US11665776B2 (en) 2019-12-27 2023-05-30 Arteris, Inc. System and method for synthesis of a network-on-chip for deadlock-free transformation
US11748535B2 (en) 2019-12-27 2023-09-05 Arteris, Inc. System and method to generate a network-on-chip (NoC) description using incremental topology synthesis
US11838211B2 (en) 2020-04-09 2023-12-05 Arteris, Inc. System and method to determine optimal path(s) and use load balancing in an interconnect
US11601357B2 (en) 2020-12-22 2023-03-07 Arteris, Inc. System and method for generation of quality metrics for optimization tasks in topology synthesis of a network
US11784909B2 (en) 2020-12-22 2023-10-10 Arteris, Inc. Quality metrics for optimization tasks in generation of a network
US11675942B2 (en) 2020-12-26 2023-06-13 Arteris, Inc. Optimization of parameters for synthesis of a topology using a discriminant function module
US11836427B2 (en) 2020-12-30 2023-12-05 Arteris, Inc. Constraints and objectives used in synthesis of a network-on-chip (NoC)
US11956127B2 (en) 2022-03-03 2024-04-09 Arteris, Inc. Incremental topology modification of a network-on-chip

Also Published As

Publication number Publication date
US6990651B2 (en) 2006-01-24

Similar Documents

Publication Publication Date Title
US6990651B2 (en) Advanced design format library for integrated circuit design synthesis and floorplanning tools
US8966415B2 (en) Architectural physical synthesis
US10268797B2 (en) Architectural physical synthesis
US9251300B2 (en) Methods and tools for designing integrated circuits with auto-pipelining capabilities
JP4719265B2 (en) System and method for probabilistic interconnect structure design
US6099580A (en) Method for providing performance-driven logic optimization in an integrated circuit layout design
JP5667305B2 (en) An integrated data model-based framework for design convergence from architecture optimization to physical design closure
US7269815B2 (en) Modifying a design to reveal the data flow of the design in order to create a more favorable input for block placement
US20130091482A1 (en) Method and apparatus for design space exploration acceleration
US20120060138A1 (en) Method and System for Adaptive Physical Design
US7133819B1 (en) Method for adaptive critical path delay estimation during timing-driven placement for hierarchical programmable logic devices
US6601226B1 (en) Tightloop method of timing driven placement
US6766500B1 (en) Multiple pass optimization for automatic electronic circuit placement
US20080209038A1 (en) Methods and systems for optimizing placement on a clock signal distribution network
US6532577B1 (en) Timing driven interconnect analysis
US20040010761A1 (en) Integrated circuit design flow with capacitive margin
US7006962B1 (en) Distributed delay prediction of multi-million gate deep sub-micron ASIC designs
Sham et al. Congestion prediction in early stages of physical design
US20090241082A1 (en) Method and System for Generating an Accurate Physical Realization for an Integrated Circuit Having Incomplete Physical Constraints
US6757885B1 (en) Length matrix generator for register transfer level code
Huang et al. Synthesis of heterogeneous distributed architectures for memory-intensive applications
CN113688587B (en) Method and device for generating circuit layout, computer equipment and storage medium
US20220114321A1 (en) Systems And Methods For Generating Placements For Circuit Designs Using Pyramidal Flows
JP2005039001A (en) Method of compressing semiconductor integrated circuit
US8516416B1 (en) Integrated data model based framework for driving design convergence from architecture optimization to physical design closure

Legal Events

Date Code Title Description
AS Assignment

Owner name: LSI LOGIC CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BASASUBRAMANIAN, BALAMURUGAN;LAHNER, JUERGEN;ADUSUMALLI, SRINIVAS;REEL/FRAME:014082/0859

Effective date: 20030512

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:LSI LOGIC CORPORATION;REEL/FRAME:033102/0270

Effective date: 20070406

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LSI CORPORATION;REEL/FRAME:035390/0388

Effective date: 20140814

AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.)

AS Assignment

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;BROADCOM CORPORATION;REEL/FRAME:044887/0109

Effective date: 20171208

AS Assignment

Owner name: CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERA

Free format text: SECURITY INTEREST;ASSIGNORS:HILCO PATENT ACQUISITION 56, LLC;BELL SEMICONDUCTOR, LLC;BELL NORTHERN RESEARCH, LLC;REEL/FRAME:045216/0020

Effective date: 20180124

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.)

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20180124

AS Assignment

Owner name: BELL NORTHERN RESEARCH, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0223

Effective date: 20220401

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0223

Effective date: 20220401

Owner name: HILCO PATENT ACQUISITION 56, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0223

Effective date: 20220401