US20040238122A1 - Semiconductor processing system - Google Patents

Semiconductor processing system Download PDF

Info

Publication number
US20040238122A1
US20040238122A1 US10/486,511 US48651104A US2004238122A1 US 20040238122 A1 US20040238122 A1 US 20040238122A1 US 48651104 A US48651104 A US 48651104A US 2004238122 A1 US2004238122 A1 US 2004238122A1
Authority
US
United States
Prior art keywords
chamber
transfer chamber
buffer
transfer
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/486,511
Inventor
Shigeru Ishizawa
Hiroaki Saeki
Yoshimitsu Tamura
Shigetoshi Hosaka
Masahide Itoh
Kazushi Tahara
Yasushi Kodashima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KODASHIMA, YASUSHI, TAMURA, YOSHIMITSU, HOSAKA, SHIGETOSHI, ISHIZAWA, SHIGERU, ITOH, MASAHIDE, SAEKI, HIROAKI, TAHARA, KAZUSHI
Publication of US20040238122A1 publication Critical patent/US20040238122A1/en
Priority to US11/623,573 priority Critical patent/US20070107845A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Definitions

  • the present invention relates to a semiconductor processing system having a plurality of vacuum processing apparatuses for performing predetermined processes on a target substrate, such as a semiconductor wafer.
  • a semiconductor process used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • FIG. 14 is a structural view schematically showing a conventional processing system of the cluster tool type.
  • the processing system 2 includes three processing apparatuses 4 A, 4 B, and 4 C, a first transfer chamber 6 , two load-lock chambers 8 A and 8 B provided with a pre-heating mechanism or cooling mechanism, a second transfer chamber 10 , and two cassette chambers 12 A and 12 B.
  • the three processing apparatuses 4 A to 4 C are connected to the first transfer chamber 6 in common.
  • the two load-lock chambers 8 A and 8 B are disposed in parallel with each other between the first and second transfer chambers 6 and 10 .
  • the two cassette chambers 12 A and 12 B are connected to the second transfer chamber 10 .
  • a gate valve G to be airtightly opened/closed is interposed between each two of the chambers.
  • the first and second transfer chambers 6 and 10 are respectively provided with first and second transfer arm devices 14 and 16 disposed therein, each of which is formed of an articulated structure that can extend, contract, and rotate. Each of the arm devices 14 and 16 is arranged to hold a semiconductor wafer W to transfer it.
  • the second transfer chamber 10 is provided with an alignment mechanism 22 disposed therein, which is formed of a rotary table 18 and an optical sensor 20 .
  • the alignment mechanism 22 is arranged to rotate a wafer W transferred from the cassette chamber 12 A or 12 B, and detect its orientation flat or notch to perform alignment thereon.
  • an unprocessed semiconductor wafer W is first taken out of a cassette C placed in one of the cassette chambers, e.g., a cassette chamber 12 A, by the second transfer arm device 16 disposed in the second transfer chamber 10 , which has been kept at atmospheric pressure with an N 2 atmosphere. Then, the wafer W is transferred by the arm device 16 and placed on the rotary table 18 of the alignment mechanism 22 disposed in the second transfer chamber 10 .
  • the arm device 16 is kept stationary on standby while the rotary table 18 rotates to perform alignment.
  • the time period necessary for this alignment operation is, e.g., about 10 to 20 seconds.
  • the aligned wafer W is held again by the arm device 16 , which has been on standby, and transferred into one of the load-lock chambers, e.g., the chamber 8 A.
  • the wafer is pre-heated in the load-lock chamber 8 A, as needed, and, at the same time, the interior of the load-lock chamber 8 A is vacuum-exhausted to a predetermined pressure.
  • the time period necessary for performing this pre-heating or vacuum-exhaust is, e.g., about 30 to 40 seconds.
  • the gate valve G between the load-lock chamber 8 A and the first transfer chamber 6 which is set at vacuum in advance, is opened to make them communicate with each other.
  • the pre-heated wafer W is held by the first transfer arm device 14 and transferred into a predetermined processing apparatus, e.g., 4 A.
  • a predetermined process such as a film-formation process of a metal film, insulating film, or the like, is performed in the processing apparatus 4 A.
  • the time period necessary for performing this process is, e.g., about 60 to 90 seconds.
  • the processed semiconductor wafer W is transferred, through a route reverse to the route described above, to, e.g., the original cassette C placed in the cassette chamber 12 A.
  • the other load-lock chamber 8 B is used, for example, and the wafer W is transferred after it is cooled to a predetermined temperature.
  • the time period necessary for performing this cooling and returning to atmospheric pressure is about 30 to 40 seconds.
  • alignment may be performed by the alignment mechanism 22 , as needed.
  • the processing apparatuses 4 A to 4 C connected by the gate valves G can be detached and replaced with other processing apparatuses to perform other vacuum processes, as needed.
  • the processing system may be required to be used in different ways, due to the recent trend described above. For example, there may be a case where a processing apparatus for performing another vacuum process needs to be added to the processing system, a processing apparatus for performing a normal pressure process needs to be added to the processing system, or a processing apparatus for performing a vacuum process needs to be replaced with a processing apparatus for performing a normal pressure process.
  • the processing system shown in FIG. 14 has fixed structures, except for the three vacuum processing apparatuses 4 A to 4 , and thus is very difficult to comply with the request described above.
  • One solution is to provide two apparatuses: one processing apparatus for performing a vacuum atmosphere process, and another for performing a normal pressure atmosphere process, both of which are connected to the first transfer chamber 6 . In this case, however, it takes a long time to perform pressure adjustment between chambers when wafers are transferred, thereby inevitably bringing about a substantial decrease in throughput, to an unpractical level.
  • an object of the present invention is to provide a semiconductor processing system that can easily incorporate either of additional processing apparatuses for performing a vacuum atmosphere process and an atmospheric pressure atmosphere process.
  • a semiconductor processing system comprising:
  • an entrance transfer chamber with an atmospheric pressure atmosphere which has a loading port for loading a target substrate into the semiconductor processing system
  • a common transfer chamber with a vacuum atmosphere which is connected to the entrance transfer chamber through an intermediate structure that forms a route for transferring the target substrate;
  • a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere;
  • a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the intermediate structure;
  • a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the intermediate structure and the vacuum processing apparatuses
  • a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively,
  • an additional processing apparatus detachably connected to the middle transfer chamber
  • a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber;
  • the intermediate structure is selectively arranged to be in one of first and second states, the first state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within a vacuum atmosphere, while the first buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum, and the second state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within an atmospheric pressure atmosphere, while the second buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
  • a semiconductor processing system comprising:
  • an entrance transfer chamber with an atmospheric pressure atmosphere which has a loading port for loading a target substrate into the semiconductor processing system
  • a common transfer chamber with a vacuum atmosphere which is connected to the entrance transfer chamber through first and second intermediate structures that form routes parallel with each other for transferring the target substrate;
  • a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere;
  • a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the first and second intermediate structures;
  • a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the first and second intermediate structures and the vacuum processing apparatuses
  • each of the first and second intermediate structures comprises
  • a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively,
  • an additional processing apparatus detachably connected to the middle transfer chamber
  • a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber.
  • FIG. 1 is a schematic plan view showing one state of a semiconductor processing system according to an embodiment of the present invention
  • FIG. 2 is a plan view showing the processing system of FIG. 1 in detail
  • FIG. 3 is an enlarged sectional view taken along line III-III in FIG. 2;
  • FIG. 4 is an enlarged perspective view showing a gate valve, used in the processing system of FIG. 1;
  • FIG. 5 is an enlarged perspective view showing a sleeve pipe having no valve function, used in the processing system of FIG. 1;
  • FIGS. 6A and 6B are enlarged sectional views showing a first buffer chamber, used in the processing system of FIG. 1;
  • FIG. 7 is a schematic plan view showing another state of the processing system of FIG. 1, obtained by changing some modules;
  • FIG. 8 is a plan view of the state of the processing system shown in FIG. 7;
  • FIG. 9 is an enlarged sectional view taken along line IX-IX in FIG. 8;
  • FIG. 10 is a schematic plan view showing still another state of the processing system of FIG. 1, obtained by changing some modules;
  • FIG. 11 is a schematic plan view showing a semiconductor processing system according to another embodiment of the present invention.
  • FIG. 12 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention.
  • FIG. 13 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention.
  • FIG. 14 is a structural view schematically showing a conventional semiconductor processing system of the cluster tool type.
  • FIG. 1 is a schematic plan view showing one state of a semiconductor processing system according to an embodiment of the present invention.
  • FIG. 2 is a plan view showing the processing system of FIG. 1 in detail.
  • FIG. 3 is an enlarged sectional view taken along line III-III in FIG. 2.
  • the shaded portions in FIG. 1 denote portions that are kept in continuous vacuum when the system operates.
  • the shaded portions in the plan views shown in FIGS. 7, 10, 12 , and 13 denote the same.
  • the processing system 30 includes an entrance transfer chamber 32 with an atmospheric pressure atmosphere, into which a target substrate, such as a semiconductor wafer W, is transferred.
  • the processing system 30 also includes a common transfer chamber 36 with a vacuum atmosphere, to which a plurality of, e.g., four in this illustrated example, vacuum processing apparatuses 34 A, 34 B, 34 C, and 34 D are connected therearound.
  • the entrance transfer chamber 32 and common transfer chamber 36 are connected to each other by a plurality of routes for transferring semiconductor wafers W, e.g., two parallel transfer passages 38 A and 38 B in this illustrated example.
  • the transfer passages 38 A and 38 B are formed as parts of intermediate structures 37 A and 37 B, respectively, disposed between the entrance transfer chamber 32 and common transfer chamber 36 .
  • the common transfer chamber 36 is formed of, e.g., an aluminum container having a hexagonal shape as a whole.
  • a gas supply system 40 and a vacuum exhaust system 42 are connected to the common transfer chamber 36 , so that it can be supplied with an inactive gas, such as N 2 gas, and can be vacuum-exhausted.
  • Ports 44 for transferring wafers W therethrough are respectively formed in two sides of the common transfer chamber 36 adjacent to each other.
  • a common transfer arm device 46 which can extend, contract, and rotate, is disposed at the center of the common transfer chamber 36 .
  • the arm device 46 has two picks 48 , so that it can handle and transfer two wafers W at a time.
  • the four processing apparatuses 34 A to 34 D are connected to the other four sides of the common transfer chamber 36 through gate valves G 1 to G 4 , respectively.
  • Each of the processing apparatuses 34 A to 34 D can be supplied with a process gas and can be vacuum-exhausted, so that it can perform its own vacuum process within a vacuum atmosphere.
  • the entrance transfer chamber 32 is formed of, e.g., a stainless steel container having a long thin configuration.
  • a plurality of, e.g., three in this illustrated example, openings 50 are formed in one long side of the entrance transfer chamber 32 .
  • a table 52 for placing a cassette container C thereon is disposed outside each of the openings 50 to constitute a loading port 54 .
  • the cassette container C may be of the open type or the closed type with an openable lid. In either case, the cassette container C is structured to store a plurality of, e.g., about 25, wafers W.
  • a guide rail 56 is disposed in the entrance transfer chamber 32 and extends in its longitudinal direction.
  • An entrance transfer arm device 58 is arranged to be movable along the guide rail 56 .
  • the arm device 58 is formed of an articulated arm device that can extend, contract, and rotate.
  • the arm device 58 has two picks 60 , so that it can handle and transfer two wafers W at a time.
  • An orientor 66 including a rotary table 62 and an optical sensor 64 is disposed at one end of the entrance transfer chamber 32 in the longitudinal direction.
  • the orientor 66 is arranged to detect the notch or orientation flat of a wafer W to perform alignment thereon.
  • Two opening ports 68 are formed in the other long side of the entrance transfer chamber 32 .
  • the two opening ports 68 are respectively connected to the transfer passages 38 A and 38 B of the intermediate structures 37 A and 37 B.
  • each of the transfer passages 38 A and 38 B is formed of a first buffer chamber 70 , a middle transfer chamber 72 , and a second buffer chamber 74 , connected in this order from the entrance transfer chamber 32 toward the common transfer chamber 36 .
  • Each of the chambers 70 , 72 , and 74 is formed of, e.g., an aluminum container defining a module. Each of two opposite ends of the container has an opening provided with a connection flange.
  • the second buffer chamber 74 has a bent shape, so that its center faces the swivel center of the common transfer arm device 46 disposed in the common transfer chamber 36 .
  • the first buffer chamber 70 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
  • the first buffer chamber 70 is connected to the adjacent chambers (the entrance transfer chamber 32 and middle transfer chamber 72 ) on both sides respectively through gate valves 78 .
  • FIG. 4 is an enlarged perspective view showing one gate valve 78 .
  • the second buffer chamber 74 is set to be a chamber having a vacuum atmosphere common to the middle transfer chamber 72 and common transfer chamber 36 .
  • the second buffer chamber 74 is connected to the adjacent chambers (the middle transfer chamber 72 and common transfer chamber 36 ) on both sides respectively through sleeve pipes 80 having no valve function.
  • FIG. 5 is an enlarged perspective view showing one sleeve pipe 80 .
  • the gate valve 78 includes a hollow valve casing 82 that has a size to allow a wafer in a horizontal state to pass therethrough.
  • the valve casing 82 is provided with flanges 78 A respectively at two opposite sides, and screw holes 86 are formed almost equidistantly in each flange 78 A.
  • the valve casing 82 is also provided with a disc receiving portion 84 for receiving a valve disc, which extends on one side. The valve disc (not shown) moves between the disc receiving portion 84 and valve casing 82 to open/close the gate valve 78 .
  • the sleeve pipe 80 includes a hollow pipe that has a size to allow a wafer in a horizontal state to pass therethrough, as in the valve casing 82 .
  • the hollow pipe is provided with flanges 80 A respectively at two opposite sides, and screw holes 88 are formed almost equidistantly in each flange 80 A.
  • the entire width L 1 of the sleeve pipe 80 and the entire width L 2 of the gate valve 78 are preset to be the same, so that replacement is made easy.
  • the flange 78 A or 80 A is tightened and fixed by a number of bolts 90 to the flange of the adjacent first buffer chamber 70 ; middle transfer chamber 72 , or second buffer chamber 74 .
  • a sealing member 92 such as an O-ring, is interposed between the flanges to form an airtight connection state.
  • the chambers 70 , 72 , and 74 , gate valves 78 , and sleeve pipes 80 are easily attached/detached relative to each other by the bolts 90 .
  • a vacuum exhaust system 94 and a gas supply system 96 for clean air or an inactive gas, such as N 2 gas, are connected to the first buffer chamber 70 .
  • the first buffer chamber 70 has a so-called load-lock function to select a vacuum state and an atmospheric pressure state.
  • the first buffer chamber 70 can intermediate between the vacuum atmosphere side and atmospheric pressure side (normal pressure side).
  • the entrance transfer chamber 32 always has a substantially atmospheric pressure (normal pressure) atmosphere therein.
  • the middle transfer chamber 72 , second buffer chamber 74 , and common transfer chamber 36 always communicate with each other and have a vacuum atmosphere.
  • the first buffer chamber 70 has a structure the same as that disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-299367. Specifically, as also shown in FIGS. 3, 6A and 6 B, the first buffer chamber 70 includes a pre-heating mechanism 120 for pre-heating a wafer W, and a cooling mechanism 122 for cooling a wafer W.
  • FIG. 6A shows a state where both of the pre-heating mechanism and cooling mechanism are in operation
  • FIG. 6B shows a state where the upper switching lid 136 of the pre-heating mechanism is at a lower position.
  • an opening is formed in the upper partition wall 124 of the first buffer chamber 70 .
  • An upper projecting receptacle 126 is attached to this opening in an airtight state and extends upward.
  • the ceiling of the upper projecting receptacle 126 is opened, on which a transmission window 130 of, e.g., quartz is disposed airtightly by a sealing member 128 , such as an O-ring.
  • a casing 132 is placed above the transmission window 130 , and a plurality of heating lamps 134 are disposed in the casing 132 .
  • the upper switching lid 136 is disposed in the lower opening of the upper projecting receptacle 126 airtightly by a sealing member 138 , such as an O-ring. More specifically, the upper switching lid 136 is supported on its one side to be movable up and down by an upper air cylinder 140 , which is fixed to the upper partition wall 124 . When the upper switching lid 136 is raised by the air cylinder 140 , it closes the lower opening of the upper projecting receptacle 126 to form an airtight space therein, as shown in FIG. 6A.
  • a plurality of, e.g., three, support pins 142 stand on the upper surface of the upper switching lid 136 .
  • the support pins 142 support a wafer W, while being in contact with the bottom of the wafer W.
  • the upper switching lid 136 is provided with a reinforcing member 146 having an opened ceiling and sidewalls with two horizontally long transfer ports 144 (only one of them is illustrated in FIGS. 6A and 6B).
  • a wafer W is transferred into and out of the upper switching lid 136 through the two transfer ports 144 in both of the left and right directions. In this illustrated example, one transfer port 144 is shown in the front side, for ease of understanding.
  • the vacuum exhaust system 94 exhausts gas removed from the surface of a wafer in pre-heating the wafer (in a degas process).
  • a supply system 96 for N 2 gas or the like is also connected to the sidewall of the upper projecting receptacle 126 .
  • an opening is formed in the lower partition wall 148 of the first buffer chamber 70 .
  • a lower projecting receptacle 150 is attached to this opening in an airtight state and extends downward.
  • a lower switching lid 152 is disposed in the upper opening of the lower projecting receptacle 150 airtightly by a sealing member 154 , such as an O-ring. More specifically, the lower switching lid 152 is supported on its one side to be movable up and down by a lower air cylinder 156 , which is fixed to the lower partition wall 148 . When the lower switching lid 152 is lowered by the air cylinder 156 , it closes the upper opening of the lower projecting receptacle 150 to form an airtight space therein, as shown in FIG. 6A.
  • the lower switching lid 152 is provided with a reinforcing member 160 thereon, having sidewalls with two transfer ports 158 each having a long sideways shape.
  • a wafer W is transferred into and out of the lower switching lid 152 through the two transfer ports 158 in both of the left and right directions.
  • one transfer port 158 is shown in the front side, for ease of understanding.
  • a plurality of, e.g., three, support pins 162 stand on the upper surface of the bottom of the reinforcing member 160 .
  • the support pins 162 support a wafer W, while being in contact with the bottom of the wafer W.
  • a gas supply system 96 for supplying N 2 gas or the like is also connected to the lower projecting receptacle 150 .
  • the first buffer chamber 70 may be provided with only one of the cooling mechanism 122 and pre-heating mechanism 120 .
  • the interior of the first buffer chamber 70 may be arranged to be supplied with N 2 gas and vacuum-exhausted as a whole.
  • the upper switching lid 136 or lower switching lid 152 may be placed at the center, while gas is supplied by the gas supply system 96 and vacuum-exhausted by the vacuum exhaust system 94 .
  • the middle transfer chamber 72 is provided with a middle transfer arm device 108 disposed therein, which is formed of an articulated arm device that can extend, contract, and rotate, and has one pick.
  • the arm device 108 may have a plurality of, e.g., two, picks, so that it can handle a plurality of wafers at a time.
  • An additional processing apparatus 110 (see FIG. 2) is connected to the sidewall of the middle transfer chamber 72 through a gate valve G 1 .
  • the additional processing apparatus 110 is also provided with a gas supply system 111 and a vacuum exhaust system 113 in accordance a process to be performed.
  • the additional processing apparatus 110 is set to perform a predetermined vacuum atmosphere process, such as cooling of a processed wafer, film thickness measurement of measuring a film thickness on a wafer, or particle measurement of measuring particles on a wafer, or an additional degas function, as needed.
  • a predetermined vacuum atmosphere process such as cooling of a processed wafer, film thickness measurement of measuring a film thickness on a wafer, or particle measurement of measuring particles on a wafer, or an additional degas function, as needed.
  • a wafer holder 116 is disposed in the second buffer chamber 74 .
  • the wafer holder 116 includes a base 112 and three struts standing thereon.
  • the three struts have a plurality of, e.g., two wafer support grooves, so that it can support two wafers W at most at a time.
  • the base 112 can rotate and move up and down by an elevating and rotating shaft 118 , which airtightly penetrates the bottom of the second buffer chamber 74 .
  • the wafer holder 116 is arranged to hold two wafers, but the number of which does not set a limit thereto.
  • the wafer holder 116 may be arranged to hold more that two wafers, or one wafer.
  • the base 112 of the wafer holder 116 is rotated to cause the notch or orientation flat of a wafer W to face in a predetermined direction relative to the arm device 46 disposed in the common transfer chamber 36 .
  • the notch or orientation flat of a wafer W needs to be positioned at the same specific position (for example, a position on the transfer port side) in the apparatuses 34 A and 110 .
  • the notch or orientation flat of the wafer W is positioned on the side reverse to the side required by the apparatus 34 A when the wafer W is transferred by the arm device 46 disposed in the common transfer chamber 36 .
  • the base 112 of the wafer holder 116 is rotated to solve this problem.
  • the second buffer chamber 74 may be provided with a vacuum exhaust system 94 and a gas supply system 96 , as in the first buffer chamber 70 .
  • the entire arrangement described above is common to both of the transfer passages 38 A and 38 B.
  • the interior of the front side relative to the two first buffer chambers 70 i.e., of the entrance transfer chamber 32 , in this example, is kept at atmospheric pressure (normal pressure).
  • the deeper side relative to the two first buffer chambers 70 i.e., the two middle transfer chambers 72 , two second buffer chambers 74 , and common transfer chamber 36 communicate with each other and are kept at a vacuum atmosphere.
  • an unprocessed semiconductor wafer W is picked up by the arm device 58 disposed in the entrance transfer chamber 32 , from a cassette container C placed on the table 52 in one of the three loading ports 54 . Then, the wafer W is transferred by the arm device 58 to the orientor 66 , which then performs alignment of the wafer W.
  • the wafer W aligned by the transfer arm device 58 is transferred into the first buffer chamber 70 of one of the two transfer passages 38 A and 38 B.
  • the wafer W is held on the upper switching lid 136 of the pre-heating mechanism 120 .
  • the first buffer chamber 70 has a load-lock function, degas function, and cooling function.
  • the interior of the first buffer chamber 70 is vacuum-exhausted to a predetermined pressure by the vacuum exhaust system 94 , in a state where the gate valves 78 on both sides of the first buffer chamber 70 accommodating the wafer W are airtightly closed. Then, the wafer W is heated by the heating lamp 134 or heating means, to perform a degas process.
  • the gate valve 78 on the middle transfer chamber 72 side is opened.
  • the degas-processed wafer W is then transferred by the middle transfer arm device 108 from the first buffer chamber 70 onto the wafer holder 116 disposed in the second buffer chamber 74 .
  • the wafer holder 116 is rotated by a predetermined angle for angle adjustment, so that the notch or orientation flat of the wafer is directed to a predetermined direction for the next transfer.
  • the wafer W is transferred by the common transfer arm device 46 disposed in the common transfer chamber 36 , from the wafer holder 116 into a predetermined one of the four vacuum processing apparatuses 34 A to 34 D. Then, the wafer W is subjected to predetermined vacuum processes respectively in the vacuum processing apparatuses 34 A to 34 D. As regards these vacuum processes, the wafer W is sequentially transferred among the processing apparatuses 34 A to 34 D to receive different vacuum processes, as needed.
  • the wafer W is transferred out though a course reverse to that described above. In this course, the wafer W is returned back to the middle transfer chamber 72 , and transferred into the additional processing apparatus 110 .
  • the additional processing apparatus 110 is used to perform film thickness measurement, particle measurement, or the like, depending on the apparatus type.
  • the wafer W is transferred into the middle transfer chamber 72 again. Then, the wafer W is transferred into the first buffer chamber 70 , which has been vacuum-exhausted to have a vacuum state, and is held on the support pins 162 on the lower switching lid 15 of the cooling mechanism 122 .
  • the wafer is cooled to a predetermined temperature by a cooling gas in the first buffer chamber 70 , while maintaining an airtight state. After the cooling, pressure adjustment is performed here, and the wafer W is transferred through the entrance transfer chamber 32 to, e.g., the original cassette container C.
  • the functions of the intermediate structures 37 A and 37 B including the transfer passages 38 A and 38 B need to be changed, after the processing system is installed in a factory.
  • the first buffer chamber 70 may need to be used as a simple passage with no degas function, or the additional processing apparatus 110 may need to be used for performing a process at an atmospheric pressure (normal pressure) atmosphere, such as wet washing or degassing, instead of a vacuum atmosphere process.
  • an atmospheric pressure (normal pressure) atmosphere such as wet washing or degassing
  • the conventional semiconductor processing system is designed without taking into consideration the need for a variable system structure, resulting in a unit structure in which almost all the parts are unchangeable. Accordingly, the requirement described above cannot be satisfied.
  • the first and second buffer chambers 70 and 74 , and the additional processing apparatus 110 are prepared as a module, as described above.
  • These members 70 , 74 , and 110 are detachably connected to each other through the gate valve 78 and the sleeve pipe 80 with no valve function.
  • the modules can be detached by unfastening the connection bolts 90 of the flanges, if another module needs to be combined therein.
  • the gate valves 78 are at least disposed one on either side of a buffer chamber arranged to have a load-lock function for repeating vacuum-exhaust and return to atmospheric pressure in accordance with wafer transfer.
  • FIG. 7 is a schematic plan view showing another state of the processing system of FIG. 1, obtained by changing some modules.
  • FIG. 8 is a plan view of the state of the processing system shown in FIG. 7.
  • FIG. 9 is an enlarged sectional view taken along line IX-IX in FIG. 8.
  • This processing system 30 A includes two second buffer chambers 74 set to be load-lock chambers. Each second buffer chamber 74 is connected to the adjacent chambers (the middle transfer chamber 72 and common transfer chamber 36 ) on both sides respectively through gate valves 78 (see FIG. 4) in place of sleeve pipes 80 having no valve function (see FIG. 5).
  • a vacuum exhaust system 94 and a gas supply system 96 are connected to the second buffer chamber 74 , as in the first buffer chamber 70 shown in FIG. 2, so that the chamber 74 can be vacuum-exhausted.
  • this module of the second buffer chamber 74 does not need to be replaced, and only requires the sleeve pipes 80 on both sides to be replaced with the gate valves 78 .
  • the processing system in the state shown in FIG. 7 includes additional processing apparatuses 110 A, each of which performs an atmospheric pressure atmosphere process, such as a degas process or wet washing process, as described above, instead of a vacuum atmosphere process.
  • each first buffer chamber 70 is provided with sleeve pipes 80 having no valve function on both sides, in place of gate valves 78 .
  • the support pins 142 on the upper switching lid 136 or the support pins 162 on the lower switching lid 152 are used only for temporarily holding a wafer W.
  • a module having an inner structure the same as that of the second buffer chamber 74 may be used as the first buffer chamber 70 .
  • a module having an inner structure the same as that of the first buffer chamber 70 may be used as the second buffer chamber 74 .
  • the second buffer chamber 74 has a cooling function or degas function.
  • the entrance transfer chamber 32 , two first buffer chambers 70 , and two middle transfer chambers 72 always have an atmospheric pressure atmosphere therein.
  • the common transfer chamber 36 always has a vacuum atmosphere therein.
  • each middle transfer chamber 72 is provided with an inactive gas supply system and a vacuum exhaust system to keep its interior at an atmospheric pressure atmosphere with an inactive gas, such as N 2 gas or Ar gas.
  • an inactive gas such as N 2 gas or Ar gas.
  • gas replacement with N 2 gas or Ar gas can be performed in each first buffer chamber 70 , if it is provided with gate valves 78 on both sides, and also provided with an inactive gas supply system and a vacuum exhaust system.
  • the two intermediate structures 37 A and 37 B have interfaces between an atmospheric pressure atmosphere and a vacuum atmosphere, set at the same position.
  • the two intermediate structures 37 A and 37 B may have interfaces between an atmospheric pressure atmosphere and a vacuum atmosphere, set at different positions.
  • FIG. 10 is a schematic plan view showing still another state of the processing system of FIG. 1, obtained by changing some modules.
  • the intermediate structure 37 A employs an additional processing apparatus 110 with a vacuum atmosphere, and thus includes a first buffer chamber 70 set to be a load-lock chamber.
  • the intermediate structure 37 B employs an additional processing apparatus 110 A with an atmospheric pressure atmosphere, and thus includes a second buffer chamber 74 set to be a load-lock chamber.
  • each of the intermediate structures 37 A and 37 B is selectively arranged to be in either of the following first and second states.
  • the additional processing apparatus 110 is set to perform a predetermined process on a wafer W within a vacuum atmosphere, while the first buffer chamber 70 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
  • the additional processing apparatus 110 A is set to perform a predetermined process on a wafer W within an atmospheric pressure atmosphere, while the second buffer chamber 74 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
  • any one of the first and second buffer chambers 70 and 74 which is not set to be a load-lock chamber, is connected to the adjacent chambers on both sides respectively through sleeve pipes 80 having no valve function.
  • the chamber that is not set to be a load-lock chamber, of the first and second buffer chambers 70 and 74 may be connected to the adjacent chambers on both sides respectively through gate valves 78 .
  • the gate valves 78 of the chamber not set to be a load-lock chamber can be kept always open, under the control of software.
  • each of the transfer passages 38 A and 38 B is formed of the first and second buffer chambers 70 and 74 , and middle transfer chamber 72 , which are prepared as modules and detachably connected to each other by gate valves 78 or sleeve pipes 80 . Accordingly, after the processing system is installed in a factory, or when the processing system is manufactured before shipping, the system can comply with various applications. When the system application is changed, the buffer chambers are replaced with buffer chambers having other functions, while detaching the corresponding gate valves 78 or sleeve pipe 80 . An area for maintaining a vacuum atmosphere can be easily and selectively changed by replacing the gate valves 78 with the sleeve pipes 80 , and vice versa. Since each module can be easily attached and detached, maintenance thereof can be simplified.
  • FIG. 11 is a schematic plan view showing a semiconductor processing system according to another embodiment of the present invention, which has a pentangular common transfer chamber. In the system shown in FIG. 11, two second buffer chambers 74 are connected to one side of the common transfer chamber 36 .
  • the system shown in FIGS. 1, 7, and 10 has the two intermediate structures 37 A and 37 B respectively defining the transfer passages 38 A and 38 B.
  • the entrance transfer chamber 32 and common transfer chamber 36 may be connected only by an intermediate structure of one route, or by intermediate structures of three or more routes.
  • FIG. 12 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention.
  • the system shown in FIG. 12 includes one route of a transfer passage formed of a first buffer chamber 70 and a middle transfer chamber 72 , connected to an entrance transfer chamber 32 .
  • One or more processing apparatuses 34 A and 34 B are connected to the middle transfer chamber 72 .
  • a system arrangement is realized, similar to that disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-208589.
  • FIG. 13 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention.
  • the system shown in FIG. 13 includes a plurality of, two in this illustrated example, independent routes, each of which is a transfer passage formed of a first buffer chamber 70 and a middle transfer chamber 72 , connected to an entrance transfer chamber 32 .
  • One or more processing apparatuses 34 A and 34 B are connected to each middle transfer chamber 72 .
  • the processing apparatuses 34 B and 34 C are connected to the middle transfer chamber 72 respectively through sleeve pipes (adapter) 180 having no valve function. Where the processing apparatuses 34 B and 34 C are large, they cannot be disposed without the sleeve pipes 180 that can change the connecting direction of the processing apparatuses relative to the middle transfer chamber 72 .
  • Each sleeve pipe 180 has the same structure as that of the sleeve pipe 80 show in FIG. 5 except that one attaching surface is inclined relative to the wafer transfer direction.
  • a semiconductor wafer W is described as a target substrate.
  • the present invention is not limited to this, and may be applied to a glass substrate or LCD substrate.

Abstract

A semiconductor processing system includes an intermediate structure (37A, 37B) disposed between an atmospheric pressure entrance transfer chamber (32) and a vacuum common transfer chamber (36). The intermediate structure includes a transfer passage (38A, 38B) for a target substrate (W) to pass therein. The transfer passage includes a first buffer chamber (70), a middle transfer chamber (72), and a second buffer chamber (74) detachably connected. An additional processing apparatus (110, 110A) is detachably connected to the middle transfer chamber. The intermediate structure is selectively arranged in first or second state. In the first state, the additional processing apparatus (110) performs a vacuum process, while the first buffer chamber (70) is a load-lock chamber. In the second state, the additional processing apparatus (110A) performs an atmospheric pressure process, while the second buffer chamber (74) is a load-lock chamber.

Description

    TECHNICAL FIELD
  • The present invention relates to a semiconductor processing system having a plurality of vacuum processing apparatuses for performing predetermined processes on a target substrate, such as a semiconductor wafer. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate. [0001]
  • BACKGROUND ART
  • In the process of manufacturing semiconductor integrated circuits, a wafer is subjected to various processes, such as film-formation, etching, oxidation, and diffusion. Owing to the demands of increased miniaturization and integration of semiconductor integrated circuits, the throughput and yield involving these processes need to be increased. In light of this, there is a semiconductor processing system of the so-called cluster tool type, which has a plurality of process chambers for performing the same process, or a plurality of process chambers for performing different processes, connected to a common transfer chamber. With a system of this type, various steps can be performed in series, without exposing a wafer to air. For example, Jpn. Pat. Appln. KOKAI Publication Nos. 2000-208589 and 2000-299367 disclose a semiconductor processing system of the cluster tool type. The assignee of the present invention also filed Jpn. Pat. Appln. No. 2001-060968 disclosing an improved semiconductor processing system of the cluster tool type. [0002]
  • FIG. 14 is a structural view schematically showing a conventional processing system of the cluster tool type. As shown in FIG. 14, the [0003] processing system 2 includes three processing apparatuses 4A, 4B, and 4C, a first transfer chamber 6, two load- lock chambers 8A and 8B provided with a pre-heating mechanism or cooling mechanism, a second transfer chamber 10, and two cassette chambers 12A and 12B. The three processing apparatuses 4A to 4C are connected to the first transfer chamber 6 in common. The two load- lock chambers 8A and 8B are disposed in parallel with each other between the first and second transfer chambers 6 and 10. The two cassette chambers 12A and 12B are connected to the second transfer chamber 10. A gate valve G to be airtightly opened/closed is interposed between each two of the chambers.
  • The first and [0004] second transfer chambers 6 and 10 are respectively provided with first and second transfer arm devices 14 and 16 disposed therein, each of which is formed of an articulated structure that can extend, contract, and rotate. Each of the arm devices 14 and 16 is arranged to hold a semiconductor wafer W to transfer it. The second transfer chamber 10 is provided with an alignment mechanism 22 disposed therein, which is formed of a rotary table 18 and an optical sensor 20. The alignment mechanism 22 is arranged to rotate a wafer W transferred from the cassette chamber 12A or 12B, and detect its orientation flat or notch to perform alignment thereon.
  • When a semiconductor wafer W is processed, an unprocessed semiconductor wafer W is first taken out of a cassette C placed in one of the cassette chambers, e.g., a [0005] cassette chamber 12A, by the second transfer arm device 16 disposed in the second transfer chamber 10, which has been kept at atmospheric pressure with an N2 atmosphere. Then, the wafer W is transferred by the arm device 16 and placed on the rotary table 18 of the alignment mechanism 22 disposed in the second transfer chamber 10. The arm device 16 is kept stationary on standby while the rotary table 18 rotates to perform alignment. The time period necessary for this alignment operation is, e.g., about 10 to 20 seconds.
  • After the alignment operation, the aligned wafer W is held again by the [0006] arm device 16, which has been on standby, and transferred into one of the load-lock chambers, e.g., the chamber 8A. The wafer is pre-heated in the load-lock chamber 8A, as needed, and, at the same time, the interior of the load-lock chamber 8A is vacuum-exhausted to a predetermined pressure. The time period necessary for performing this pre-heating or vacuum-exhaust is, e.g., about 30 to 40 seconds.
  • After the pre-heating operation, the gate valve G between the load-[0007] lock chamber 8A and the first transfer chamber 6, which is set at vacuum in advance, is opened to make them communicate with each other. Then, the pre-heated wafer W is held by the first transfer arm device 14 and transferred into a predetermined processing apparatus, e.g., 4A. Then, a predetermined process, such as a film-formation process of a metal film, insulating film, or the like, is performed in the processing apparatus 4A. The time period necessary for performing this process is, e.g., about 60 to 90 seconds.
  • The processed semiconductor wafer W is transferred, through a route reverse to the route described above, to, e.g., the original cassette C placed in the [0008] cassette chamber 12A. In this route to return the processed wafer W, the other load-lock chamber 8B is used, for example, and the wafer W is transferred after it is cooled to a predetermined temperature. The time period necessary for performing this cooling and returning to atmospheric pressure is about 30 to 40 seconds. Before the processed wafer W is transferred into the cassette C, alignment may be performed by the alignment mechanism 22, as needed.
  • As semiconductor wafer processes progress in level of miniaturization and integration, decrease in film thickness, and increase in the number of layers, integrated circuits are increasingly required to have diversified functions. As a result, manufacture of semiconductor integrated circuits tends to shift from small item large volume production to large item small volume production. [0009]
  • In the processing system of the cluster tool type shown in FIG. 14, the [0010] processing apparatuses 4A to 4C connected by the gate valves G can be detached and replaced with other processing apparatuses to perform other vacuum processes, as needed. However, the processing system may be required to be used in different ways, due to the recent trend described above. For example, there may be a case where a processing apparatus for performing another vacuum process needs to be added to the processing system, a processing apparatus for performing a normal pressure process needs to be added to the processing system, or a processing apparatus for performing a vacuum process needs to be replaced with a processing apparatus for performing a normal pressure process. However, the processing system shown in FIG. 14 has fixed structures, except for the three vacuum processing apparatuses 4A to 4, and thus is very difficult to comply with the request described above.
  • One solution is to provide two apparatuses: one processing apparatus for performing a vacuum atmosphere process, and another for performing a normal pressure atmosphere process, both of which are connected to the [0011] first transfer chamber 6. In this case, however, it takes a long time to perform pressure adjustment between chambers when wafers are transferred, thereby inevitably bringing about a substantial decrease in throughput, to an unpractical level.
  • DISCLOSURE OF INVENTION
  • Accordingly, an object of the present invention is to provide a semiconductor processing system that can easily incorporate either of additional processing apparatuses for performing a vacuum atmosphere process and an atmospheric pressure atmosphere process. [0012]
  • According to a first aspect of the present invention, there is provided a semiconductor processing system comprising: [0013]
  • an entrance transfer chamber with an atmospheric pressure atmosphere, which has a loading port for loading a target substrate into the semiconductor processing system; [0014]
  • a common transfer chamber with a vacuum atmosphere, which is connected to the entrance transfer chamber through an intermediate structure that forms a route for transferring the target substrate; [0015]
  • a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere; [0016]
  • a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the intermediate structure; and [0017]
  • a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the intermediate structure and the vacuum processing apparatuses, [0018]
  • wherein the intermediate structure comprises [0019]
  • a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively, [0020]
  • an additional processing apparatus detachably connected to the middle transfer chamber, and [0021]
  • a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber; and [0022]
  • the intermediate structure is selectively arranged to be in one of first and second states, the first state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within a vacuum atmosphere, while the first buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum, and the second state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within an atmospheric pressure atmosphere, while the second buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum. [0023]
  • According to a second aspect of the present invention, there is provided a semiconductor processing system comprising: [0024]
  • an entrance transfer chamber with an atmospheric pressure atmosphere, which has a loading port for loading a target substrate into the semiconductor processing system; [0025]
  • a common transfer chamber with a vacuum atmosphere, which is connected to the entrance transfer chamber through first and second intermediate structures that form routes parallel with each other for transferring the target substrate; [0026]
  • a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere; [0027]
  • a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the first and second intermediate structures; and [0028]
  • a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the first and second intermediate structures and the vacuum processing apparatuses, [0029]
  • wherein each of the first and second intermediate structures comprises [0030]
  • a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively, [0031]
  • an additional processing apparatus detachably connected to the middle transfer chamber, and [0032]
  • a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber.[0033]
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic plan view showing one state of a semiconductor processing system according to an embodiment of the present invention; [0034]
  • FIG. 2 is a plan view showing the processing system of FIG. 1 in detail; [0035]
  • FIG. 3 is an enlarged sectional view taken along line III-III in FIG. 2; [0036]
  • FIG. 4 is an enlarged perspective view showing a gate valve, used in the processing system of FIG. 1; [0037]
  • FIG. 5 is an enlarged perspective view showing a sleeve pipe having no valve function, used in the processing system of FIG. 1; [0038]
  • FIGS. 6A and 6B are enlarged sectional views showing a first buffer chamber, used in the processing system of FIG. 1; [0039]
  • FIG. 7 is a schematic plan view showing another state of the processing system of FIG. 1, obtained by changing some modules; [0040]
  • FIG. 8 is a plan view of the state of the processing system shown in FIG. 7; [0041]
  • FIG. 9 is an enlarged sectional view taken along line IX-IX in FIG. 8; [0042]
  • FIG. 10 is a schematic plan view showing still another state of the processing system of FIG. 1, obtained by changing some modules; [0043]
  • FIG. 11 is a schematic plan view showing a semiconductor processing system according to another embodiment of the present invention; [0044]
  • FIG. 12 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention; [0045]
  • FIG. 13 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention; and [0046]
  • FIG. 14 is a structural view schematically showing a conventional semiconductor processing system of the cluster tool type.[0047]
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • Embodiments of the present invention will be described hereinafter with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numerals, and a repetitive description will be made only when necessary. [0048]
  • FIG. 1 is a schematic plan view showing one state of a semiconductor processing system according to an embodiment of the present invention. FIG. 2 is a plan view showing the processing system of FIG. 1 in detail. FIG. 3 is an enlarged sectional view taken along line III-III in FIG. 2. The shaded portions in FIG. 1 denote portions that are kept in continuous vacuum when the system operates. The shaded portions in the plan views shown in FIGS. 7, 10, [0049] 12, and 13 denote the same.
  • As shown in FIGS. 1 and 2, the [0050] processing system 30 includes an entrance transfer chamber 32 with an atmospheric pressure atmosphere, into which a target substrate, such as a semiconductor wafer W, is transferred. The processing system 30 also includes a common transfer chamber 36 with a vacuum atmosphere, to which a plurality of, e.g., four in this illustrated example, vacuum processing apparatuses 34A, 34B, 34C, and 34D are connected therearound. The entrance transfer chamber 32 and common transfer chamber 36 are connected to each other by a plurality of routes for transferring semiconductor wafers W, e.g., two parallel transfer passages 38A and 38B in this illustrated example. The transfer passages 38A and 38B are formed as parts of intermediate structures 37A and 37B, respectively, disposed between the entrance transfer chamber 32 and common transfer chamber 36.
  • The [0051] common transfer chamber 36 is formed of, e.g., an aluminum container having a hexagonal shape as a whole. A gas supply system 40 and a vacuum exhaust system 42 are connected to the common transfer chamber 36, so that it can be supplied with an inactive gas, such as N2 gas, and can be vacuum-exhausted.
  • [0052] Ports 44 for transferring wafers W therethrough are respectively formed in two sides of the common transfer chamber 36 adjacent to each other. A common transfer arm device 46, which can extend, contract, and rotate, is disposed at the center of the common transfer chamber 36. The arm device 46 has two picks 48, so that it can handle and transfer two wafers W at a time.
  • The four [0053] processing apparatuses 34A to 34D are connected to the other four sides of the common transfer chamber 36 through gate valves G1 to G4, respectively. Each of the processing apparatuses 34A to 34D can be supplied with a process gas and can be vacuum-exhausted, so that it can perform its own vacuum process within a vacuum atmosphere.
  • On the other hand, the [0054] entrance transfer chamber 32 is formed of, e.g., a stainless steel container having a long thin configuration. A plurality of, e.g., three in this illustrated example, openings 50 are formed in one long side of the entrance transfer chamber 32. A table 52 for placing a cassette container C thereon is disposed outside each of the openings 50 to constitute a loading port 54. The cassette container C may be of the open type or the closed type with an openable lid. In either case, the cassette container C is structured to store a plurality of, e.g., about 25, wafers W.
  • A [0055] guide rail 56 is disposed in the entrance transfer chamber 32 and extends in its longitudinal direction. An entrance transfer arm device 58 is arranged to be movable along the guide rail 56. The arm device 58 is formed of an articulated arm device that can extend, contract, and rotate. The arm device 58 has two picks 60, so that it can handle and transfer two wafers W at a time.
  • An [0056] orientor 66 including a rotary table 62 and an optical sensor 64 is disposed at one end of the entrance transfer chamber 32 in the longitudinal direction. The orientor 66 is arranged to detect the notch or orientation flat of a wafer W to perform alignment thereon.
  • Two opening [0057] ports 68 are formed in the other long side of the entrance transfer chamber 32. The two opening ports 68 are respectively connected to the transfer passages 38A and 38B of the intermediate structures 37A and 37B.
  • More specifically, each of the [0058] transfer passages 38A and 38B is formed of a first buffer chamber 70, a middle transfer chamber 72, and a second buffer chamber 74, connected in this order from the entrance transfer chamber 32 toward the common transfer chamber 36. Each of the chambers 70, 72, and 74 is formed of, e.g., an aluminum container defining a module. Each of two opposite ends of the container has an opening provided with a connection flange. The second buffer chamber 74 has a bent shape, so that its center faces the swivel center of the common transfer arm device 46 disposed in the common transfer chamber 36.
  • In the system shown in FIG. 1, the [0059] first buffer chamber 70 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum. The first buffer chamber 70 is connected to the adjacent chambers (the entrance transfer chamber 32 and middle transfer chamber 72) on both sides respectively through gate valves 78. FIG. 4 is an enlarged perspective view showing one gate valve 78. On the other hand, the second buffer chamber 74 is set to be a chamber having a vacuum atmosphere common to the middle transfer chamber 72 and common transfer chamber 36. The second buffer chamber 74 is connected to the adjacent chambers (the middle transfer chamber 72 and common transfer chamber 36) on both sides respectively through sleeve pipes 80 having no valve function. FIG. 5 is an enlarged perspective view showing one sleeve pipe 80.
  • As shown in FIG. 4, the [0060] gate valve 78 includes a hollow valve casing 82 that has a size to allow a wafer in a horizontal state to pass therethrough. The valve casing 82 is provided with flanges 78A respectively at two opposite sides, and screw holes 86 are formed almost equidistantly in each flange 78A. The valve casing 82 is also provided with a disc receiving portion 84 for receiving a valve disc, which extends on one side. The valve disc (not shown) moves between the disc receiving portion 84 and valve casing 82 to open/close the gate valve 78.
  • As shown in FIG. 5, the [0061] sleeve pipe 80 includes a hollow pipe that has a size to allow a wafer in a horizontal state to pass therethrough, as in the valve casing 82. The hollow pipe is provided with flanges 80A respectively at two opposite sides, and screw holes 88 are formed almost equidistantly in each flange 80A.
  • The entire width L[0062] 1 of the sleeve pipe 80 and the entire width L2 of the gate valve 78 are preset to be the same, so that replacement is made easy. The flange 78A or 80A is tightened and fixed by a number of bolts 90 to the flange of the adjacent first buffer chamber 70; middle transfer chamber 72, or second buffer chamber 74. A sealing member 92, such as an O-ring, is interposed between the flanges to form an airtight connection state. The chambers 70, 72, and 74, gate valves 78, and sleeve pipes 80 are easily attached/detached relative to each other by the bolts 90.
  • A [0063] vacuum exhaust system 94 and a gas supply system 96 for clean air or an inactive gas, such as N2 gas, are connected to the first buffer chamber 70. Namely, the first buffer chamber 70 has a so-called load-lock function to select a vacuum state and an atmospheric pressure state. Thus, the first buffer chamber 70 can intermediate between the vacuum atmosphere side and atmospheric pressure side (normal pressure side).
  • The [0064] entrance transfer chamber 32 always has a substantially atmospheric pressure (normal pressure) atmosphere therein. On the other hand, the middle transfer chamber 72, second buffer chamber 74, and common transfer chamber 36 always communicate with each other and have a vacuum atmosphere.
  • The [0065] first buffer chamber 70 has a structure the same as that disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-299367. Specifically, as also shown in FIGS. 3, 6A and 6B, the first buffer chamber 70 includes a pre-heating mechanism 120 for pre-heating a wafer W, and a cooling mechanism 122 for cooling a wafer W. FIG. 6A shows a state where both of the pre-heating mechanism and cooling mechanism are in operation, while FIG. 6B shows a state where the upper switching lid 136 of the pre-heating mechanism is at a lower position.
  • More specifically, in the [0066] pre-heating mechanism 120, an opening is formed in the upper partition wall 124 of the first buffer chamber 70. An upper projecting receptacle 126 is attached to this opening in an airtight state and extends upward. The ceiling of the upper projecting receptacle 126 is opened, on which a transmission window 130 of, e.g., quartz is disposed airtightly by a sealing member 128, such as an O-ring. A casing 132 is placed above the transmission window 130, and a plurality of heating lamps 134 are disposed in the casing 132.
  • The [0067] upper switching lid 136 is disposed in the lower opening of the upper projecting receptacle 126 airtightly by a sealing member 138, such as an O-ring. More specifically, the upper switching lid 136 is supported on its one side to be movable up and down by an upper air cylinder 140, which is fixed to the upper partition wall 124. When the upper switching lid 136 is raised by the air cylinder 140, it closes the lower opening of the upper projecting receptacle 126 to form an airtight space therein, as shown in FIG. 6A.
  • A plurality of, e.g., three, support pins [0068] 142 (only two of them are illustrated) stand on the upper surface of the upper switching lid 136. The support pins 142 support a wafer W, while being in contact with the bottom of the wafer W. The upper switching lid 136 is provided with a reinforcing member 146 having an opened ceiling and sidewalls with two horizontally long transfer ports 144 (only one of them is illustrated in FIGS. 6A and 6B). A wafer W is transferred into and out of the upper switching lid 136 through the two transfer ports 144 in both of the left and right directions. In this illustrated example, one transfer port 144 is shown in the front side, for ease of understanding.
  • A [0069] vacuum exhaust system 94 connected to a vacuum pump (not shown), or the like, is connected to the sidewall of the upper projecting receptacle 126. The vacuum exhaust system 94 exhausts gas removed from the surface of a wafer in pre-heating the wafer (in a degas process). A supply system 96 for N2 gas or the like is also connected to the sidewall of the upper projecting receptacle 126.
  • On the other hand, in the [0070] cooling mechanism 122, an opening is formed in the lower partition wall 148 of the first buffer chamber 70. A lower projecting receptacle 150 is attached to this opening in an airtight state and extends downward. A lower switching lid 152 is disposed in the upper opening of the lower projecting receptacle 150 airtightly by a sealing member 154, such as an O-ring. More specifically, the lower switching lid 152 is supported on its one side to be movable up and down by a lower air cylinder 156, which is fixed to the lower partition wall 148. When the lower switching lid 152 is lowered by the air cylinder 156, it closes the upper opening of the lower projecting receptacle 150 to form an airtight space therein, as shown in FIG. 6A.
  • The [0071] lower switching lid 152 is provided with a reinforcing member 160 thereon, having sidewalls with two transfer ports 158 each having a long sideways shape. A wafer W is transferred into and out of the lower switching lid 152 through the two transfer ports 158 in both of the left and right directions. In this illustrated example, one transfer port 158 is shown in the front side, for ease of understanding. A plurality of, e.g., three, support pins 162 (only two of them are illustrated) stand on the upper surface of the bottom of the reinforcing member 160. The support pins 162 support a wafer W, while being in contact with the bottom of the wafer W.
  • A cooling [0072] gas system 164 for selectively feeding a cooling gas, such as cooled N2 gas, is connected to the bottom of the lower projecting receptacle 150. A vacuum exhaust system 94 connected to a vacuum pump (not shown), or the like, is also connected to the bottom of the lower projecting receptacle 150. This arrangement allows the cooling gas to be supplied and exhausted in cooling a wafer. A gas supply system 96 for supplying N2 gas or the like is also connected to the lower projecting receptacle 150.
  • The [0073] first buffer chamber 70 may be provided with only one of the cooling mechanism 122 and pre-heating mechanism 120. The interior of the first buffer chamber 70 may be arranged to be supplied with N2 gas and vacuum-exhausted as a whole. In this case, the upper switching lid 136 or lower switching lid 152 may be placed at the center, while gas is supplied by the gas supply system 96 and vacuum-exhausted by the vacuum exhaust system 94.
  • The [0074] middle transfer chamber 72 is provided with a middle transfer arm device 108 disposed therein, which is formed of an articulated arm device that can extend, contract, and rotate, and has one pick. The arm device 108 may have a plurality of, e.g., two, picks, so that it can handle a plurality of wafers at a time. An additional processing apparatus 110 (see FIG. 2) is connected to the sidewall of the middle transfer chamber 72 through a gate valve G1. The additional processing apparatus 110 is also provided with a gas supply system 111 and a vacuum exhaust system 113 in accordance a process to be performed. The additional processing apparatus 110 is set to perform a predetermined vacuum atmosphere process, such as cooling of a processed wafer, film thickness measurement of measuring a film thickness on a wafer, or particle measurement of measuring particles on a wafer, or an additional degas function, as needed.
  • As shown in FIG. 3, a [0075] wafer holder 116 is disposed in the second buffer chamber 74. The wafer holder 116 includes a base 112 and three struts standing thereon. The three struts have a plurality of, e.g., two wafer support grooves, so that it can support two wafers W at most at a time. The base 112 can rotate and move up and down by an elevating and rotating shaft 118, which airtightly penetrates the bottom of the second buffer chamber 74. The wafer holder 116 is arranged to hold two wafers, but the number of which does not set a limit thereto. The wafer holder 116 may be arranged to hold more that two wafers, or one wafer.
  • The [0076] base 112 of the wafer holder 116 is rotated to cause the notch or orientation flat of a wafer W to face in a predetermined direction relative to the arm device 46 disposed in the common transfer chamber 36. For example, where the vacuum processing apparatus 34A and the additional processing apparatus 110 on the left side in FIG. 1 are the same type of apparatus, the notch or orientation flat of a wafer W needs to be positioned at the same specific position (for example, a position on the transfer port side) in the apparatuses 34A and 110. In this case, if a wafer W is taken out of the apparatus 110 and only placed in the second buffer chamber 74 by the arm device 108 disposed in the middle transfer chamber 72, the notch or orientation flat of the wafer W is positioned on the side reverse to the side required by the apparatus 34A when the wafer W is transferred by the arm device 46 disposed in the common transfer chamber 36. The base 112 of the wafer holder 116 is rotated to solve this problem.
  • The [0077] second buffer chamber 74 may be provided with a vacuum exhaust system 94 and a gas supply system 96, as in the first buffer chamber 70. The entire arrangement described above is common to both of the transfer passages 38A and 38B.
  • An explanation will be give of an operation of the arrangement described above, according to this embodiment. [0078]
  • Prior to a process, the interior of the front side relative to the two [0079] first buffer chambers 70, i.e., of the entrance transfer chamber 32, in this example, is kept at atmospheric pressure (normal pressure). On the other hand, the deeper side relative to the two first buffer chambers 70, i.e., the two middle transfer chambers 72, two second buffer chambers 74, and common transfer chamber 36 communicate with each other and are kept at a vacuum atmosphere.
  • First, an unprocessed semiconductor wafer W is picked up by the [0080] arm device 58 disposed in the entrance transfer chamber 32, from a cassette container C placed on the table 52 in one of the three loading ports 54. Then, the wafer W is transferred by the arm device 58 to the orientor 66, which then performs alignment of the wafer W.
  • Then, the wafer W aligned by the [0081] transfer arm device 58 is transferred into the first buffer chamber 70 of one of the two transfer passages 38A and 38B. In the first buffer chamber 70, the wafer W is held on the upper switching lid 136 of the pre-heating mechanism 120.
  • As described above, the [0082] first buffer chamber 70 has a load-lock function, degas function, and cooling function. The interior of the first buffer chamber 70 is vacuum-exhausted to a predetermined pressure by the vacuum exhaust system 94, in a state where the gate valves 78 on both sides of the first buffer chamber 70 accommodating the wafer W are airtightly closed. Then, the wafer W is heated by the heating lamp 134 or heating means, to perform a degas process.
  • After the degas process is performed for a predetermined time, as described above, and pressure adjustment is performed, the [0083] gate valve 78 on the middle transfer chamber 72 side is opened. The degas-processed wafer W is then transferred by the middle transfer arm device 108 from the first buffer chamber 70 onto the wafer holder 116 disposed in the second buffer chamber 74. The wafer holder 116 is rotated by a predetermined angle for angle adjustment, so that the notch or orientation flat of the wafer is directed to a predetermined direction for the next transfer.
  • Then, the wafer W is transferred by the common [0084] transfer arm device 46 disposed in the common transfer chamber 36, from the wafer holder 116 into a predetermined one of the four vacuum processing apparatuses 34A to 34D. Then, the wafer W is subjected to predetermined vacuum processes respectively in the vacuum processing apparatuses 34A to 34D. As regards these vacuum processes, the wafer W is sequentially transferred among the processing apparatuses 34A to 34D to receive different vacuum processes, as needed.
  • After all the vacuum processes on the wafer W are completed, as described above, the wafer W is transferred out though a course reverse to that described above. In this course, the wafer W is returned back to the [0085] middle transfer chamber 72, and transferred into the additional processing apparatus 110. The additional processing apparatus 110 is used to perform film thickness measurement, particle measurement, or the like, depending on the apparatus type. After the process or measurement on the wafer W is completed, the wafer W is transferred into the middle transfer chamber 72 again. Then, the wafer W is transferred into the first buffer chamber 70, which has been vacuum-exhausted to have a vacuum state, and is held on the support pins 162 on the lower switching lid 15 of the cooling mechanism 122. The wafer is cooled to a predetermined temperature by a cooling gas in the first buffer chamber 70, while maintaining an airtight state. After the cooling, pressure adjustment is performed here, and the wafer W is transferred through the entrance transfer chamber 32 to, e.g., the original cassette container C.
  • There is a case where the functions of the [0086] intermediate structures 37A and 37B including the transfer passages 38A and 38B need to be changed, after the processing system is installed in a factory. For example, the first buffer chamber 70 may need to be used as a simple passage with no degas function, or the additional processing apparatus 110 may need to be used for performing a process at an atmospheric pressure (normal pressure) atmosphere, such as wet washing or degassing, instead of a vacuum atmosphere process.
  • The conventional semiconductor processing system is designed without taking into consideration the need for a variable system structure, resulting in a unit structure in which almost all the parts are unchangeable. Accordingly, the requirement described above cannot be satisfied. [0087]
  • On the other hand, according to the semiconductor processing system shown in FIG. 1, the first and [0088] second buffer chambers 70 and 74, and the additional processing apparatus 110 are prepared as a module, as described above. These members 70, 74, and 110 are detachably connected to each other through the gate valve 78 and the sleeve pipe 80 with no valve function. The modules can be detached by unfastening the connection bolts 90 of the flanges, if another module needs to be combined therein. The gate valves 78 are at least disposed one on either side of a buffer chamber arranged to have a load-lock function for repeating vacuum-exhaust and return to atmospheric pressure in accordance with wafer transfer.
  • FIG. 7 is a schematic plan view showing another state of the processing system of FIG. 1, obtained by changing some modules. FIG. 8 is a plan view of the state of the processing system shown in FIG. 7. FIG. 9 is an enlarged sectional view taken along line IX-IX in FIG. 8. [0089]
  • This [0090] processing system 30A includes two second buffer chambers 74 set to be load-lock chambers. Each second buffer chamber 74 is connected to the adjacent chambers (the middle transfer chamber 72 and common transfer chamber 36) on both sides respectively through gate valves 78(see FIG. 4) in place of sleeve pipes 80 having no valve function (see FIG. 5). A vacuum exhaust system 94 and a gas supply system 96 are connected to the second buffer chamber 74, as in the first buffer chamber 70 shown in FIG. 2, so that the chamber 74 can be vacuum-exhausted. If the second buffer chamber 74 is provided with the vacuum exhaust system 94 and gas supply system 96 in advance, this module of the second buffer chamber 74 does not need to be replaced, and only requires the sleeve pipes 80 on both sides to be replaced with the gate valves 78.
  • The processing system in the state shown in FIG. 7 includes [0091] additional processing apparatuses 110A, each of which performs an atmospheric pressure atmosphere process, such as a degas process or wet washing process, as described above, instead of a vacuum atmosphere process. Accordingly, in the processing system 30A, each first buffer chamber 70 is provided with sleeve pipes 80 having no valve function on both sides, in place of gate valves 78. In the first buffer chamber 70, the support pins 142 on the upper switching lid 136 or the support pins 162 on the lower switching lid 152 are used only for temporarily holding a wafer W.
  • A module having an inner structure the same as that of the [0092] second buffer chamber 74 may be used as the first buffer chamber 70. Similarly, a module having an inner structure the same as that of the first buffer chamber 70 may be used as the second buffer chamber 74. In this case the second buffer chamber 74 has a cooling function or degas function.
  • In the [0093] processing system 30A, the entrance transfer chamber 32, two first buffer chambers 70, and two middle transfer chambers 72 always have an atmospheric pressure atmosphere therein. On the other than, the common transfer chamber 36 always has a vacuum atmosphere therein.
  • The [0094] processing system 30A may be modified, such that each middle transfer chamber 72 is provided with an inactive gas supply system and a vacuum exhaust system to keep its interior at an atmospheric pressure atmosphere with an inactive gas, such as N2 gas or Ar gas. In this case, gas replacement with N2 gas or Ar gas can be performed in each first buffer chamber 70, if it is provided with gate valves 78 on both sides, and also provided with an inactive gas supply system and a vacuum exhaust system.
  • In the states shown in FIGS. 1 and 7, the two [0095] intermediate structures 37A and 37B have interfaces between an atmospheric pressure atmosphere and a vacuum atmosphere, set at the same position. The two intermediate structures 37A and 37B may have interfaces between an atmospheric pressure atmosphere and a vacuum atmosphere, set at different positions.
  • FIG. 10 is a schematic plan view showing still another state of the processing system of FIG. 1, obtained by changing some modules. In the state shown in FIG. 10, the [0096] intermediate structure 37A employs an additional processing apparatus 110 with a vacuum atmosphere, and thus includes a first buffer chamber 70 set to be a load-lock chamber. On the other hand, the intermediate structure 37B employs an additional processing apparatus 110A with an atmospheric pressure atmosphere, and thus includes a second buffer chamber 74 set to be a load-lock chamber.
  • Accordingly, as shown in FIGS. 1, 7, and [0097] 10, each of the intermediate structures 37A and 37B is selectively arranged to be in either of the following first and second states. In the first state, the additional processing apparatus 110 is set to perform a predetermined process on a wafer W within a vacuum atmosphere, while the first buffer chamber 70 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum. In the second state, the additional processing apparatus 110A is set to perform a predetermined process on a wafer W within an atmospheric pressure atmosphere, while the second buffer chamber 74 is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
  • In the states shown in FIGS. 1, 7, and [0098] 10, any one of the first and second buffer chambers 70 and 74, which is not set to be a load-lock chamber, is connected to the adjacent chambers on both sides respectively through sleeve pipes 80 having no valve function. However, the chamber that is not set to be a load-lock chamber, of the first and second buffer chambers 70 and 74, may be connected to the adjacent chambers on both sides respectively through gate valves 78. In this case, the gate valves 78 of the chamber not set to be a load-lock chamber can be kept always open, under the control of software.
  • In a semiconductor processing system according to this embodiment of the present invention, each of the [0099] transfer passages 38A and 38B is formed of the first and second buffer chambers 70 and 74, and middle transfer chamber 72, which are prepared as modules and detachably connected to each other by gate valves 78 or sleeve pipes 80. Accordingly, after the processing system is installed in a factory, or when the processing system is manufactured before shipping, the system can comply with various applications. When the system application is changed, the buffer chambers are replaced with buffer chambers having other functions, while detaching the corresponding gate valves 78 or sleeve pipe 80. An area for maintaining a vacuum atmosphere can be easily and selectively changed by replacing the gate valves 78 with the sleeve pipes 80, and vice versa. Since each module can be easily attached and detached, maintenance thereof can be simplified.
  • The [0100] common transfer chamber 36 of the system shown in FIGS. 1, 7, and 10 has an almost hexagonal shape, but it may have a rectangular, pentangular, heptangular, or higher order polygonal shape. FIG. 11 is a schematic plan view showing a semiconductor processing system according to another embodiment of the present invention, which has a pentangular common transfer chamber. In the system shown in FIG. 11, two second buffer chambers 74 are connected to one side of the common transfer chamber 36.
  • The system shown in FIGS. 1, 7, and [0101] 10 has the two intermediate structures 37A and 37B respectively defining the transfer passages 38A and 38B. However, the entrance transfer chamber 32 and common transfer chamber 36 may be connected only by an intermediate structure of one route, or by intermediate structures of three or more routes.
  • FIG. 12 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention. The system shown in FIG. 12 includes one route of a transfer passage formed of a [0102] first buffer chamber 70 and a middle transfer chamber 72, connected to an entrance transfer chamber 32. One or more processing apparatuses 34A and 34B are connected to the middle transfer chamber 72. As a result, a system arrangement is realized, similar to that disclosed in Jpn. Pat. Appln. KOKAI Publication No. 2000-208589.
  • FIG. 13 is a schematic plan view showing a semiconductor processing system according to still another embodiment of the present invention. The system shown in FIG. 13 includes a plurality of, two in this illustrated example, independent routes, each of which is a transfer passage formed of a [0103] first buffer chamber 70 and a middle transfer chamber 72, connected to an entrance transfer chamber 32. One or more processing apparatuses 34A and 34B are connected to each middle transfer chamber 72.
  • In the system shown in FIG. 13, the [0104] processing apparatuses 34B and 34C are connected to the middle transfer chamber 72 respectively through sleeve pipes (adapter) 180 having no valve function. Where the processing apparatuses 34B and 34C are large, they cannot be disposed without the sleeve pipes 180 that can change the connecting direction of the processing apparatuses relative to the middle transfer chamber 72. Each sleeve pipe 180 has the same structure as that of the sleeve pipe 80 show in FIG. 5 except that one attaching surface is inclined relative to the wafer transfer direction.
  • In the embodiments, a semiconductor wafer W is described as a target substrate. The present invention is not limited to this, and may be applied to a glass substrate or LCD substrate. [0105]

Claims (15)

1. A semiconductor processing system comprising:
an entrance transfer chamber with an atmospheric pressure atmosphere, which has a loading port for loading a target substrate into the semiconductor processing system;
a common transfer chamber with a vacuum atmosphere, which is connected to the entrance transfer chamber through an intermediate structure that forms a route for transferring the target substrate;
a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere;
a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the intermediate structure; and
a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the intermediate structure and the vacuum processing apparatuses,
wherein the intermediate structure comprises
a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively,
an additional processing apparatus detachably connected to the middle transfer chamber, and
a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber; and
the intermediate structure is selectively arranged to be in one of first and second states, the first state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within a vacuum atmosphere, while the first buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum, and the second state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within an atmospheric pressure atmosphere, while the second buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
2. The semiconductor processing system according to claim 1, wherein one of the first and second buffer chambers, which is set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through gate valves.
3. The semiconductor processing system according to claim 2, wherein one of the first and second buffer chambers, which is not set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through sleeve pipes having no valve function.
4. The semiconductor processing system according to claim 2, wherein one of the first and second buffer chambers, which is not set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through gate valves, which are kept always open by software control.
5. The semiconductor processing system according to claim 1, wherein the entrance transfer chamber and the first buffer chamber, the first buffer chamber and the middle transfer chamber, the middle transfer chamber and the second buffer chamber, and the second buffer chamber and the common transfer chamber are detachably connected by flanges.
6. The semiconductor processing system according to claim 1, wherein one of the first and second buffer chambers, which is set to be the load-lock chamber, has a degas function of performing a degas process by heating the target substrate, and/or a cooling function of cooling the target substrate.
7. The semiconductor processing system according to claim 1, further comprising a rotary holder disposed in the second buffer chamber to rotate the target substrate by a predetermined angle.
8. A semiconductor processing system comprising:
an entrance transfer chamber with an atmospheric pressure atmosphere, which has a loading port for loading a target substrate into the semiconductor processing system;
a common transfer chamber with a vacuum atmosphere, which is connected to the entrance transfer chamber through first and second intermediate structures that form routes parallel with each other for transferring the target substrate;
a plurality of vacuum processing apparatuses connected to the common transfer chamber, each of which is configured to perform a predetermined process on the target substrate within a vacuum atmosphere;
a transfer arm device disposed in the entrance transfer chamber and configured to transfer the target substrate between a portion outside the semiconductor processing system and the first and second intermediate structures; and
a transfer arm device disposed in the common transfer chamber and configured to transfer the target substrate between the first and second intermediate structures and the vacuum processing apparatuses,
wherein each of the first and second intermediate structures comprises
a transfer passage that connects the entrance transfer chamber and the common transfer chamber to allow the target substrate to pass therein, and includes a first buffer chamber, a middle transfer chamber, and a second buffer chamber connected in series in this order and detachable from each other, such that the first and second buffer chambers are detachably connected to the entrance transfer chamber and the common transfer chamber, respectively,
an additional processing apparatus detachably connected to the middle transfer chamber, and
a transfer arm device disposed in the middle transfer chamber and configured to transfer the target substrate between the first buffer chamber, the additional processing apparatus, and the second buffer chamber.
9. The semiconductor processing system according to claim 8, wherein each of the first and second intermediate structures is selectively arranged to be in one of first and second states, the first state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within a vacuum atmosphere, while the first buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum, and the second state being a state where the additional processing apparatus is set to perform a predetermined process on the target substrate within an atmospheric pressure atmosphere, while the second buffer chamber is set to be a load-lock chamber for adjusting pressure between atmospheric pressure and vacuum.
10. The semiconductor processing system according to claim 9, wherein the first and second intermediate structures are set to be states different from each other, selected from the first and second states.
11. The semiconductor processing system according to claim 9, wherein the first and second intermediate structures are set to be states the same as each other, selected from the first and second states.
12. The semiconductor processing system according to claim 9, wherein, in each of the first and second intermediate structures, one of the first and second buffer chambers, which is set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through gate valves.
13. The semiconductor processing system according to claim 12, wherein, in each of the first and second intermediate structures, one of the first and second buffer chambers, which is not set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through sleeve pipes having no valve function.
14. The semiconductor processing system according to claim 12, wherein, in each of the first and second intermediate structures, one of the first and second buffer chambers, which is not set to be the load-lock chamber, is connected to adjacent chambers on both sides respectively through gate valves, which are kept always open by software control.
15. The semiconductor processing system according to claim 8, wherein, in each of the first and second intermediate structures, the entrance transfer chamber and the first buffer chamber, the first buffer chamber and the middle transfer chamber, the middle transfer chamber and the second buffer chamber, and the second buffer chamber and the common transfer chamber are detachably connected by flanges.
US10/486,511 2001-08-14 2002-07-31 Semiconductor processing system Abandoned US20040238122A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/623,573 US20070107845A1 (en) 2001-08-14 2007-01-16 Semiconductor processing system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001246088A JP2003059999A (en) 2001-08-14 2001-08-14 Treating system
JP2001-246088 2001-08-14
PCT/JP2002/007817 WO2003017354A1 (en) 2001-08-14 2002-07-31 Semiconductor processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/623,573 Continuation US20070107845A1 (en) 2001-08-14 2007-01-16 Semiconductor processing system

Publications (1)

Publication Number Publication Date
US20040238122A1 true US20040238122A1 (en) 2004-12-02

Family

ID=19075717

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/486,511 Abandoned US20040238122A1 (en) 2001-08-14 2002-07-31 Semiconductor processing system
US11/623,573 Abandoned US20070107845A1 (en) 2001-08-14 2007-01-16 Semiconductor processing system

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/623,573 Abandoned US20070107845A1 (en) 2001-08-14 2007-01-16 Semiconductor processing system

Country Status (3)

Country Link
US (2) US20040238122A1 (en)
JP (1) JP2003059999A (en)
WO (1) WO2003017354A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080282710A1 (en) * 2007-05-15 2008-11-20 Bartlett Allen J Integral facet cryopump, water vapor pump, or high vacuum pump
US20090211742A1 (en) * 2008-02-22 2009-08-27 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US20110097902A1 (en) * 2009-10-27 2011-04-28 Lam Research Corporation Method and apparatus of halogen removal
US20110095207A1 (en) * 2009-10-27 2011-04-28 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and uv exposure
CN103443912A (en) * 2011-03-31 2013-12-11 大阳日酸株式会社 Vapor phase growth device
US20130343841A1 (en) * 2003-11-10 2013-12-26 Brooks Automation, Inc. Semiconductor manufacturing systems
US20140044502A1 (en) * 2012-08-07 2014-02-13 Takashi Uemura Vacuum processing apparatus and method of operating the same
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
CN103928033A (en) * 2013-01-15 2014-07-16 昭和电工株式会社 Magnetic Recording Medium Fabrication Method And Apparatus
TWI474428B (en) * 2012-01-10 2015-02-21 Hitachi High Tech Corp Vacuum processing device
US9230842B2 (en) 2010-09-22 2016-01-05 Tokyo Electron Limited Substrate processing apparatus
US20160118280A1 (en) * 2014-10-23 2016-04-28 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9646643B2 (en) 2013-02-25 2017-05-09 Showa Denko K.K. Magnetic recording medium fabrication method and apparatus
WO2019076553A1 (en) * 2017-10-19 2019-04-25 Evatec Ag Method and apparatus for treating a substrate
WO2019219371A1 (en) * 2018-05-15 2019-11-21 Evatec Ag Substrate vacuum treatment apparatus and method therefore
CN110610873A (en) * 2018-06-15 2019-12-24 东京毅力科创株式会社 Vacuum processing apparatus, vacuum processing system, and vacuum processing method
TWI822354B (en) * 2021-12-03 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 Vacuum lock system, semiconductor processing equipment and substrate transfer method
US20230377924A1 (en) * 2013-01-22 2023-11-23 Books Automation Us, Llc Substrate transport

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP2005039015A (en) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp Method and apparatus for plasma processing
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
WO2006137370A1 (en) * 2005-06-22 2006-12-28 Rorze Corporation Substrate transfer robot and processing apparatus
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP2007242648A (en) * 2006-03-04 2007-09-20 Masato Toshima Substrate processing apparatus
KR100784154B1 (en) * 2006-04-14 2007-12-10 주식회사 디엠에스 High Density Cluster Tool for Wafer and LCD Glass transportation
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
KR100833882B1 (en) * 2006-12-28 2008-06-02 세메스 주식회사 Apparatus for processing a substrate and method of maintaining the same
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
WO2010055851A1 (en) * 2008-11-14 2010-05-20 東京エレクトロン株式会社 Substrate processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI417984B (en) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc Auto-sequencing multi-directional inline processing apparatus
JP5710194B2 (en) * 2010-09-28 2015-04-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20190132561A (en) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 Adaptive heat transfer methods and systems for uniform heat transfer
JP5892828B2 (en) * 2012-03-28 2016-03-23 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR102064391B1 (en) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 Substrate processing apparatus
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9281221B2 (en) * 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing
US9640416B2 (en) * 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6120621B2 (en) * 2013-03-14 2017-04-26 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and operation method thereof
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN106282928B (en) * 2016-08-10 2018-06-08 福建新福兴玻璃有限公司 A kind of coating film on glass production line
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7158133B2 (en) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド Atmosphere-controlled transfer module and processing system
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11177048B2 (en) * 2019-11-20 2021-11-16 Applied Materials Israel Ltd. Method and system for evaluating objects
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
CN211879343U (en) * 2020-04-10 2020-11-06 北京北方华创微电子装备有限公司 Semiconductor processing equipment
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5405230A (en) * 1991-03-26 1995-04-11 Tokyo Electron Limited Load-lock unit and wafer transfer system
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06302667A (en) * 1993-04-15 1994-10-28 Hitachi Ltd Chamber system
JPH07153693A (en) * 1993-11-26 1995-06-16 Shimadzu Corp Multichamber type equipment for forming film
KR100269097B1 (en) * 1996-08-05 2000-12-01 엔도 마코토 Wafer process apparatus
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5405230A (en) * 1991-03-26 1995-04-11 Tokyo Electron Limited Load-lock unit and wafer transfer system
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US6977014B1 (en) * 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130343841A1 (en) * 2003-11-10 2013-12-26 Brooks Automation, Inc. Semiconductor manufacturing systems
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
WO2008143766A2 (en) * 2007-05-15 2008-11-27 Brooks Automation, Inc. Adaptor for cluster tool chambers
WO2008143766A3 (en) * 2007-05-15 2009-04-09 Brooks Automation Inc Adaptor for cluster tool chambers
US20080282710A1 (en) * 2007-05-15 2008-11-20 Bartlett Allen J Integral facet cryopump, water vapor pump, or high vacuum pump
US8082741B2 (en) 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
US20090211742A1 (en) * 2008-02-22 2009-08-27 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US9091491B2 (en) * 2008-02-22 2015-07-28 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US20110095207A1 (en) * 2009-10-27 2011-04-28 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and uv exposure
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102549721A (en) * 2009-10-27 2012-07-04 朗姆研究公司 Method and apparatus of halogen removal
US20110097902A1 (en) * 2009-10-27 2011-04-28 Lam Research Corporation Method and apparatus of halogen removal
US9230842B2 (en) 2010-09-22 2016-01-05 Tokyo Electron Limited Substrate processing apparatus
CN103443912A (en) * 2011-03-31 2013-12-11 大阳日酸株式会社 Vapor phase growth device
US20140014039A1 (en) * 2011-03-31 2014-01-16 Tn Emc Ltd. Vapor-phase growth apparatus
TWI474428B (en) * 2012-01-10 2015-02-21 Hitachi High Tech Corp Vacuum processing device
US20140044502A1 (en) * 2012-08-07 2014-02-13 Takashi Uemura Vacuum processing apparatus and method of operating the same
US20140086720A1 (en) * 2012-09-27 2014-03-27 Taiwan Semiconductor Manufaturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9852932B2 (en) 2012-09-27 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for processing semiconductor wafer
US20140199476A1 (en) * 2013-01-15 2014-07-17 Showa Denko K,K. Magnetic recording medium fabrication method and apparatus
US9214178B2 (en) * 2013-01-15 2015-12-15 Showa Denko K.K. Magnetic recording medium fabrication method and apparatus
CN103928033A (en) * 2013-01-15 2014-07-16 昭和电工株式会社 Magnetic Recording Medium Fabrication Method And Apparatus
US20230377924A1 (en) * 2013-01-22 2023-11-23 Books Automation Us, Llc Substrate transport
US9646643B2 (en) 2013-02-25 2017-05-09 Showa Denko K.K. Magnetic recording medium fabrication method and apparatus
US20160118280A1 (en) * 2014-10-23 2016-04-28 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9673071B2 (en) * 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US20210202282A1 (en) * 2017-10-19 2021-07-01 Evatec Ag Method and apparatus for treating a substrate
TWI720349B (en) * 2017-10-19 2021-03-01 瑞士商艾維太克股份有限公司 Method and apparatus for treating a substrate
WO2019076553A1 (en) * 2017-10-19 2019-04-25 Evatec Ag Method and apparatus for treating a substrate
WO2019219371A1 (en) * 2018-05-15 2019-11-21 Evatec Ag Substrate vacuum treatment apparatus and method therefore
TWI807026B (en) * 2018-05-15 2023-07-01 瑞士商艾維太克股份有限公司 Substrate vacuum treatment apparatus and method of vacuum treating a substrate or of manufacturing a vacuum treated substrate
CN110610873A (en) * 2018-06-15 2019-12-24 东京毅力科创株式会社 Vacuum processing apparatus, vacuum processing system, and vacuum processing method
TWI822354B (en) * 2021-12-03 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 Vacuum lock system, semiconductor processing equipment and substrate transfer method

Also Published As

Publication number Publication date
WO2003017354A1 (en) 2003-02-27
JP2003059999A (en) 2003-02-28
US20070107845A1 (en) 2007-05-17

Similar Documents

Publication Publication Date Title
US20040238122A1 (en) Semiconductor processing system
US7090741B2 (en) Semiconductor processing system
US7857569B2 (en) Semiconductor processing system
US8623457B2 (en) Vacuum processing system
JP4763841B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
US8382088B2 (en) Substrate processing apparatus
US5769952A (en) Reduced pressure and normal pressure treatment apparatus
US6540469B2 (en) Substrate processing apparatus
US7019263B2 (en) Substrate heating apparatus and multi-chamber substrate processing system
JP4753224B2 (en) Gas line system
US6395094B1 (en) Process system with transfer unit for object to be processed
US20060090849A1 (en) Substrate processing apparatus
US8785821B2 (en) Substrate processing apparatus with heater element held by vacuum
JP2003077974A (en) Substrate processing device and manufacturing method of semiconductor device
KR20010081006A (en) Vacuum processing system
US20050118000A1 (en) Treatment subject receiving vessel body, and treating system
US7351291B2 (en) Semiconductor processing system
US11430679B2 (en) Semiconductor manufacturing apparatus
KR102267964B1 (en) Dodecagonal transfer chamber and processing system having same
JP2023051900A (en) Reconfigurable mainframe with replaceable interface plate
JP2004006665A (en) Vacuum processing device
JP2004119627A (en) Semiconductor device manufacturing apparatus
JP2001267254A (en) Device for producing semiconductor
JP2021012943A (en) Substrate transfer device and substrate transfer method
JP2000323549A (en) Vacuum processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ISHIZAWA, SHIGERU;SAEKI, HIROAKI;TAMURA, YOSHIMITSU;AND OTHERS;REEL/FRAME:015888/0988;SIGNING DATES FROM 20040128 TO 20040130

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION