US20040265707A1 - Source and mask optimization - Google Patents

Source and mask optimization Download PDF

Info

Publication number
US20040265707A1
US20040265707A1 US10/813,626 US81362604A US2004265707A1 US 20040265707 A1 US20040265707 A1 US 20040265707A1 US 81362604 A US81362604 A US 81362604A US 2004265707 A1 US2004265707 A1 US 2004265707A1
Authority
US
United States
Prior art keywords
mask
diffraction orders
optimal
illumination source
illumination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/813,626
Inventor
Robert Socha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Priority to US10/813,626 priority Critical patent/US20040265707A1/en
Assigned to ASML MASKTOOLS B.V. reassignment ASML MASKTOOLS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOCHA, ROBERT
Publication of US20040265707A1 publication Critical patent/US20040265707A1/en
Priority to US12/186,410 priority patent/US7864301B2/en
Priority to US12/962,522 priority patent/US8730452B2/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASML MASKTOOLS B.V.
Priority to US14/281,539 priority patent/US10657641B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach

Definitions

  • the field of the invention relates generally to a method and program product for optimizing illumination source and mask features for microlithography.
  • Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • the photolithographic mask may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist).
  • a target portion e.g. comprising one or more dies
  • substrate silicon wafer
  • resist radiation-sensitive material
  • a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time.
  • each target portion is irradiated by exposing the entire mask pattern onto the target portion in one go; such an apparatus is commonly referred to as a wafer stepper.
  • each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction.
  • the projection system will have a magnification factor M (generally ⁇ 1)
  • M magnification factor
  • the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned.
  • a mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist).
  • the substrate Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC.
  • Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • the projection system may hereinafter be referred to as the “lens”; however, this term should be broadly interpreted as encompassing various types of projection systems, including refractive optics, reflective optics, and catadioptric systems, for example.
  • the radiation system may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, and such components may also be referred to below, collectively or singularly, as a “lens”.
  • the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables).
  • twin stage lithographic apparatus are described, for example, in U.S. Pat. No. 5,969,441 and WO 98/40791, incorporated herein by reference.
  • the photolithographic masks referred to above comprise geometric patterns corresponding to the circuit components to be integrated onto a silicon wafer.
  • the patterns used to create such masks are generated utilizing CAD (computer-aided design) programs, this process is often being referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional masks. These rules are set by processing and design limitations.
  • design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way.
  • the design rule limitations are typically referred to as “critical dimensions” (CD).
  • a critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes. Thus, the CD determines the overall size and density of the designed circuit.
  • a method for optimizing an illumination source for a mask illumination may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range.
  • a method for determining an optimal mask may comprise the steps of: determining optimum diffraction orders of an ideal mask; obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and determining an optimal mask based on the optimal transmission mask, wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range.
  • a method of obtaining an optimum source and an optimum mask may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; and simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • a method of optimizing a placement of transmission and phase shifting features on a mask may comprise the steps of: obtaining optimal mask transmission characteristics based on optimum diffraction orders of the mask; locating areas of maximum transmission and minimum transmission; assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission; varying edges of each primitive area to match optimal diffraction orders, wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
  • m is the number of horizontal diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • n is the number of vertical diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • a computer readable medium may contain instructions for a computer to perform a method for optimizing an illumination source for a mask illumination which may comprise the steps: of providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range.
  • a computer readable medium may contain instructions for a computer to cause performance of a method for determining an optimal mask which may comprise the steps of: determining optimum diffraction orders of an ideal mask; obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and determining an optimal mask based on the optimal transmission mask, wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range.
  • a computer readable medium may contain instructions for a computer to cause performance of a method of obtaining an optimum source and an optimum mask which may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; and simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • a computer readable medium may contain instructions for a computer to cause performance of a method of optimizing a placement of transmission and phase shifting features on a mask which may comprise the steps of: obtaining optimal mask transmission characteristics based on optimum diffraction orders of the mask; locating areas of maximum transmission and minimum transmission; assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission; varying edges of each primitive area to match optimal diffraction orders, wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
  • a computer readable medium may contain instructions for a computer to cause optimizing a placement of transmission and phase shifting features on a mask comprising the steps of: obtaining optimal mask transmission characteristics; locating areas of minimum transmission; assigning a primitive area as an area centered on an area of minimum transmission; and varying edges of the primitive area to match optimal diffraction orders, wherein the primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
  • a computer readable medium may further contain instructions for a computer to cause the steps of: locating an area of maximum transmission; assigning a transmission primitive area as an area centered on an area of maximum transmission; varying edges of the transmission primitive area to match optimal diffraction orders, wherein the transmission primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
  • m is the number of horizontal diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • n is the number of vertical diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • an apparatus for optimizing an illumination source for a mask illumination may comprise: an input unit which inputs characteristics of an illumination device; and a processing unit which is configured to change an intensity and shape of an illumination to form an image in an image plane that maximizes the minimum image log slope at user selected fragmentation points.
  • an apparatus for optimizing an mask may comprise: an input unit which inputs a desired image pattern; and a processing unit which is configured to change a magnitude and phase of diffraction orders to form an image in the image plane that maximizes the minimum image log slope at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • an apparatus for obtaining an optimum source and an optimum mask may comprise: an input unit which accepts user inputs; and a processing unit configured to simultaneously change an intensity and shape of an illumination source and change a magnitude and phase of diffraction orders to form an image in an image plane which maximizes a minimum image log slope at user selected fragmentation points while forcing an intensity at the fragmentation points to be within a predetermined intensity range.
  • an apparatus for optimizing a placement of transmission and phase shifting features on a mask comprising: an input unit which inputs characteristics of an illumination device; and a processing unit which is configured to obtain optimal mask transmission characteristics based on optimum diffraction orders of the mask, locate areas of minimum transmission and maximum transmission, assign primitive areas as areas centered on an area of minimum transmission or an area of maximum transmission, and vary edges of the primitive area to match optimal diffraction orders, wherein the primitive areas have a minimum size which is substantially equal to a minimum feature size of the mask.
  • m is the number of horizontal diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • n is the number of vertical diffraction orders
  • is a wavelength of the illumination source
  • NA is a numerical aperture of the projection optics
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source.
  • the present invention provides an advantage of a fast computation of mask parameters by limiting the number of mask optimization variables considered.
  • the number of illumination optimization variables may be reduced by relying on the mask symmetry.
  • the number of mask optimization variables may also be reduced by optimizing the diffraction orders of the mask rather than performing computations with the mask transmission. Optimization of the mask diffraction orders is a non-linear process; hence, reducing the number of variables decreases computation time.
  • the mask transmission is optimized by performing a non-linear optimization of the diffraction orders followed by a linear optimization of selecting quantized mask transmissions to equal the optimal diffraction orders.
  • FIGS. 1A and 1B illustrate an exemplary illumination using Abbe imaging according to the present invention
  • FIG. 2 illustrates an exemplary process for obtaining illumination optimization according to the present invention
  • FIG. 3 provides a graphical representation of the generation of transmission cross coefficients (TCC) according to the present invention
  • FIG. 4 illustrates an exemplary process for performing a mask optimization according to the present invention
  • FIGS. 5A and 5B illustrate additional mask constraints that may be considered in optimizing a mask according to the present invention
  • FIG. 6 illustrates an exemplary process for converting an optimal transmission mask to a CPL mask according to the present invention
  • FIG. 7A illustrates an exemplary DRAM mask pattern and FIG. 7B illustrates an optimum illumination source used with the mask pattern of FIG. 7A;
  • FIGS. 8A-8C illustrate diffraction orders of various masks to illustrate the diffraction orders of a CPL mask in accordance with the present invention
  • FIGS. 9A-9C illustrate the application of the optimal illumination to an optimal mask (FIG. 9A);
  • FIGS. 10A and 10B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM (phase shifted mask).
  • FIGS. 11A and 11B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM using hexapole and annular illumination, respectively;
  • FIG. 12A illustrates an exemplary “Short Brickwall” pattern and FIG. 12B illustrates and an illumination source which has been optimized to produce the pattern according to the principles of the present invention
  • FIGS. 13 A-C illustrate the diffraction orders of the exemplary mask in FIG. 12A;
  • FIGS. 14 A-D illustrate the use of primitive edges to create an optimal mask according to the principles of the present invention
  • FIGS. 15A and 15B illustrate aerial image comparisons using the Short Brickwall pattern of FIG. 12A in a CPL mask and an AttPSM mask;
  • FIGS. 16A and 16B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask
  • FIGS. 17A and 17B illustrate aerial image comparisons between an 8% AttPSM exposed with a dipole illumination in the top row and an annular illumination in the bottom row;
  • FIGS. 18A illustrate the principles of the present invention as applied to a rectangular contact array mask and FIG. 18B illustrates an illumination source which has been optimized to illuminate the patter of FIG. 18A in accordance with the present invention
  • FIGS. 19 A-C illustrate the diffraction orders of the exemplary mask in FIG. 18A;
  • FIGS. 20 A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention
  • FIGS. 21A and 21B illustrate an aerial image comparison using the rectangular contact array mask of FIG. 19A;
  • FIGS. 22A and 22B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask
  • FIGS. 23A and 23B illustrate aerial image comparisons between an 8% AttPSM exposed with dipole illumination in the top row and annular illumination in the bottom row;
  • FIG. 24A illustrates a Staggered Rectangular Contact Array and FIG. 24B illustrates and an illumination source which has been optimized to produce the pattern according to the principles of the present invention
  • FIGS. 25 A-C illustrate the diffraction orders of the exemplary mask in FIG. 24A
  • FIGS. 26 A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention
  • FIGS. 27A and 27B illustrate aerial image comparisons using a CPL mask and an AttPSM mask
  • FIGS. 28A and 28B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask
  • FIGS. 29A and 29B illustrate aerial image comparisons between an 8% AttPSM exposed with quad in the top row and annular illumination in the bottom row;
  • FIG. 30 schematically depicts a lithographic projection apparatus suitable for use with a mask designed with the aid of the current invention.
  • FIG. 31 illustrates an exemplary mask optimization processing unit in accordance with the present invention.
  • an image is formed in the image plane with the highest image log slope (ILS) in the optimization process at user selected fragmentation points.
  • the image may be optimized by changing the intensity and shape of the illumination source and by changing the magnitude and phase of the diffraction orders.
  • the illumination source is first optimized and then the mask diffraction orders are optimized; however, the illumination source and mask diffraction orders can be optimized simultaneously.
  • the optimization of the illumination source and the mask is a non-linear optimization.
  • the computational time is a function (e.g. a geometric function) of the number of variables. Therefore to speed the computational time, the number of variables must be minimized.
  • the number of variables may be reduced by taking advantage of the symmetry of mask. For example, if the mask is symmetric with respect to the vertical and horizontal axes, the illumination source will be symmetric with respect to the vertical and horizontal axes, allowing optimization to be achieved using a quarter of the illumination source.
  • the number of variables may be reduced by performing an optimization of the diffraction orders in the spatial frequency domain.
  • the mask optimization is preferably performed in the spatial frequency domain rather than the spatial domain because the lens (e.g. the entrance pupil of the projection optics) and illumination source limit the number of diffraction orders which are used to form the projected image.
  • the shape of the image formed by the mask must match the desired pattern.
  • the matching is preferably done by adding a constraint that intensity at all of the fragmentation points are the same or within a predetermined range of deviation of each other, e.g. a ⁇ 2% deviation.
  • the optimal mask transmission may be calculated by taking the inverse Fourier transform of the mask diffraction orders.
  • the electric field transmission has a continuous magnitude from 0 to 1 and a continuous phase from ⁇ 180 degrees to +180 degrees. Since the mask has a limited number of electric field transmissions, the optimal transmission is preferably quantized by the limited number of transmissions. This quantization is preferably done by selecting quantized mask transmission areas such that the diffraction orders of the quantized mask substantially equal the optimal diffraction orders. Because the Fourier transform is a linear calculation, selecting quantized mask transmission areas such that the quantized mask diffraction orders equal the optimal diffractions is a linear process that can be calculated quickly.
  • FIGS. 1A and 1B illustrate an illumination process using Abbe imaging.
  • each source point 10 may be illuminated by selectively positioning an illumination source (not shown) at the desired source points 10 .
  • the total image intensity is the summation of the intensity from each of the individual source points 10 .
  • the illumination patterns are real (in a mathematical sense), hence, the illumination must have even symmetry.
  • the source points are chosen to enhance the normalized image log slope (NILS) at fragmentation points on the image plane.
  • NILS normalized image log slope
  • a fragmentation point is commonly known to be a point on the image plane which is smaller than ⁇ /2NA.
  • FIG. 1B illustrates the use of the illumination source with a typical DRAM mask pattern (referred to as a “Brickwall”).
  • FIG. 7A illustrates a “Long Brickwall” pattern that may be used.
  • FIG. 1B portions of the resulting image are shown from illuminating a Brickwall pattern on a mask of a 190 nm pitch with an illumination of ⁇ /800 with a numerical aperture (NA) at the entrance pupil of the projection optics at 0.8.
  • NA numerical aperture
  • the light areas represent an image intensity that enhances the NILS while the dark areas represent an image intensity that degrades the NILS.
  • the illumination source points that provide the best result e.g. provide the most enhanced NILS, are preferably selected to optimize the shape of the illumination source.
  • FIG. 2 An exemplary process for performing the illumination source optimization is illustrated in FIG. 2.
  • the illumination source optimization in this process is preferably linear with non-linear constraints.
  • the mask transmission magnitude and phase is optimized according to the present invention.
  • step S 1 of FIG. 2 the user preferably specifies the selected cell (e.g. region on the desired pattern) and the fragmentation points (x,y) (see FIG. 9A) to be evaluated.
  • a microprocessor preferably calculates the intensity and the NILS at each illuminator point ( ⁇ , ⁇ ) and at each fragmentation point (x,y), i.e., the microprocessor calculates I( ⁇ , ⁇ ; x,y) and NILS( ⁇ , ⁇ ; x,y).
  • the microprocessor utilizes specifications of the illumination system, such as an illumination system from Zeiss (identified as “Zeiss Specs” in FIG.
  • step S 4 An optimization process is illustrated in step S 4 , in which illumination points ( ⁇ , ⁇ ) that maximize the minimum NILS at each fragmentation point (x,y) are selected.
  • step S 5 the intensity I( ⁇ , ⁇ ; x,y) and NILS( ⁇ , ⁇ ; x,y) at each illumination point and each fragmentation point are preferably summed with the selected illumination points that maximize the minimum NILS at each fragmentation point.
  • the optimal illumination source to maximize the NILS at each fragmentation point (x,y) at a desired intensity is determined, as illustrated by step S 6 .
  • the intensity and shape of the illumination may be changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • FIG. 3 illustrates an exemplary mask optimization process according to the present invention.
  • a technique known as Hopkins Imaging may be used, in which the log slope is attempted to be maximized by changing the pupil to maximize the NILS.
  • Abbe Imaging may also be used.
  • an image is created for each point and the images are added up and integrated over the source last.
  • Abbe Imaging is generally considered to be spatially incoherent.
  • Hopkins Imaging the integration is over the source first and a transfer function is obtained. It may be easier to obtain a mask optimization once from the transmission cross coefficients (TCC) and describe the entire scanner and stepper optics.
  • TCC transmission cross coefficients
  • the Eigen values decay rapidly to represent the TCC with a few Eigen functions. This speeds computation time.
  • FIG. 3 also illustrates the creation of the TCC using Hopkins imaging.
  • the TCC is an autocorrelation of the illumination pupil with the projection pupil.
  • FIG. 3 illustrates the autocorrection of the illumination pupil centered at (0,0) with the projection pupil centered at ( m ⁇ ⁇ ⁇ P x ⁇ NA , n ⁇ ⁇ ⁇ P y ⁇ NA )
  • NA represents the numerical aperture of the projection optics
  • represents the wavelength of the illumination source
  • TCC image transfer cross coefficients
  • the TCC is an autocorrelation of the illumination pupil with the projection pupil and is a four dimensional (4-D) function.
  • the next step is to diagonalize the TCC to reduce the problem to a sum of two dimensional functions.
  • These two dimensional functions are a set of orthogonal eigenfunctions in which each eigenfunction is weighted by the eigenvalue, i.e., eigenfunctions with higher eigenvalues have a larger impact on the image.
  • These eigenfunctions form a set of image kernels which are used in the calculation of the image in the object plane.
  • the diagonalization operation may be performed by any known functions, such as singular value decomposition used in NTI Nanosurfer, or in MG Calibre. Those of skill in the art will also appreciate that a calibrated MT Kernel can also be used.
  • FIG. 4 illustrates an exemplary process for performing a mask optimization according to the present invention to obtain an ideal/optimum mask.
  • Ideal mask transmission optimization is non-linear, however the conversion of the ideal mask transmission to a CPL mask implementation is a linear process.
  • the ideal mask is optimized in the frequency domain to speed convergence since the optimization is non-linear.
  • the user selects the cell (e.g. region on the desired pattern) and fragmentation points (x,y) to maximize the NILS and to minimize and maximize intensity.
  • the microprocessor then calculates the TCC (m,n,p,q) (step S 22 ), diagonolizes the TCC into N kernels ⁇ (m,n) (step S 23 ), and calculates the image intensity to each Kernel, i (step S 24 ).
  • the calculation of the image intensity to each Kernel, i may be performed according to equation 1.
  • the mask transmission range is chosen for CPL mask optimization.
  • the transmission is allowed to be above 1 or below ⁇ 1 because of the Gibb's phenomenon for image reconstruction after low pass filtering.
  • the mask transmission range can be further modified for an attenuating phase shift mask (PSM).
  • PSM phase shift mask
  • the mask transmission manufacturable range becomes I ⁇ 1 ⁇ O(m,n) ⁇ 1.25 and I ⁇ 1 ⁇ O(m,n) ⁇ 1.25 ⁇ square root ⁇ square root over (T) ⁇ where T is the transmittance of the phase shift mask.
  • the microprocessor also receives optimization constraints that may be used to force intensity in the image plane to a predetermined value, minimize intensity below a predetermined value, maximize intensity above a predetermined value, or conform with a mask manufacturability constraint, as illustrated in step S 27 .
  • the predetermined value is preferably selected as the intensity which provides the highest image log slope (ILS).
  • An exemplary optimization constraint, which may limit the mask transmission to a manufacturable range, is set forth in equation 2.
  • the microprocessor preferably performs an optimization of the mask transmissions by changing the diffraction orders of the mask O(m,n) to maximize the NILS at the fragmentation points (x,y), as illustrated in step S 25 , such as by changing the magnitude and phase of the diffraction orders.
  • the resulting diffraction orders of the optimization of step S 25 is summed with the calculated image intensity of each Kernel, i, as illustrated in step S 26 , and the ideal optimum mask diffraction orders O(m,n) are provided, as illustrated in step S 28 .
  • the inverse Fourier transform is then performed to convert the calculations to the spatial domain from the frequency domain, as illustrated in step S 29 , to obtain the optimal transmission mask o(x,y) in the spatial domain, as illustrated in step S 30 .
  • Additional mask constraints may be considered in optimizing a mask as illustrated in FIGS. 5A and 5B.
  • the diffraction order may be evaluated by a real component and an imaginary component and may be represented by equation 3.
  • Equation 3 guarantees that the mask is real in a mathematical sense.
  • a real mask has transmission phases of 0° and 180°.
  • the number of real diffraction orders, x may be characterized by equation 4.
  • x ( m + 1 ) 2 ⁇ ( n + 1 ) 2 + ( m - 1 ) 2 ⁇ ( n - 1 ) 2 Eq . ⁇ 4
  • the number of imaginary diffraction orders, y may be characterized by equation 5.
  • y ( m + 1 ) 2 ⁇ ( n + 1 ) 2 + ( m - 1 ) 2 ⁇ ( n - 1 ) 2 - 1 Eq . ⁇ 5
  • a mask must be real, which restricts the number of orders to optimize to x+y, and the entrance pupil of the projection optics limits the number of diffraction orders that may be used by blocking the highest diffraction orders.
  • the maximum number of horizontal diffraction orders that may be used, m may be represented by equation 6.
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source
  • is a wavelength of the illumination source
  • P x is the pitch of the repetitive cell in the x direction
  • NA is a numerical aperture of the entrance pupil of the projection optics.
  • n floor ⁇ [ P y ⁇ ( ⁇ max + 1 ) ⁇ NA ⁇ ] + 1 Eq . ⁇ 7
  • n is the number of vertical diffraction orders
  • ⁇ max is a radial extent of the distribution of a beam of light from the illumination source
  • is a wavelength of the illumination source
  • P y is the pitch of the repetitive cell in the y direction
  • NA is a numerical aperture of the entrance pupil of the projection optics.
  • the definition for x and y of the pitch P is the axes of the Cartesian coordinate system in which the x axis is orthogonal to the y axis.
  • the coordinate system can be any linear coordinate system in which any two lines, g 1 and g 2 , intersecting at an origin, describe the linear coordinate system, i.e. g 1 , and g 2 , need not be necessarily orthogonal.
  • P x describes the pitch along the axis g 1
  • P y describes the pitch along the axis g 2 .
  • the magnitude and phase of the diffraction orders may be changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • the illumination source optimization illustrated in FIG. 2, may be simultaneously performed with the mask optimization, illustrated in FIG. 4. Accordingly, the intensity and shape of the illumination and the magnitude and phase of the diffraction orders may be simultaneously changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
  • the ideal optimal transmission mask determined in the exemplary process of FIG. 4 maybe converted to be implemented in an actual mask, such as a CPL mask, as illustrated by the process illustrated in FIG. 6.
  • an ideal optimal transmission mask which may be determined according to the process illustrated in FIG. 4, is provided to be converted.
  • a dark field mask is preferably used to start, as illustrated in step S 32 .
  • areas of minimum transmission are located and assigned a ⁇ 1 (step S 33 ), and areas of maximum transmission are also located and assigned a +1 (step S 34 ).
  • Primitive rectangles preferably having a size set to a minimum feature size of a mask maker are assigned to the located minimum transmission areas and centered at a desired location (step S 35 ).
  • primitive rectangles are assigned for the located areas of maximum transmission and centered (step S 36 ).
  • the diffraction orders needed for optimization start at - 1 * floor ⁇ [ P x ⁇ ( ⁇ max + 1 ) ⁇ NA ⁇ ]
  • m 2 ⁇ ⁇ floor ⁇ [ P x ⁇ ( ⁇ max + 1 ) ⁇ NA ⁇ ] + 1 Eq . ⁇ 8
  • n 2 ⁇ ⁇ floor ⁇ [ P y ⁇ ( ⁇ max + 1 ) ⁇ NA ⁇ ] + 1 Eq . ⁇ 9
  • [0101] may represent the maximum number of vertical diffraction orders, n that may be used.
  • the ⁇ 1 and +1 rectangle edges may be varied to match optimal diffraction orders O(m,n).
  • the optimal CPL mask O CPL (x,y) may be formed as illustrated in step S 38 .
  • the mask conversion is linear.
  • CPL edge effects can also be taken into account by modifying the diffraction order through a perturbational model.
  • edges of the mask are replaced with local areas that have transmission of neither 0, +1, or ⁇ 1. These areas allow a scalar mask to emulate the vector edge effects from a CPL mask.
  • perturbational models may be used, such as those disclosed by J. Tirapu-Azpiroz, E. Yablonovitch, “Fast evaluation of Photomask, Near-Fields in Sub-Wavelength 193 nm Lithography,” Proc of the SPIE, vol. 5377 (2004), and K. Adam, A. Neureuther, “Simplified Models For Edge Transitions In Rigorous Mask Modeling,” Proc. of the SPIE, vol. 4346 (2001), pp. 331-344.
  • FIG. 7A illustrates an exemplary DRAM mask pattern, commonly referred to as a Long Brickwall.
  • FIG. 7B illustrates an optimum illumination source for the mask of FIG. 7A obtained according to the principles of the present invention.
  • the source illumination was optimized for the long brickwall using the algorithm outlined in FIG. 2.
  • the illumination poles on the y axis help improve the NILS at the end of the long brick while the illumination poles on the x axis help improve the NILS in between the bricks.
  • FIGS. 8A-8C illustrate diffraction orders of various masks.
  • FIG. 8A illustrates the original diffraction orders of the mask utilizing AttPSM.
  • FIG. 8B illustrates the ideal optimal diffraction orders determined according to the process illustrated in FIG. 4 of the present invention.
  • FIG. 8C illustrates the implementation of the optimal diffraction orders with a CPL mask, according to the process illustrated in FIG. 6 of the present invention.
  • the optimal diffraction orders force more energy into the higher orders ( ⁇ 2,0) and ( ⁇ 1, ⁇ 3).
  • a CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. 9A-9C illustrate the application of the optimal illumination to an optimal mask (FIG. 9A).
  • the “*” points identify the NILS optimization points
  • the “+” points identify the points at which intensity should be maximized
  • the “ ⁇ ” points identify the points at which intensity should be minimized.
  • the NILS optimization attempts to make the slope of the intensity at the image plane as large as possible to obtain a high contrast in printing the mask features.
  • the NILS is preferably within a set value which is suitable for the determined NILS optimization points along a mask feature.
  • a CPL mask has three phase values, +180, 0 and ⁇ 180 degrees.
  • the CPL mask illustrated in FIG. 8C is formed by quantizing the optimal mask transmission, to form a quantized CPL mask transmission.
  • FIGS. 10A and 10B and 11 A and 11 B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM (attenuated phase shifted mask).
  • FIG. 10A the CPL mask was exposed with hexapole illumination.
  • FIG. 10B the PSM mask was exposed with hexapole illumination.
  • the contrast and the NILS is much better in between the lines with the CPL mask than the PSM mask. It can be seen that CPL has a production worthy process margin while the 8% solution is less favorable. However, CPL has a little necking which may be correctable by adding more optimization points.
  • the hexapole illuminator for 8% Att PSM also shows NILS improvement over annular illumination shown in FIG. 11B.
  • a larger process margin may be gained by using CPL with the hexapole illuminator.
  • Optimizing the mask in the frequency domain limits the size of the optimization problem and speeds convergence.
  • Reconstruction of the optimal CPL mask from the optimal diffraction orders is a linear problem in the frequency domain.
  • Process window improvement may be optimal with the optimal diffraction orders for all structures, which may minimize the effects of focus and exposure variations in the scanner and in the wafer topography.
  • Process window as used herein is the amount of exposure latitude (EL) as a function of depth of focus (DOF).
  • DOE depth of focus
  • Process window improvement with the optimal CPL may also be optimal.
  • CPL may be used to improve the process window at a low k1 factor.
  • the k1 factor as used herein may be defined by CD*NA/ ⁇ , where CD is the critical dimension of the feature to be printed, and ⁇ is the wavelength of the illumination source.
  • the mask can be optimized to an existing OAI (quasar, cquad (a quadrupole illuminator with poles on the Cartesian x and y axes, such as a quasar illuminator rotated 45 degrees), annular illumination). Also, in the present invention, the mask can be optimized for a single or double exposure (1 or 2 masks) or for a 2 tone mask (binary or AttPSM). Most ideal transmission masks can be represented with CPL in accordance with the present invention.
  • FIGS. 12A and 12B illustrate an exemplary “Short Brickwall” pattern in which the source and the CPL mask have been optimized according to the principles of the present invention.
  • FIG. 12B illustrates an optimum illumination source for the pattern of FIG. 12A which has been obtained according to the principles of the present invention as illustrated in FIG. 2.
  • FIGS. 13 A-C illustrate the diffraction orders of the exemplary mask in FIG. 12A.
  • FIG. 13A illustrates the original diffraction order with the AttPSM mask
  • FIG. 13B illustrates the ideal optimal diffraction orders determined according to the process illustrated in FIG. 4 of the present invention.
  • FIG. 13C illustrates an implementation of the optimal diffraction orders with a CPL mask according to the process illustrated in FIG. 4 of the present invention.
  • the optimal diffraction orders force more energy into ( ⁇ 1, ⁇ 1) areas.
  • the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. 14 A-D illustrate the use of primitive edges to create an optimal mask according to the principles of the present invention.
  • FIG. 14A illustrates the exemplary Short Brickwall mask of FIG. 12A.
  • FIG. 14B illustrates the optimal mask transmission of the mask in FIG. 14A.
  • FIG. 14C illustrates using an arcuate modeling technique to map the primitive edges more closely with the optimal mask transmission.
  • FIG. 14D illustrates using primitive rectangles to map the optimal mask transmission.
  • two CPL implementations may lead to substantially the same diffraction order spectrum. Primitive edges are not critical but using primitives may lead to a smaller figure count and possibly allow an easier inspection of the mask.
  • FIGS. 15A-17B illustrate aerial image comparisons using the Short Brickwall of FIG. 12A.
  • a CPL mask and an 8% AttPSM mask are both exposed with dipole illumination.
  • the contrast and NILS is much better in between the lines and the end of the line with CPL than the PSM mask.
  • the CPL mask has production worthy process margins while the 8% solution does not appear to be as favorable.
  • the CPL mask maintains the area better than 8% AttPSM.
  • FIGS. 16A and 16B illustrates the trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 16A and 16B, there is almost no difference between optimal transmission and CPL representation. Hence, the CPL mask offers a favorable solution for mask optimization according to the principles of the present invention.
  • FIG. 17A illustrates aerial image comparisons between an 8% AttPSM exposed with dipole illumination and FIG. 17B illustrates using annular illumination.
  • the NILS is better with dipole illumination in comparison to annular illumination.
  • Annular illumination has better NILS at the end of line (EOL).
  • EOL end of line
  • FIGS. 18A-23B illustrate the principles of the present invention as applied to a rectangular contact array mask, depicted in FIG. 18A.
  • FIGS. 19 A-C illustrate the diffraction orders of the exemplary mask in FIG. 18A.
  • FIG. 19A illustrates the original diffraction order with the AttPSM mask
  • FIG. 19B illustrates the optimal diffraction order determined by the principles of the present invention
  • FIG. 19C illustrates the optimal diffraction order implemented with a CPL mask.
  • the optimal diffraction orders force more energy into the higher orders ( ⁇ 1, 0), (0, ⁇ ), and (0, ⁇ 2).
  • the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. 20 A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention.
  • FIG. 20A illustrates the exemplary Rectangular Contact Array mask of FIG. 19A.
  • FIG. 20B illustrates the optimal mask transmission of the mask in FIG. 20A.
  • FIG. 20C illustrates using primitive rectangles to map the optimal mask transmission to create a quantized CPL mask.
  • FIGS. 21A-23B illustrate aerial image comparisons using the Rectangular Contact Array mask of FIG. 19A.
  • a CPL mask and in FIG. 21B an 8% AttPSM mask are both exposed with hexapole illumination.
  • the peak intensity and NILS are better with the CPL mask.
  • the CPL mask has production worthy DOF (depth of focus) while the 8% AttPSM solution does not appear to have sufficient DOF to be as favorable.
  • the CPL mask maintains the area better than the 8% AttPSM.
  • FIGS. 22A and 22B illustrates a trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 22A and 22B, there appears to be a slightly better NILS with optimal transmission reticle in comparison to CPL.
  • FIGS. 23A and 23B illustrates aerial image comparisons between an 8% AttPSM exposed with dipole illumination in the top row and annular illumination in the bottom row. As shown in FIGS. 23A and 23B, the peak intensity and NILS are better with hexapole illumination in comparison to annular illumination. The peak intensity with annular illumination may not be sufficient to print through focus.
  • FIGS. 24A-29B illustrate the principles of the present invention as applied to a Staggered Rectangular Contact Array, depicted in FIG. 24A.
  • FIG. 24A and 24B illustrate an exemplary Staggered Rectangular Contact Array mask in which the source and CPL mask have been optimized according to the principles of the present invention.
  • FIGS. 25 A-C illustrate the diffraction orders of the exemplary mask in FIG. 24A.
  • FIG. 25A illustrates the original diffraction order with the AttPSM mask
  • FIG. 25B illustrates the optimal diffraction order determined by the principles of the present invention
  • FIG. 25C illustrates the optimal diffraction order with a CPL implementation.
  • the optimal diffraction orders force more energy into (0, +2) and ( ⁇ 1, ⁇ 1) areas.
  • the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. 26 A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention.
  • FIG. 26A illustrates the exemplary Staggered Rectangular Contact Array mask of FIG. 24A.
  • FIG. 26B illustrates the optimal mask transmission of the mask in FIG. 24A.
  • FIG. 26C illustrates using primitive rectangles to map the optimal mask transmission to create a quantized CPL mask.
  • 180 degree outriggers may be used at the sides of the contact array.
  • FIGS. 27A-29B illustrate aerial image comparisons using the Rectangular Contact Array mask of FIG. 24A.
  • a CPL mask and an 8% AttPSM, respectively, mask are both exposed with quad illumination.
  • the peak intensity and NILS are better with the CPL mask than the PSM mask.
  • the CPL mask also has a greater exposure latitude and DOF in comparison to the 8% AttPSM mask.
  • FIGS. 28A and 28B illustrates the trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 28A and 28B, there is almost no difference between optimal transmission and the CPL mask representation.
  • the CPL mask offers a favorable solution for mask optimization according to the principles of the present invention.
  • FIGS. 29A and 29B illustrates aerial image comparisons between an 8% AttPSM exposed with quad illumination in the top row and annular illumination in the bottom row. As shown in FIGS. 29A and 29B, the peak intensity and NILS are better with quad illumination than annular illumination. The peak intensity with annular illumination may not be sufficient to print through focus.
  • FIG. 30 schematically depicts a lithographic projection apparatus suitable for use with a mask designed with the aid of the current invention.
  • the apparatus comprises:
  • a radiation system Ex, IL for supplying a projection beam PB of radiation.
  • the radiation system also comprises a radiation source LA;
  • a first object table (mask table) MT provided with a mask holder for holding a mask MA (e.g. a reticle), and connected to first positioning means for accurately positioning the mask with respect to item PL;
  • a mask table e.g. a reticle
  • a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer), and connected to second positioning means for accurately positioning the substrate with respect to item PL;
  • a substrate W e.g. a resist-coated silicon wafer
  • a projection system (“lens”) PL (e.g. a refractive, catoptric or catadioptric optical system) for imaging an irradiated portion of the mask MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • PL projection system
  • target portion C e.g. comprising one or more dies
  • the apparatus is of a transmissive type (i.e. has a transmissive mask). However, in general, it may also be of a reflective type, for example (with a reflective mask). Alternatively, the apparatus may employ another kind of patterning means as an alternative to the use of a mask; examples include a programmable mirror array or LCD matrix.
  • the source LA e.g. a mercury lamp or excimer laser
  • This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander Ex, for example.
  • the illuminator IL may comprise adjusting means AM for setting the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in the beam.
  • ⁇ -outer and ⁇ -inner commonly referred to as ⁇ -outer and ⁇ -inner, respectively
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section.
  • the source LA may be within the housing of the lithographic projection apparatus (as is often the case when the source LA is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g. with the aid of suitable directing mirrors); this latter scenario is often the case when the source LA is an excimer laser (e.g. based on KrF, ArF or F 2 lasing).
  • the illumination source intensity may also be made with a mirror array or an LCD.
  • the current invention encompasses at least both of these scenarios.
  • the beam PB subsequently intercepts the mask MA, which is held on a mask table MT. Having traversed the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library, or during a scan.
  • the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 27.
  • the mask table MT may just be connected to a short stroke actuator, or may be fixed.
  • the depicted tool can be used in two different modes:
  • step mode the mask table MT is kept essentially stationary, and an entire mask image is projected in one go (i.e. a single “flash”) onto a target portion C.
  • the substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB;
  • M magnification of the lens PL
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size.
  • Emerging technologies already in use include EUV (extreme ultra violet) lithography that is capable of producing a 193 nm wavelength with the use of a ArF laser, and even a 157 nm wavelength with the use of a Fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 20-5 nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • illumination may be produced by reflective mirrors with a multi-stack of Molybdenum and Silicon.
  • the multi-stack mirror has a 40 layer pairs of Molybdenum and Silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography.
  • a synchrotron is used to produce an X-ray wavelength. Since most material is absorptive at x-ray wavelengths, a thin piece of absorbing material defines where features would print (positive resist) or not print (negative resist).
  • the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers.
  • Software functionalities of a computer system involve programming, including executable code, may be used to implement the above described imaging model.
  • the software code is executable by the general-purpose computer.
  • the code and possibly the associated data records are stored within a general-purpose computer platform.
  • the software may be stored at other locations and/or transported for loading into the appropriate general-purpose computer systems.
  • the embodiments discussed above involve one or more software products in the form of one or more modules of code carried by at least one machine-readable medium. Execution of such code by a processor of the computer system enables the platform to implement the catalog and/or software downloading functions, in essentially the manner performed in the embodiments discussed and illustrated herein.
  • Non-volatile media include, for example, optical or magnetic disks, such as any of the storage devices in any computer(s) operating as one of the server platform, discussed above.
  • Volatile media include dynamic memory, such as main memory of such a computer platform.
  • Physical transmission media include coaxial cables; copper wire and fiber optics, including the wires that comprise a bus within a computer system.
  • Carrier-wave transmission media can take the form of electric or electromagnetic signals, or acoustic or light waves such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • Common forms of computer-readable media therefore include, for example: a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, less commonly used media such as punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave transporting data or instructions, cables or links transporting such a carrier wave, or any other medium from which a computer can read programming code and/or data.
  • Many of these forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to a processor for execution.
  • an exemplary mask optimization unit may contain a processor 1000 which receives input from an input unit 1003 .
  • Processor 1000 may be a conventional microprocessor or may be a specially designed processing unit, such as an EEPROM or EPROM or a fabricated integrated circuit.
  • Input 1003 may be any type of electronic input device, such as a keyboard or a mouse, or may be a memory or internet connection.
  • Processor 1000 preferably retrieves stored protocols from ROM 1002 and RAM 1001 , such as protocols to implement the processing illustrated in FIGS. 2-6, and stores information on RAM 1001 .
  • the calculated results of processor 1000 may be displayed on display 1004 and may be provided to a mask fabrication unit.

Abstract

An illumination source is optimized by changing the intensity and shape of the illumination source to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a small intensity range. An optimum mask may be determined by changing the magnitude and phase of the diffraction orders to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a small intensity range. An optimal mask may be used to create a CPL mask by assigning areas of minimum transmission in an optimum transmission mask a −1, and areas of maximum transmission a +1. Primitive rectangles having a size set to a minimum feature size of a mask maker are assigned to the located minimum and maximum transmission areas and centered at a desired location. The edges of the primitive rectangle are varied to match optimal diffraction orders O(m,n). The optimal CPL mask OCPL(X,Y) is then formed.

Description

  • The present invention claims priority from U.S. provisional patent application No. 60/458,365, filed on Mar. 31, 2003, entitled “SOURCE AND MASK OPTIMIZATION.” U.S. provisional patent application No. 60/458,365, filed on Mar. 31, 2003 is herein incorporated by reference in its entirety, including an incorporation of a power point display titled “Source And Mask Optimization For Memory” attached thereto and incorporated by reference in its entirety therein, a power point display titled “Illumination Optimization” also attached thereto and incorporated by reference in its entirety therein, and color drawings labeled FIGS. 1-29 attached thereto.[0001]
  • FIELD OF THE INVENTION
  • The field of the invention relates generally to a method and program product for optimizing illumination source and mask features for microlithography. [0002]
  • BACKGROUND
  • Lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, the photolithographic mask may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising one or more dies) on a substrate (silicon wafer) that has been coated with a layer of radiation-sensitive material (resist). In general, a single wafer will contain a whole network of adjacent target portions that are successively irradiated via the projection system, one at a time. In one type of lithographic projection apparatus, each target portion is irradiated by exposing the entire mask pattern onto the target portion in one go; such an apparatus is commonly referred to as a wafer stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, each target portion is irradiated by progressively scanning the mask pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the substrate table parallel or anti-parallel to this direction. Since, in general, the projection system will have a magnification factor M (generally <1), the speed V at which the substrate table is scanned will be a factor M times that at which the mask table is scanned. More information with regard to lithographic devices as described herein can be gleaned, for example, from U.S. Pat. No. 6,046,792, incorporated herein by reference. [0003]
  • In a manufacturing process using a lithographic projection apparatus, a mask pattern is imaged onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the imaged features. This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC. Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book [0004] Microchip Fabrication: A Practical Guide to Semiconductor Processing, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4, incorporated herein by reference.
  • For the sake of simplicity, the projection system may hereinafter be referred to as the “lens”; however, this term should be broadly interpreted as encompassing various types of projection systems, including refractive optics, reflective optics, and catadioptric systems, for example. The radiation system may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, and such components may also be referred to below, collectively or singularly, as a “lens”. Further, the lithographic apparatus may be of a type having two or more substrate tables (and/or two or more mask tables). In such “multiple stage” devices the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures. Twin stage lithographic apparatus are described, for example, in U.S. Pat. No. 5,969,441 and WO 98/40791, incorporated herein by reference. [0005]
  • The photolithographic masks referred to above comprise geometric patterns corresponding to the circuit components to be integrated onto a silicon wafer. The patterns used to create such masks are generated utilizing CAD (computer-aided design) programs, this process is often being referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create functional masks. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way. The design rule limitations are typically referred to as “critical dimensions” (CD). A critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes. Thus, the CD determines the overall size and density of the designed circuit. [0006]
  • Various techniques exist to achieve illumination optimization for photolithography. Various mask optimization techniques also have been known. However, currently illumination optimization and mask optimization are not generally linked. U.S. Pat. No. 6,563,566 to Rosenbluth et al. discloses to perform illumination optimization and mask optimization through a series of calculations which attempt to linearize the optimization of the mask transmission. Rosenbluth discloses to maximize the minimum NILS (normalized image log slope) and to select various constraints to be used in the calculations. Rosenbluth also recognizes that the calculations may be limited relying on the symmetry of a mask. However, the linearization of the mask transmission used by Rosenbluth requires using several approximations in the calculations, instead of the actual imaging equations themselves, which produce errors in implementing a mask to form a desired image. The linearization of the mask transmission also requires the use of a significant number of variables, which requires significant computation time to perform the calculations. [0007]
  • As logic feature sizes decrease, there is a need to provide mask implementations that precisely form a desired image with minimum computational time. [0008]
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, a method for optimizing an illumination source for a mask illumination may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range. [0009]
  • In accordance with the present invention, a method for determining an optimal mask may comprise the steps of: determining optimum diffraction orders of an ideal mask; obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and determining an optimal mask based on the optimal transmission mask, wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range. [0010]
  • In accordance with the present invention, a method of obtaining an optimum source and an optimum mask may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; and simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0011]
  • In accordance with the present invention, a method of optimizing a placement of transmission and phase shifting features on a mask may comprise the steps of: obtaining optimal mask transmission characteristics based on optimum diffraction orders of the mask; locating areas of maximum transmission and minimum transmission; assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission; varying edges of each primitive area to match optimal diffraction orders, wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask. [0012]
  • In a method of the present invention, the step of obtaining optimal mask transmission characteristics may include a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation: [0013] m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00001
  • where m is the number of horizontal diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0014] max is a radial extent of the distribution of a beam of light from the illumination source.
  • In a method of the present invention, the step of obtaining optimal mask transmission characteristics may include a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation: [0015] n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00002
  • where n is the number of vertical diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0016] max is a radial extent of the distribution of a beam of light from the illumination source.
  • In accordance with the present invention a computer readable medium may contain instructions for a computer to perform a method for optimizing an illumination source for a mask illumination which may comprise the steps: of providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range. [0017]
  • In accordance with the present invention a computer readable medium may contain instructions for a computer to cause performance of a method for determining an optimal mask which may comprise the steps of: determining optimum diffraction orders of an ideal mask; obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and determining an optimal mask based on the optimal transmission mask, wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range. [0018]
  • In accordance with the present invention a computer readable medium may contain instructions for a computer to cause performance of a method of obtaining an optimum source and an optimum mask which may comprise the steps of: providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern; selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern; determining an intensity and image log slope of illumination at each fragmentation point; and simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0019]
  • In accordance with the present invention a computer readable medium may contain instructions for a computer to cause performance of a method of optimizing a placement of transmission and phase shifting features on a mask which may comprise the steps of: obtaining optimal mask transmission characteristics based on optimum diffraction orders of the mask; locating areas of maximum transmission and minimum transmission; assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission; varying edges of each primitive area to match optimal diffraction orders, wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask. [0020]
  • In accordance with the present invention a computer readable medium may contain instructions for a computer to cause optimizing a placement of transmission and phase shifting features on a mask comprising the steps of: obtaining optimal mask transmission characteristics; locating areas of minimum transmission; assigning a primitive area as an area centered on an area of minimum transmission; and varying edges of the primitive area to match optimal diffraction orders, wherein the primitive area has a minimum size which is substantially equal to a minimum feature size of the mask. [0021]
  • A computer readable medium may further contain instructions for a computer to cause the steps of: locating an area of maximum transmission; assigning a transmission primitive area as an area centered on an area of maximum transmission; varying edges of the transmission primitive area to match optimal diffraction orders, wherein the transmission primitive area has a minimum size which is substantially equal to a minimum feature size of the mask. [0022]
  • In a computer readable medium of the present invention, the step of obtaining optimal mask transmission characteristics may include a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation: [0023] m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00003
  • where m is the number of horizontal diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0024] max is a radial extent of the distribution of a beam of light from the illumination source.
  • In a computer readable medium of the present invention, the step of obtaining optimal mask transmission characteristics may include a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation: [0025] n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00004
  • where n is the number of vertical diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0026] max is a radial extent of the distribution of a beam of light from the illumination source.
  • In accordance with the present invention, an apparatus for optimizing an illumination source for a mask illumination may comprise: an input unit which inputs characteristics of an illumination device; and a processing unit which is configured to change an intensity and shape of an illumination to form an image in an image plane that maximizes the minimum image log slope at user selected fragmentation points. [0027]
  • In accordance with the present invention, an apparatus for optimizing an mask may comprise: an input unit which inputs a desired image pattern; and a processing unit which is configured to change a magnitude and phase of diffraction orders to form an image in the image plane that maximizes the minimum image log slope at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0028]
  • In accordance with the present invention, an apparatus for obtaining an optimum source and an optimum mask may comprise: an input unit which accepts user inputs; and a processing unit configured to simultaneously change an intensity and shape of an illumination source and change a magnitude and phase of diffraction orders to form an image in an image plane which maximizes a minimum image log slope at user selected fragmentation points while forcing an intensity at the fragmentation points to be within a predetermined intensity range. [0029]
  • In accordance with the present invention, an apparatus for optimizing a placement of transmission and phase shifting features on a mask comprising: an input unit which inputs characteristics of an illumination device; and a processing unit which is configured to obtain optimal mask transmission characteristics based on optimum diffraction orders of the mask, locate areas of minimum transmission and maximum transmission, assign primitive areas as areas centered on an area of minimum transmission or an area of maximum transmission, and vary edges of the primitive area to match optimal diffraction orders, wherein the primitive areas have a minimum size which is substantially equal to a minimum feature size of the mask. [0030]
  • In an apparatus of the present invention, the optimal mask transmission characteristics may include horizontal diffraction orders of an optimum mask, and the number of horizontal diffraction orders is determined according to the equation: [0031] m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00005
  • where m is the number of horizontal diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0032] max is a radial extent of the distribution of a beam of light from the illumination source.
  • In an apparatus of the present invention, the optimal mask transmission characteristics may include vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation: [0033] n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
    Figure US20040265707A1-20041230-M00006
  • where n is the number of vertical diffraction orders; λ is a wavelength of the illumination source; NA is a numerical aperture of the projection optics; and σ[0034] max is a radial extent of the distribution of a beam of light from the illumination source.
  • The present invention provides an advantage of a fast computation of mask parameters by limiting the number of mask optimization variables considered. The number of illumination optimization variables may be reduced by relying on the mask symmetry. The number of mask optimization variables may also be reduced by optimizing the diffraction orders of the mask rather than performing computations with the mask transmission. Optimization of the mask diffraction orders is a non-linear process; hence, reducing the number of variables decreases computation time. Furthermore the mask transmission is optimized by performing a non-linear optimization of the diffraction orders followed by a linear optimization of selecting quantized mask transmissions to equal the optimal diffraction orders.[0035]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and form a part of the specification, together with the description serve to explain the principles of the invention. In the drawings: [0036]
  • FIGS. 1A and 1B illustrate an exemplary illumination using Abbe imaging according to the present invention; [0037]
  • FIG. 2 illustrates an exemplary process for obtaining illumination optimization according to the present invention; [0038]
  • FIG. 3 provides a graphical representation of the generation of transmission cross coefficients (TCC) according to the present invention; [0039]
  • FIG. 4 illustrates an exemplary process for performing a mask optimization according to the present invention; [0040]
  • FIGS. 5A and 5B illustrate additional mask constraints that may be considered in optimizing a mask according to the present invention; [0041]
  • FIG. 6 illustrates an exemplary process for converting an optimal transmission mask to a CPL mask according to the present invention; [0042]
  • FIG. 7A illustrates an exemplary DRAM mask pattern and FIG. 7B illustrates an optimum illumination source used with the mask pattern of FIG. 7A; [0043]
  • FIGS. 8A-8C illustrate diffraction orders of various masks to illustrate the diffraction orders of a CPL mask in accordance with the present invention; [0044]
  • FIGS. 9A-9C illustrate the application of the optimal illumination to an optimal mask (FIG. 9A); [0045]
  • FIGS. 10A and 10B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM (phase shifted mask). [0046]
  • FIGS. 11A and 11B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM using hexapole and annular illumination, respectively; [0047]
  • FIG. 12A illustrates an exemplary “Short Brickwall” pattern and FIG. 12B illustrates and an illumination source which has been optimized to produce the pattern according to the principles of the present invention; [0048]
  • FIGS. [0049] 13A-C illustrate the diffraction orders of the exemplary mask in FIG. 12A;
  • FIGS. [0050] 14A-D illustrate the use of primitive edges to create an optimal mask according to the principles of the present invention;
  • FIGS. 15A and 15B illustrate aerial image comparisons using the Short Brickwall pattern of FIG. 12A in a CPL mask and an AttPSM mask; [0051]
  • FIGS. 16A and 16B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask; [0052]
  • FIGS. 17A and 17B illustrate aerial image comparisons between an 8% AttPSM exposed with a dipole illumination in the top row and an annular illumination in the bottom row; [0053]
  • FIGS. 18A illustrate the principles of the present invention as applied to a rectangular contact array mask and FIG. 18B illustrates an illumination source which has been optimized to illuminate the patter of FIG. 18A in accordance with the present invention; [0054]
  • FIGS. [0055] 19A-C illustrate the diffraction orders of the exemplary mask in FIG. 18A;
  • FIGS. [0056] 20A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention;
  • FIGS. 21A and 21B illustrate an aerial image comparison using the rectangular contact array mask of FIG. 19A; [0057]
  • FIGS. 22A and 22B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask; [0058]
  • FIGS. 23A and 23B illustrate aerial image comparisons between an 8% AttPSM exposed with dipole illumination in the top row and annular illumination in the bottom row; [0059]
  • FIGS. 24A illustrates a Staggered Rectangular Contact Array and FIG. 24B illustrates and an illumination source which has been optimized to produce the pattern according to the principles of the present invention; [0060]
  • FIGS. [0061] 25A-C illustrate the diffraction orders of the exemplary mask in FIG. 24A;
  • FIGS. [0062] 26A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention;
  • FIGS. 27A and 27B illustrate aerial image comparisons using a CPL mask and an AttPSM mask; [0063]
  • FIGS. 28A and 28B illustrate the trade-off between obtaining an optimal transmission and using a CPL mask; [0064]
  • FIGS. 29A and 29B illustrate aerial image comparisons between an 8% AttPSM exposed with quad in the top row and annular illumination in the bottom row; [0065]
  • FIG. 30 schematically depicts a lithographic projection apparatus suitable for use with a mask designed with the aid of the current invention; and [0066]
  • FIG. 31 illustrates an exemplary mask optimization processing unit in accordance with the present invention.[0067]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Disclosed is a method for optimizing the illumination source and the mask for creating a desired pattern in the image plane. In a preferred implementation, an image is formed in the image plane with the highest image log slope (ILS) in the optimization process at user selected fragmentation points. The image may be optimized by changing the intensity and shape of the illumination source and by changing the magnitude and phase of the diffraction orders. In a preferred implementation, the illumination source is first optimized and then the mask diffraction orders are optimized; however, the illumination source and mask diffraction orders can be optimized simultaneously. [0068]
  • Since the ILS is a non-linear quantity, the optimization of the illumination source and the mask is a non-linear optimization. Those of skill in the art appreciate that in a non-linear optimization the computational time is a function (e.g. a geometric function) of the number of variables. Therefore to speed the computational time, the number of variables must be minimized. In the illumination source optimization, in accordance with the invention, the number of variables may be reduced by taking advantage of the symmetry of mask. For example, if the mask is symmetric with respect to the vertical and horizontal axes, the illumination source will be symmetric with respect to the vertical and horizontal axes, allowing optimization to be achieved using a quarter of the illumination source. [0069]
  • In the mask optimization in accordance with the invention, the number of variables may be reduced by performing an optimization of the diffraction orders in the spatial frequency domain. The mask optimization is preferably performed in the spatial frequency domain rather than the spatial domain because the lens (e.g. the entrance pupil of the projection optics) and illumination source limit the number of diffraction orders which are used to form the projected image. In addition to optimizing the ILS at user selected fragmentation points, the shape of the image formed by the mask must match the desired pattern. The matching is preferably done by adding a constraint that intensity at all of the fragmentation points are the same or within a predetermined range of deviation of each other, e.g. a ±2% deviation. Preferably, after optimizing the mask diffraction orders, the optimal mask transmission may be calculated by taking the inverse Fourier transform of the mask diffraction orders. [0070]
  • In the optimal mask transmission, the electric field transmission has a continuous magnitude from 0 to 1 and a continuous phase from −180 degrees to +180 degrees. Since the mask has a limited number of electric field transmissions, the optimal transmission is preferably quantized by the limited number of transmissions. This quantization is preferably done by selecting quantized mask transmission areas such that the diffraction orders of the quantized mask substantially equal the optimal diffraction orders. Because the Fourier transform is a linear calculation, selecting quantized mask transmission areas such that the quantized mask diffraction orders equal the optimal diffractions is a linear process that can be calculated quickly. [0071]
  • FIGS. 1A and 1B illustrate an illumination process using Abbe imaging. As illustrated in FIG. 1A, each [0072] source point 10 may be illuminated by selectively positioning an illumination source (not shown) at the desired source points 10. The total image intensity is the summation of the intensity from each of the individual source points 10. The illumination patterns are real (in a mathematical sense), hence, the illumination must have even symmetry. Preferably, the source points are chosen to enhance the normalized image log slope (NILS) at fragmentation points on the image plane. A fragmentation point is commonly known to be a point on the image plane which is smaller than λ/2NA.
  • FIG. 1B illustrates the use of the illumination source with a typical DRAM mask pattern (referred to as a “Brickwall”). FIG. 7A illustrates a “Long Brickwall” pattern that may be used. In FIG. 1B, portions of the resulting image are shown from illuminating a Brickwall pattern on a mask of a 190 nm pitch with an illumination of λ/800 with a numerical aperture (NA) at the entrance pupil of the projection optics at 0.8. As can be seen in FIG. 1B, the light areas represent an image intensity that enhances the NILS while the dark areas represent an image intensity that degrades the NILS. The illumination source points that provide the best result, e.g. provide the most enhanced NILS, are preferably selected to optimize the shape of the illumination source. [0073]
  • An exemplary process for performing the illumination source optimization is illustrated in FIG. 2. The illumination source optimization in this process is preferably linear with non-linear constraints. Preferably, the mask transmission magnitude and phase is optimized according to the present invention. [0074]
  • As illustrated in step S[0075] 1 of FIG. 2, the user preferably specifies the selected cell (e.g. region on the desired pattern) and the fragmentation points (x,y) (see FIG. 9A) to be evaluated. In the process, illustrated in step S2, a microprocessor preferably calculates the intensity and the NILS at each illuminator point (α,β) and at each fragmentation point (x,y), i.e., the microprocessor calculates I(α,β; x,y) and NILS(α,β; x,y). As also illustrated in step S3 of FIG. 2, the microprocessor utilizes specifications of the illumination system, such as an illumination system from Zeiss (identified as “Zeiss Specs” in FIG. 2), to perform a Gaussian convolution, to determine the minimum pupil fill (e.g., 10%), the minimum ring width (e.g. 0.2) and to force the intensity to a predetermined value. An optimization process is illustrated in step S4, in which illumination points (α,β) that maximize the minimum NILS at each fragmentation point (x,y) are selected. As illustrated in step S5, the intensity I(α,β; x,y) and NILS(α,β; x,y) at each illumination point and each fragmentation point are preferably summed with the selected illumination points that maximize the minimum NILS at each fragmentation point. The optimal illumination source to maximize the NILS at each fragmentation point (x,y) at a desired intensity is determined, as illustrated by step S6.
  • Accordingly, in the preferred implementation of the process in FIG. 2, discussed above, the intensity and shape of the illumination may be changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0076]
  • FIG. 3 illustrates an exemplary mask optimization process according to the present invention. A technique known as Hopkins Imaging may be used, in which the log slope is attempted to be maximized by changing the pupil to maximize the NILS. Those of skill in the art will appreciate that Abbe Imaging may also be used. Those of skill in the art appreciate that in Abbe Imaging, an image is created for each point and the images are added up and integrated over the source last. Abbe Imaging is generally considered to be spatially incoherent. Those of skill in the art appreciate that in Hopkins Imaging the integration is over the source first and a transfer function is obtained. It may be easier to obtain a mask optimization once from the transmission cross coefficients (TCC) and describe the entire scanner and stepper optics. For mask optimization, the Eigen values decay rapidly to represent the TCC with a few Eigen functions. This speeds computation time. [0077]
  • FIG. 3 also illustrates the creation of the TCC using Hopkins imaging. The TCC is an autocorrelation of the illumination pupil with the projection pupil. FIG. 3 illustrates the autocorrection of the illumination pupil centered at (0,0) with the projection pupil centered at [0078] ( m λ P x NA , n λ P y NA )
    Figure US20040265707A1-20041230-M00007
  • and with the complex conjugate of the projection pupil centered at [0079] ( - p λ P x NA , - q λ P y NA ) ,
    Figure US20040265707A1-20041230-M00008
  • where NA represents the numerical aperture of the projection optics, and λ represents the wavelength of the illumination source. [0080]
  • In performing the Hopkins Imaging, the integration occurs over the source first to form the image transfer cross coefficients (TCC), illustrated as TCC (m,n,p,q) in FIG. 3. The TCC is an autocorrelation of the illumination pupil with the projection pupil and is a four dimensional (4-D) function. The next step is to diagonalize the TCC to reduce the problem to a sum of two dimensional functions. These two dimensional functions are a set of orthogonal eigenfunctions in which each eigenfunction is weighted by the eigenvalue, i.e., eigenfunctions with higher eigenvalues have a larger impact on the image. These eigenfunctions form a set of image kernels which are used in the calculation of the image in the object plane. The diagonalization operation may be performed by any known functions, such as singular value decomposition used in NTI Nanosurfer, or in MG Calibre. Those of skill in the art will also appreciate that a calibrated MT Kernel can also be used. [0081]
  • FIG. 4 illustrates an exemplary process for performing a mask optimization according to the present invention to obtain an ideal/optimum mask. Ideal mask transmission optimization is non-linear, however the conversion of the ideal mask transmission to a CPL mask implementation is a linear process. In the process illustrated in FIG. 4, the ideal mask is optimized in the frequency domain to speed convergence since the optimization is non-linear. As illustrated in step S[0082] 21 of FIG. 4, the user selects the cell (e.g. region on the desired pattern) and fragmentation points (x,y) to maximize the NILS and to minimize and maximize intensity. The microprocessor then calculates the TCC (m,n,p,q) (step S22), diagonolizes the TCC into N kernels λφ(m,n) (step S23), and calculates the image intensity to each Kernel, i (step S24). The calculation of the image intensity to each Kernel, i may be performed according to equation 1.
  • I i(x,y)=|ℑ−1i |O(m,n) ∘φi(m,n)|}|2  Eq. 1
  • In the preferred implementation, the mask transmission range is chosen for CPL mask optimization. The transmission is allowed to be above 1 or below −1 because of the Gibb's phenomenon for image reconstruction after low pass filtering. The mask transmission range can be further modified for an attenuating phase shift mask (PSM). For an attenuating PSM (AttPSM), the mask transmission manufacturable range becomes ℑ[0083] −1{O(m,n)}≦1.25 and ℑ−1{O(m,n)}≧−1.25{square root}{square root over (T)} where T is the transmittance of the phase shift mask.
  • The microprocessor also receives optimization constraints that may be used to force intensity in the image plane to a predetermined value, minimize intensity below a predetermined value, maximize intensity above a predetermined value, or conform with a mask manufacturability constraint, as illustrated in step S[0084] 27. The predetermined value is preferably selected as the intensity which provides the highest image log slope (ILS). An exemplary optimization constraint, which may limit the mask transmission to a manufacturable range, is set forth in equation 2.
  • |ℑ−1 {O(m, n)}|≦1.25  Eq. 2
  • The microprocessor preferably performs an optimization of the mask transmissions by changing the diffraction orders of the mask O(m,n) to maximize the NILS at the fragmentation points (x,y), as illustrated in step S[0085] 25, such as by changing the magnitude and phase of the diffraction orders. The resulting diffraction orders of the optimization of step S25 is summed with the calculated image intensity of each Kernel, i, as illustrated in step S26, and the ideal optimum mask diffraction orders O(m,n) are provided, as illustrated in step S28. The inverse Fourier transform is then performed to convert the calculations to the spatial domain from the frequency domain, as illustrated in step S29, to obtain the optimal transmission mask o(x,y) in the spatial domain, as illustrated in step S30.
  • Additional mask constraints may be considered in optimizing a mask as illustrated in FIGS. 5A and 5B. The diffraction order may be evaluated by a real component and an imaginary component and may be represented by [0086] equation 3.
  • O(m,n)=O*(−m,−n)  Eq. 3
  • [0087] Equation 3 guarantees that the mask is real in a mathematical sense. A real mask has transmission phases of 0° and 180°.
  • The number of real diffraction orders, x, may be characterized by [0088] equation 4. x = ( m + 1 ) 2 ( n + 1 ) 2 + ( m - 1 ) 2 ( n - 1 ) 2 Eq . 4
    Figure US20040265707A1-20041230-M00009
  • The number of imaginary diffraction orders, y, may be characterized by [0089] equation 5. y = ( m + 1 ) 2 ( n + 1 ) 2 + ( m - 1 ) 2 ( n - 1 ) 2 - 1 Eq . 5
    Figure US20040265707A1-20041230-M00010
  • However, a mask must be real, which restricts the number of orders to optimize to x+y, and the entrance pupil of the projection optics limits the number of diffraction orders that may be used by blocking the highest diffraction orders. Hence, the maximum number of horizontal diffraction orders that may be used, m, may be represented by equation 6. [0090] m = floor [ P x ( σ max + 1 ) NA λ ] + 1 Eq . 6
    Figure US20040265707A1-20041230-M00011
  • Where m is the number of horizontal diffraction orders, σ[0091] max is a radial extent of the distribution of a beam of light from the illumination source, λ is a wavelength of the illumination source, Px is the pitch of the repetitive cell in the x direction, and NA is a numerical aperture of the entrance pupil of the projection optics.
  • The number of vertical diffraction orders that may be used, n, may be represented by equation 7. [0092] n = floor [ P y ( σ max + 1 ) NA λ ] + 1 Eq . 7
    Figure US20040265707A1-20041230-M00012
  • where n is the number of vertical diffraction orders, σ[0093] max is a radial extent of the distribution of a beam of light from the illumination source, λ is a wavelength of the illumination source, Py is the pitch of the repetitive cell in the y direction, and NA is a numerical aperture of the entrance pupil of the projection optics.
  • In the preferred implementation, the definition for x and y of the pitch P is the axes of the Cartesian coordinate system in which the x axis is orthogonal to the y axis. However, the coordinate system can be any linear coordinate system in which any two lines, g[0094] 1 and g2, intersecting at an origin, describe the linear coordinate system, i.e. g1, and g2, need not be necessarily orthogonal. In such a non-orthogonal coordinate system Px describes the pitch along the axis g1 and Py describes the pitch along the axis g2.
  • Accordingly, in the preferred implementation of the process illustrated in FIG. 4, as discussed above, the magnitude and phase of the diffraction orders may be changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0095]
  • The illumination source optimization, illustrated in FIG. 2, may be simultaneously performed with the mask optimization, illustrated in FIG. 4. Accordingly, the intensity and shape of the illumination and the magnitude and phase of the diffraction orders may be simultaneously changed to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range. [0096]
  • The ideal optimal transmission mask determined in the exemplary process of FIG. 4 maybe converted to be implemented in an actual mask, such as a CPL mask, as illustrated by the process illustrated in FIG. 6. As shown in step S[0097] 31 in FIG. 6, an ideal optimal transmission mask, which may be determined according to the process illustrated in FIG. 4, is provided to be converted. In the process, a dark field mask is preferably used to start, as illustrated in step S32. Then areas of minimum transmission are located and assigned a −1 (step S33), and areas of maximum transmission are also located and assigned a +1 (step S34). Primitive rectangles preferably having a size set to a minimum feature size of a mask maker are assigned to the located minimum transmission areas and centered at a desired location (step S35). Likewise, primitive rectangles are assigned for the located areas of maximum transmission and centered (step S36). With the assigned −1 and +1 values, the diffraction orders needed for optimization start at - 1 * floor [ P x ( σ max + 1 ) NA λ ]
    Figure US20040265707A1-20041230-M00013
  • and end at [0098] + 1 * floor [ P x ( σ max + 1 ) NA λ ] .
    Figure US20040265707A1-20041230-M00014
  • Hence, the maximum number of horizontal diffraction orders that may be used, m, may be represented by the equation: [0099] m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1 Eq . 8
    Figure US20040265707A1-20041230-M00015
  • and the equation: [0100] n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1 Eq . 9
    Figure US20040265707A1-20041230-M00016
  • may represent the maximum number of vertical diffraction orders, n that may be used. As illustrated in step S[0101] 37, the −1 and +1 rectangle edges may be varied to match optimal diffraction orders O(m,n). The optimal CPL mask OCPL(x,y) may be formed as illustrated in step S38.
  • In the process illustrated in FIG. 6, the mask conversion is linear. However, CPL edge effects can also be taken into account by modifying the diffraction order through a perturbational model. In the perturbational model, edges of the mask are replaced with local areas that have transmission of neither 0, +1, or −1. These areas allow a scalar mask to emulate the vector edge effects from a CPL mask. Those of skill in the art will appreciate that many perturbational models may be used, such as those disclosed by J. Tirapu-Azpiroz, E. Yablonovitch, “Fast evaluation of Photomask, Near-Fields in Sub-Wavelength 193 nm Lithography,” Proc of the SPIE, vol. 5377 (2004), and K. Adam, A. Neureuther, “Simplified Models For Edge Transitions In Rigorous Mask Modeling,” Proc. of the SPIE, vol. 4346 (2001), pp. 331-344. [0102]
  • FIG. 7A illustrates an exemplary DRAM mask pattern, commonly referred to as a Long Brickwall. FIG. 7B illustrates an optimum illumination source for the mask of FIG. 7A obtained according to the principles of the present invention. The illumination source and CPL mask are optimized for λ/800, NA=0.8 and 190 nm pitch (k1=0.306). The source illumination was optimized for the long brickwall using the algorithm outlined in FIG. 2. The illumination poles on the y axis help improve the NILS at the end of the long brick while the illumination poles on the x axis help improve the NILS in between the bricks. [0103]
  • FIGS. 8A-8C illustrate diffraction orders of various masks. FIG. 8A illustrates the original diffraction orders of the mask utilizing AttPSM. FIG. 8B illustrates the ideal optimal diffraction orders determined according to the process illustrated in FIG. 4 of the present invention. FIG. 8C illustrates the implementation of the optimal diffraction orders with a CPL mask, according to the process illustrated in FIG. 6 of the present invention. The optimal diffraction orders force more energy into the higher orders (±2,0) and (±1, ±3). Those of skill in the art will appreciate that a CPL mask can represent the optimal diffraction orders almost identically. [0104]
  • FIGS. 9A-9C illustrate the application of the optimal illumination to an optimal mask (FIG. 9A). In FIG. 9A the “*” points identify the NILS optimization points, the “+” points identify the points at which intensity should be maximized, and the “−” points identify the points at which intensity should be minimized. The NILS optimization attempts to make the slope of the intensity at the image plane as large as possible to obtain a high contrast in printing the mask features. The NILS is preferably within a set value which is suitable for the determined NILS optimization points along a mask feature. Those of skill in the art will appreciate that a CPL mask has three phase values, +180, 0 and −180 degrees. The CPL mask illustrated in FIG. 8C is formed by quantizing the optimal mask transmission, to form a quantized CPL mask transmission. [0105]
  • FIGS. 10A and 10B and [0106] 11A and 11B illustrate aerial image comparisons between a CPL mask and an 8% AttPSM (attenuated phase shifted mask). In FIG. 10A the CPL mask was exposed with hexapole illumination. In FIG. 10B the PSM mask was exposed with hexapole illumination. As illustrated in FIGS. 10A and 10B, the contrast and the NILS is much better in between the lines with the CPL mask than the PSM mask. It can be seen that CPL has a production worthy process margin while the 8% solution is less favorable. However, CPL has a little necking which may be correctable by adding more optimization points.
  • Also, as illustrated in FIG. 11A, the hexapole illuminator for 8% Att PSM also shows NILS improvement over annular illumination shown in FIG. 11B. However, a larger process margin may be gained by using CPL with the hexapole illuminator. [0107]
  • Optimizing the mask in the frequency domain limits the size of the optimization problem and speeds convergence. Reconstruction of the optimal CPL mask from the optimal diffraction orders is a linear problem in the frequency domain. Process window improvement may be optimal with the optimal diffraction orders for all structures, which may minimize the effects of focus and exposure variations in the scanner and in the wafer topography. Process window as used herein is the amount of exposure latitude (EL) as a function of depth of focus (DOF). Process window improvement with the optimal CPL may also be optimal. CPL may be used to improve the process window at a low k1 factor. The k1 factor as used herein may be defined by CD*NA/λ, where CD is the critical dimension of the feature to be printed, and λ is the wavelength of the illumination source. Additionally, illumination optimization does not need to run first. Using the present invention the mask can be optimized to an existing OAI (quasar, cquad (a quadrupole illuminator with poles on the Cartesian x and y axes, such as a quasar illuminator rotated 45 degrees), annular illumination). Also, in the present invention, the mask can be optimized for a single or double exposure (1 or 2 masks) or for a 2 tone mask (binary or AttPSM). Most ideal transmission masks can be represented with CPL in accordance with the present invention. [0108]
  • FIGS. 12A and 12B illustrate an exemplary “Short Brickwall” pattern in which the source and the CPL mask have been optimized according to the principles of the present invention. FIG. 12B illustrates an optimum illumination source for the pattern of FIG. 12A which has been obtained according to the principles of the present invention as illustrated in FIG. 2. The source and the CPL mask were optimized for λ/800 NA=0.8 and 190 nm pitch. Once again, the contrast and the NILS can be observed. [0109]
  • FIGS. [0110] 13A-C illustrate the diffraction orders of the exemplary mask in FIG. 12A. FIG. 13A illustrates the original diffraction order with the AttPSM mask, FIG. 13B illustrates the ideal optimal diffraction orders determined according to the process illustrated in FIG. 4 of the present invention. FIG. 13C illustrates an implementation of the optimal diffraction orders with a CPL mask according to the process illustrated in FIG. 4 of the present invention. Those of skill in the art will appreciate that the optimal diffraction orders force more energy into (±1, ±1) areas. As illustrated by FIGS. 13B and 13C, the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. [0111] 14A-D illustrate the use of primitive edges to create an optimal mask according to the principles of the present invention. FIG. 14A illustrates the exemplary Short Brickwall mask of FIG. 12A. FIG. 14B illustrates the optimal mask transmission of the mask in FIG. 14A. FIG. 14C illustrates using an arcuate modeling technique to map the primitive edges more closely with the optimal mask transmission. FIG. 14D illustrates using primitive rectangles to map the optimal mask transmission. As illustrated by FIGS. 14C and 14D, two CPL implementations may lead to substantially the same diffraction order spectrum. Primitive edges are not critical but using primitives may lead to a smaller figure count and possibly allow an easier inspection of the mask.
  • FIGS. 15A-17B illustrate aerial image comparisons using the Short Brickwall of FIG. 12A. In FIG. 15, a CPL mask and an 8% AttPSM mask are both exposed with dipole illumination. As seen in FIGS. 15A and 15B, the contrast and NILS is much better in between the lines and the end of the line with CPL than the PSM mask. The CPL mask has production worthy process margins while the 8% solution does not appear to be as favorable. As also illustrated in FIG. 15A and 15B, the CPL mask maintains the area better than 8% AttPSM. [0112]
  • FIGS. 16A and 16B illustrates the trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 16A and 16B, there is almost no difference between optimal transmission and CPL representation. Hence, the CPL mask offers a favorable solution for mask optimization according to the principles of the present invention. [0113]
  • FIGS. 17A illustrates aerial image comparisons between an 8% AttPSM exposed with dipole illumination and FIG. 17B illustrates using annular illumination. As shown in FIG. 17A and 17B, the NILS is better with dipole illumination in comparison to annular illumination. Annular illumination has better NILS at the end of line (EOL). However, the NILS probably is not large enough with annular illumination to print bricks without bridging. [0114]
  • FIGS. 18A-23B illustrate the principles of the present invention as applied to a rectangular contact array mask, depicted in FIG. 18A. In FIGS. 18A and 18B, with the source and the CPL Mask optimized for λ/800 NA=0.8 and 190 nm pitch. Once again, the contrast and the NILS can be observed. [0115]
  • FIGS. [0116] 19A-C illustrate the diffraction orders of the exemplary mask in FIG. 18A. FIG. 19A illustrates the original diffraction order with the AttPSM mask, FIG. 19B illustrates the optimal diffraction order determined by the principles of the present invention, and FIG. 19C illustrates the optimal diffraction order implemented with a CPL mask. Those of skill in the art will appreciate that the optimal diffraction orders force more energy into the higher orders (±1, 0), (0, ±), and (0, ±2). As illustrated by FIGS. 19B and 19C, the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. [0117] 20A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention. FIG. 20A illustrates the exemplary Rectangular Contact Array mask of FIG. 19A. FIG. 20B illustrates the optimal mask transmission of the mask in FIG. 20A. FIG. 20C illustrates using primitive rectangles to map the optimal mask transmission to create a quantized CPL mask.
  • FIGS. 21A-23B illustrate aerial image comparisons using the Rectangular Contact Array mask of FIG. 19A. In FIG. 21A, a CPL mask and in FIG. 21B an 8% AttPSM mask are both exposed with hexapole illumination. As seen in FIGS. 21A and 21B, the peak intensity and NILS are better with the CPL mask. The CPL mask has production worthy DOF (depth of focus) while the 8% AttPSM solution does not appear to have sufficient DOF to be as favorable. As also illustrated in FIGS. 21A and 21B, the CPL mask maintains the area better than the 8% AttPSM. [0118]
  • FIGS. 22A and 22B illustrates a trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 22A and 22B, there appears to be a slightly better NILS with optimal transmission reticle in comparison to CPL. [0119]
  • FIGS. 23A and 23B illustrates aerial image comparisons between an 8% AttPSM exposed with dipole illumination in the top row and annular illumination in the bottom row. As shown in FIGS. 23A and 23B, the peak intensity and NILS are better with hexapole illumination in comparison to annular illumination. The peak intensity with annular illumination may not be sufficient to print through focus. [0120]
  • FIGS. 24A-29B illustrate the principles of the present invention as applied to a Staggered Rectangular Contact Array, depicted in FIG. 24A. FIG. 24A and 24B illustrate an exemplary Staggered Rectangular Contact Array mask in which the source and CPL mask have been optimized according to the principles of the present invention. In FIGS. 24A and 24B, the source and CPL Mask were optimized for λ/800, NA=0.8 and 190 nm pitch. Once again, the contrast and the NILS can be observed. [0121]
  • FIGS. [0122] 25A-C illustrate the diffraction orders of the exemplary mask in FIG. 24A. FIG. 25A illustrates the original diffraction order with the AttPSM mask, FIG. 25B illustrates the optimal diffraction order determined by the principles of the present invention, and FIG. 25C illustrates the optimal diffraction order with a CPL implementation. Those of skill in the art will appreciate that the optimal diffraction orders force more energy into (0, +2) and (±1, ±1) areas. As illustrated by FIGS. 25B and 25C, the CPL mask can represent the optimal diffraction orders almost identically.
  • FIGS. [0123] 26A-C illustrate the use of primitive edges to create a quantized CPL mask according to the principles of the present invention. FIG. 26A illustrates the exemplary Staggered Rectangular Contact Array mask of FIG. 24A. FIG. 26B illustrates the optimal mask transmission of the mask in FIG. 24A. FIG. 26C illustrates using primitive rectangles to map the optimal mask transmission to create a quantized CPL mask. In FIG. 26A-C, 180 degree outriggers may be used at the sides of the contact array.
  • FIGS. 27A-29B illustrate aerial image comparisons using the Rectangular Contact Array mask of FIG. 24A. In FIGS. 27A and 27B, a CPL mask and an 8% AttPSM, respectively, mask are both exposed with quad illumination. As seen in FIG. 27A and 27B, the peak intensity and NILS are better with the CPL mask than the PSM mask. The CPL mask also has a greater exposure latitude and DOF in comparison to the 8% AttPSM mask. [0124]
  • FIGS. 28A and 28B illustrates the trade-off between obtaining an optimal transmission and using a CPL mask. As illustrated by FIGS. 28A and 28B, there is almost no difference between optimal transmission and the CPL mask representation. The CPL mask offers a favorable solution for mask optimization according to the principles of the present invention. [0125]
  • FIGS. 29A and 29B illustrates aerial image comparisons between an 8% AttPSM exposed with quad illumination in the top row and annular illumination in the bottom row. As shown in FIGS. 29A and 29B, the peak intensity and NILS are better with quad illumination than annular illumination. The peak intensity with annular illumination may not be sufficient to print through focus. [0126]
  • FIG. 30 schematically depicts a lithographic projection apparatus suitable for use with a mask designed with the aid of the current invention. The apparatus comprises: [0127]
  • a radiation system Ex, IL, for supplying a projection beam PB of radiation. In this particular case, the radiation system also comprises a radiation source LA; [0128]
  • a first object table (mask table) MT provided with a mask holder for holding a mask MA (e.g. a reticle), and connected to first positioning means for accurately positioning the mask with respect to item PL; [0129]
  • a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer), and connected to second positioning means for accurately positioning the substrate with respect to item PL; [0130]
  • a projection system (“lens”) PL (e.g. a refractive, catoptric or catadioptric optical system) for imaging an irradiated portion of the mask MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. [0131]
  • As depicted herein, the apparatus is of a transmissive type (i.e. has a transmissive mask). However, in general, it may also be of a reflective type, for example (with a reflective mask). Alternatively, the apparatus may employ another kind of patterning means as an alternative to the use of a mask; examples include a programmable mirror array or LCD matrix. [0132]
  • The source LA (e.g. a mercury lamp or excimer laser) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander Ex, for example. The illuminator IL may comprise adjusting means AM for setting the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the beam. In addition, it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam PB impinging on the mask MA has a desired uniformity and intensity distribution in its cross-section. [0133]
  • It should be noted with regard to FIG. 30 that the source LA may be within the housing of the lithographic projection apparatus (as is often the case when the source LA is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g. with the aid of suitable directing mirrors); this latter scenario is often the case when the source LA is an excimer laser (e.g. based on KrF, ArF or F[0134] 2 lasing). The illumination source intensity may also be made with a mirror array or an LCD. The current invention encompasses at least both of these scenarios.
  • The beam PB subsequently intercepts the mask MA, which is held on a mask table MT. Having traversed the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target portion C of the substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 27. However, in the case of a wafer stepper (as opposed to a step-and-scan tool) the mask table MT may just be connected to a short stroke actuator, or may be fixed. [0135]
  • The depicted tool can be used in two different modes: [0136]
  • In step mode, the mask table MT is kept essentially stationary, and an entire mask image is projected in one go (i.e. a single “flash”) onto a target portion C. The substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB; [0137]
  • In scan mode, essentially the same scenario applies, except that a given target portion C is not exposed in a single “flash”. Instead, the mask table MT is movable in a given direction (the so-called “scan direction”, e.g. the y direction) with a speed ν, so that the projection beam PB is caused to scan over a mask image; concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V=Mν, in which M is the magnification of the lens PL (typically, M=¼ or ⅕). In this manner, a relatively large target portion C can be exposed, without having to compromise on resolution. [0138]
  • The concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size. Emerging technologies already in use include EUV (extreme ultra violet) lithography that is capable of producing a 193 nm wavelength with the use of a ArF laser, and even a 157 nm wavelength with the use of a Fluorine laser. Moreover, EUV lithography is capable of producing wavelengths within a range of 20-5 nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range. Because most materials are absorptive within this range, illumination may be produced by reflective mirrors with a multi-stack of Molybdenum and Silicon. The multi-stack mirror has a 40 layer pairs of Molybdenum and Silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography. Typically, a synchrotron is used to produce an X-ray wavelength. Since most material is absorptive at x-ray wavelengths, a thin piece of absorbing material defines where features would print (positive resist) or not print (negative resist). [0139]
  • While the concepts disclosed herein may be used for imaging on a substrate such as a silicon wafer, it shall be understood that the disclosed concepts may be used with any type of lithographic imaging systems, e.g., those used for imaging on substrates other than silicon wafers. [0140]
  • Software functionalities of a computer system involve programming, including executable code, may be used to implement the above described imaging model. The software code is executable by the general-purpose computer. In operation, the code and possibly the associated data records are stored within a general-purpose computer platform. At other times, however, the software may be stored at other locations and/or transported for loading into the appropriate general-purpose computer systems. Hence, the embodiments discussed above involve one or more software products in the form of one or more modules of code carried by at least one machine-readable medium. Execution of such code by a processor of the computer system enables the platform to implement the catalog and/or software downloading functions, in essentially the manner performed in the embodiments discussed and illustrated herein. [0141]
  • As used herein, terms such as computer or machine “readable medium” refer to any medium that participates in providing instructions to a processor for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as any of the storage devices in any computer(s) operating as one of the server platform, discussed above. Volatile media include dynamic memory, such as main memory of such a computer platform. Physical transmission media include coaxial cables; copper wire and fiber optics, including the wires that comprise a bus within a computer system. Carrier-wave transmission media can take the form of electric or electromagnetic signals, or acoustic or light waves such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media therefore include, for example: a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, less commonly used media such as punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave transporting data or instructions, cables or links transporting such a carrier wave, or any other medium from which a computer can read programming code and/or data. Many of these forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to a processor for execution. [0142]
  • As illustrated in FIG. 31, an exemplary mask optimization unit may contain a [0143] processor 1000 which receives input from an input unit 1003. Processor 1000 may be a conventional microprocessor or may be a specially designed processing unit, such as an EEPROM or EPROM or a fabricated integrated circuit. Input 1003 may be any type of electronic input device, such as a keyboard or a mouse, or may be a memory or internet connection. Processor 1000 preferably retrieves stored protocols from ROM 1002 and RAM 1001, such as protocols to implement the processing illustrated in FIGS. 2-6, and stores information on RAM 1001. The calculated results of processor 1000 may be displayed on display 1004 and may be provided to a mask fabrication unit.
  • The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiments are therefore to be considered in all respects as illustrative and not restrictive, the scope of the invention being indicated by the appended claims rather than by the foregoing description and all changes which come within the meaning and range of equivalency of the claims are therefore intended to be embraced therein. [0144]

Claims (42)

what is claimed is:
1. A method for optimizing an illumination source for a mask illumination comprising the steps of:
providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern;
selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern;
determining an intensity and image log slope of illumination at each fragmentation point;
determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range.
2. The method of claim 1, further providing the step of providing optimization constraints on the optimal illumination source.
3. The method of claim 1, wherein the step of determining the intensity and shape of the illumination source, determines an optimal illumination source as one which forces illumination intensity at the image plane to a predetermined value.
4. The method of claim 1, wherein the step of determining an optimal illumination source determines an optimal shape of the illumination source.
5. The method of claim 1, wherein the step of determining an optimal illumination source determines an optimal intensity of the illumination source.
6. A method for determining an optimal mask comprising the steps of:
determining optimum diffraction orders of an ideal mask;
obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and
determining an optimal mask based on the optimal transmission mask,
wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range.
7. The method of claim 6, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation:
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00017
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
8. The method of claim 6, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00018
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
9. The method of claim 6, wherein the step of determining optimum diffraction orders determines optimum diffraction orders in the special frequency domain.
10. The method of claim 6, wherein the step of determining an optimum mask comprises the steps of:
locating areas of maximum transmission and minimum transmission;
assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission;
varying edges of each primitive area to match optimal diffraction orders,
wherein each primitive areas has a minimum size which is substantially equal to a minimum feature size of the mask.
11. A method of obtaining an optimum source and an optimum mask comprising the steps of:
providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern;
selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern;
determining an intensity and image log slope of illumination at each fragmentation point; and
simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
12. A method of optimizing a placement of transmission and phase shifting features on a mask comprising the steps of:
obtaining optimal mask transmission characteristics based on optimum diffraction orders of the mask;
locating areas of maximum transmission and minimum transmission;
assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission;
varying edges of each primitive area to match optimal diffraction orders,
wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
13. The method of claim 12, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation:
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00019
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
14. The method of claim 12, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00020
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
15. The method of claim 12, wherein the mask is a CPL mask.
16. A computer readable medium containing instructions for a computer to cause optimizing an illumination source for a mask illumination comprising the steps of:
providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern;
selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern;
determining an intensity and image log slope of illumination at each fragmentation point;
determining an optimal illumination source as an illumination source which maximizes the image log slope at the selected fragmentation points and has an intensity within a predetermined range.
17. The computer readable medium of claim 16, further containing instructions for a computer to cause the step of providing optimization constraints on the optimal illumination source.
18. The computer readable medium of claim 16, wherein the step of determining the intensity and shape of the illumination source, determines an optimal illumination source as one which forces illumination intensity at the image plane to a predetermined value.
19. The computer readable medium of claim 16, wherein the step of determining an optimal illumination source determines an optimal shape of the illumination source.
20. The computer readable medium of claim 16, wherein the step of determining an optimal illumination source determines an optimal intensity of the illumination source.
21. A computer readable medium containing instructions for a computer to perform a method for determining an optimal mask comprising the steps of:
determining optimum diffraction orders of an ideal mask;
obtaining an optimal transmission mask based on the optimized diffraction orders of the ideal mask; and
determining an optimal mask based on the optimal transmission mask,
wherein the optimum diffraction orders of the ideal mask are determined by determining a magnitude and phase of diffraction orders which form an image in an image plane which maximizes the minimum illumination log slope at user selected fragmentation points while forcing an intensity of illumination at the fragmentation points to be within a predetermined range.
22. The computer readable medium of claim 21, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation:
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00021
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
23. The computer readable medium of claim 21, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00022
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
24. The method of claim 21, wherein the step of determining optimum diffraction orders determines optimum diffraction orders in the special frequency domain.
25. The computer readable medium of claim 21, wherein the step of determining an optimum mask comprises the steps of:
locating areas of maximum transmission and minimum transmission;
assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission;
varying edges of each primitive area to match optimal diffraction orders,
wherein each primitive areas has a minimum size which is substantially equal to a minimum feature size of the mask.
26. A computer readable medium containing instructions for a computer to perform a method of obtaining an optimum source and an optimum mask comprising the steps of:
providing illumination from an illumination source to a plurality of source points and a predetermined mask pattern;
selecting fragmentation points in an image plane of an image formed by the illumination provided to the predetermined mask pattern;
determining an intensity and image log slope of illumination at each fragmentation point; and
simultaneously changing the intensity and shape of the illumination source and the magnitude and phase of diffraction orders of the mask to form an image in the image plane that maximizes the minimum image log slop at the fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
27. A computer readable medium containing instructions for a computer to cause optimizing a placement of transmission and phase shifting features on a mask comprising the steps of:
obtaining optimal mask transmission characteristics based on optimum diffraction order of the mask;
locating areas of maximum transmission and minimum transmission;
assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission;
varying edges of each primitive area to match optimal diffraction orders,
wherein each primitive area has a minimum size which is substantially equal to a minimum feature size of the mask.
28. The computer readable medium of claim 27, wherein the mask is a CPL mask.
29. The computer readable medium of claim 27, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00023
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is awavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
30. The computer readable medium of claim 27, wherein the step of obtaining optimal mask transmission characteristics includes a step of determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation:
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00024
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
31. An apparatus for optimizing an illumination source for a mask illumination comprising:
an input unit which inputs characteristics of an illumination device; and
a processing unit which is configured to change an intensity and shape of an illumination to form an image in an image plane that maximizes the minimum image log slope at user selected fragmentation points.
32. The apparatus of claim 31, wherein the processing unit is further configured to forcing the intensity at the fragmentation points to be within a predetermined intensity range.
33. An apparatus for optimizing an mask comprising:
an input unit which inputs a desired image pattern; and
a processing unit which is configured to change a magnitude and phase of diffraction orders to form an image in the image plane that maximizes the minimum image log slope at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a predetermined intensity range.
34. The apparatus of claim 33, wherein the processing unit is further configured to obtain optimal mask transmission characteristics by determining horizontal diffraction orders of an optimum mask, wherein the number of horizontal diffraction orders is determined according to the equation:
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00025
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
35. The apparatus of claim 33, wherein the processing unit is further configured to obtain optimal mask transmission characteristics by determining vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00026
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
36. The apparatus of claim 33, wherein the step of determining optimum diffraction orders determines optimum diffraction orders in the special frequency domain.
37. The apparatus of claim 33, wherein the processing unit is further configured to obtain optimal mask by locating areas of maximum transmission and minimum transmission; assigning a primitive area as an area centered on an area of maximum transmission or minimum transmission; and varying edges of each primitive area to match optimal diffraction orders,
wherein each primitive areas has a minimum size which is substantially equal to a minimum feature size of the mask.
38. An apparatus for obtaining an optimum source and an optimum mask comprising:
an input unit which accepts user inputs; and
a processing unit configured to simultaneously change an intensity and shape of an illumination source and change a magnitude and phase of diffraction orders to form an image in an image plane which maximizes a minimum image log slope at user selected fragmentation points while forcing an intensity at the fragmentation points to be within a predetermined intensity range.
39. An apparatus for optimizing a placement of transmission and phase shifting features on a mask comprising:
an input unit which inputs characteristics of an illumination device; and
a processing unit which is configured to obtain optimal mask transmission characteristics based on optimum diffraction orders, locate areas of minimum transmission and maximum transmission, assign primitive areas as areas centered on an area of minimum transmission or an area of maximum transmission, and vary edges of the primitive area to match optimal diffraction orders,
wherein the primitive areas have a minimum size which is substantially equal to a minimum feature size of the mask.
40. The apparatus of claim 39, wherein the mask is a CPL mask.
41. The apparatus of claim 39, wherein the optimal mask transmission characteristics include horizontal diffraction orders of an optimum mask, and the number of horizontal diffraction orders is determined according to the equation
m = 2 floor [ P x ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00027
where m is the number of horizontal diffraction orders;
Px is the pitch of the repetitive cell in the x direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
42. The apparatus of claim 39, wherein the optimal mask transmission characteristics include vertical diffraction orders of an optimum mask, wherein the number of vertical diffraction orders is determined according to the equation:
n = 2 floor [ P y ( σ max + 1 ) NA λ ] + 1
Figure US20040265707A1-20041230-M00028
where n is the number of vertical diffraction orders;
Py is the pitch of the repetitive cell in the y direction;
λ is a wavelength of the illumination source;
NA is a numerical aperture of the projection optics; and
σmax is a radial extent of the distribution of a beam of light from the illumination source.
US10/813,626 2003-03-31 2004-03-31 Source and mask optimization Abandoned US20040265707A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/813,626 US20040265707A1 (en) 2003-03-31 2004-03-31 Source and mask optimization
US12/186,410 US7864301B2 (en) 2003-03-31 2008-08-05 Source and mask optimization by changing intensity and shape of the illumination source
US12/962,522 US8730452B2 (en) 2003-03-31 2010-12-07 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders
US14/281,539 US10657641B2 (en) 2003-03-31 2014-05-19 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45836503P 2003-03-31 2003-03-31
US10/813,626 US20040265707A1 (en) 2003-03-31 2004-03-31 Source and mask optimization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/186,410 Division US7864301B2 (en) 2003-03-31 2008-08-05 Source and mask optimization by changing intensity and shape of the illumination source

Publications (1)

Publication Number Publication Date
US20040265707A1 true US20040265707A1 (en) 2004-12-30

Family

ID=32851067

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/813,626 Abandoned US20040265707A1 (en) 2003-03-31 2004-03-31 Source and mask optimization
US12/186,410 Active US7864301B2 (en) 2003-03-31 2008-08-05 Source and mask optimization by changing intensity and shape of the illumination source
US12/962,522 Active 2024-11-19 US8730452B2 (en) 2003-03-31 2010-12-07 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders
US14/281,539 Active 2026-05-18 US10657641B2 (en) 2003-03-31 2014-05-19 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders

Family Applications After (3)

Application Number Title Priority Date Filing Date
US12/186,410 Active US7864301B2 (en) 2003-03-31 2008-08-05 Source and mask optimization by changing intensity and shape of the illumination source
US12/962,522 Active 2024-11-19 US8730452B2 (en) 2003-03-31 2010-12-07 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders
US14/281,539 Active 2026-05-18 US10657641B2 (en) 2003-03-31 2014-05-19 Source and mask optimization by changing intensity and shape of the illumination source and magnitude and phase of mask diffraction orders

Country Status (7)

Country Link
US (4) US20040265707A1 (en)
EP (1) EP1465016A3 (en)
JP (2) JP4731830B2 (en)
KR (1) KR100760037B1 (en)
CN (3) CN1591189B (en)
SG (3) SG146424A1 (en)
TW (1) TWI334511B (en)

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050196682A1 (en) * 2003-11-05 2005-09-08 Hsu Stephen D. Method for performing transmission tuning of a mask pattern to improve process latitude
US20050244727A1 (en) * 2003-10-16 2005-11-03 Jeng-Ywan Jeng Dynamic mask module
US20060046168A1 (en) * 2004-08-24 2006-03-02 Kazuya Fukuhara Method for designing an illumination light source, method for designing a mask pattern, method for manufacturing a photomask, method for manufacturing a semiconductor device and a computer program product
US20060225025A1 (en) * 2005-03-31 2006-10-05 Paul Davids Dual phase shift photolithography masks for logic patterning
US7124394B1 (en) 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20070002300A1 (en) * 2005-05-16 2007-01-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070011644A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20070013888A1 (en) * 2005-03-29 2007-01-18 Asml Netherlands B.V. Variable illumination source
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
WO2007044630A2 (en) * 2005-10-06 2007-04-19 Luminescent Technologies. Inc. Method and manufacture of multiple photomask patterns and computer readable medium
US20070195394A1 (en) * 2006-01-31 2007-08-23 Ting Chen Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
US20070238038A1 (en) * 2006-04-11 2007-10-11 Kazuya Fukuhara Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US20070265725A1 (en) * 2006-05-15 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Model Import for Electronic Design Automation
US20070273853A1 (en) * 2005-03-29 2007-11-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080186468A1 (en) * 2006-12-28 2008-08-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080206653A1 (en) * 2007-02-22 2008-08-28 Sony Corporation Exposure mask
US20090012769A1 (en) * 2007-07-03 2009-01-08 Fei Wang Method and system for lithographic simulation and verification
US20090091736A1 (en) * 2007-10-03 2009-04-09 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US20090144691A1 (en) * 2007-11-29 2009-06-04 Tokyo Electron Limited Enhanced Process Yield Using a Hot-Spot Library
US20090148783A1 (en) * 2005-12-29 2009-06-11 Robert John Socha Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
DE102008054582A1 (en) 2007-12-21 2009-07-09 Carl Zeiss Smt Ag Microlithographic projection exposure machine
DE102008023763A1 (en) 2008-05-09 2009-11-12 Carl Zeiss Smt Ag Illumination system for use in microlithographic-projection illumination system during production of semiconductor component, has Fourier optics system including ratio of overall length to bandwidth less than specific value
DE102008035320A1 (en) 2008-07-25 2010-01-28 Carl Zeiss Smt Ag Illumination system for microlithography projection exposure apparatus, has Fourier optical unit provided in pupil shaping unit so that ratio between structural length and focal length of Fourier optical unit is set to preset value
US20100053580A1 (en) * 2008-07-15 2010-03-04 Canon Kabushiki Kaisha Computer readable medium and exposure method
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US20100135568A1 (en) * 2006-09-20 2010-06-03 Preil Moshe E Photo-mask and wafer image reconstruction
US20100141925A1 (en) * 2008-11-10 2010-06-10 Yu Cao Scanner model representation with transmission cross coefficients
US7788627B2 (en) 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US20100315614A1 (en) * 2009-06-10 2010-12-16 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US20110022994A1 (en) * 2009-07-22 2011-01-27 Luminescent Technologies Inc. Determining Source Patterns for Use in Photolithography
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US20110099526A1 (en) * 2009-10-28 2011-04-28 Asml Netherlands B.V. Pattern Selection for Full-Chip Source and Mask Optimization
US20110102758A1 (en) * 2008-05-09 2011-05-05 Carl Zeiss Smt Gmbh Illumination system for a microlithography projection exposure apparatus, microlithography projection exposure apparatus comprising such an illumination system, and fourier optical system
US20110194752A1 (en) * 2010-02-05 2011-08-11 Linyong Pang Extending the Field of View of a Mask-Inspection Image
US20110211748A1 (en) * 2010-03-01 2011-09-01 Guangming Xiao Full-Field Mask Error Enhancement Function
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8682466B2 (en) 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US8893060B2 (en) 2010-11-10 2014-11-18 Asml Netherlands B.V. Optimization of source, mask and projection optics
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9588438B2 (en) 2010-11-10 2017-03-07 Asml Netherlands B.V. Optimization flows of source, mask and projection optics
US10912220B2 (en) 2010-02-02 2021-02-02 Apple Inc. Protection and assembly of outer glass surfaces of an electronic device housing
US11397312B2 (en) 2017-03-31 2022-07-26 Cad Zeiss Microscopy GmbH Structured illumination with optimized illumination geometry

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1856654A2 (en) * 2005-01-28 2007-11-21 ASML Holding N.V. Method and system for a maskless lithography rasterization tecnique based on global optimization
EP1696273B1 (en) 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
JP5235322B2 (en) * 2006-07-12 2013-07-10 キヤノン株式会社 Original data creation method and original data creation program
NL2003143A1 (en) * 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
US10025198B2 (en) 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
US8181128B2 (en) * 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
CN101393386B (en) * 2008-10-28 2010-12-01 清溢精密光电(深圳)有限公司 Reticle mask making method by FPD mask making equipment
WO2010059954A2 (en) 2008-11-21 2010-05-27 Brion Technologies Inc. Fast freeform source and mask co-optimization method
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
NL2006091A (en) 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
CN102096336A (en) * 2010-12-31 2011-06-15 清华大学 Method for determining illumination intensity distribution of light source of photoetching process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
CN102169295A (en) * 2011-06-02 2011-08-31 清华大学 Method for determining illumination intensity distribution of light source and mask pattern of photo-etching process
TWI457782B (en) * 2011-06-15 2014-10-21 Himax Tech Ltd Information generating apparatus and operation method thereof
CN102323721B (en) * 2011-09-09 2013-08-21 北京理工大学 Method for obtaining space image of non-ideal lithography system based on Abbe vector imaging model
CN102323722B (en) * 2011-09-09 2013-08-21 北京理工大学 Method for acquiring mask space image based on Abbe vector imaging model
KR102141138B1 (en) * 2012-03-14 2020-08-05 에이에스엠엘 네델란즈 비.브이. Lithographic apparatus
JP5869942B2 (en) * 2012-04-03 2016-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Mask design method, program and mask design system
CN102707582B (en) * 2012-06-18 2013-11-27 北京理工大学 Light source-mask synchronous optimization based on Abbe vector imaging model
CN103631096B (en) * 2013-12-06 2015-05-20 北京理工大学 Source mask polarization optimization method based on Abbe vector imaging model
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102428750B1 (en) 2017-10-19 2022-08-02 사이머 엘엘씨 A method of forming multiple aerial images in a single lithographic exposure pass
CN111929983A (en) * 2020-06-28 2020-11-13 中国科学院上海光学精密机械研究所 Method for screening key graph of full-chip light source mask optimization based on polygonal region representation

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5969441A (en) * 1996-12-24 1999-10-19 Asm Lithography Bv Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6046792A (en) * 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6128067A (en) * 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
US20020140920A1 (en) * 2001-01-29 2002-10-03 International Business Machines Corporation, System and method for printing semiconductor patterns using an optimized illumination and reticle
US20040156029A1 (en) * 2003-02-11 2004-08-12 Hansen Steven George Method for optimizing an illumination source using full resist simulation and process window response metric

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2848425B2 (en) * 1992-06-02 1999-01-20 富士通株式会社 Light exposure method
US5465220A (en) * 1992-06-02 1995-11-07 Fujitsu Limited Optical exposure method
JP3409493B2 (en) * 1995-03-13 2003-05-26 ソニー株式会社 Mask pattern correction method and correction device
KR100190762B1 (en) * 1995-03-24 1999-06-01 김영환 Mask for off-axis illumination
US5563566A (en) 1995-11-13 1996-10-08 General Electric Company Cryogen-cooled open MRI superconductive magnet
JP3626504B2 (en) 1997-03-10 2005-03-09 アーエスエム リソグラフィ ベスローテン フェンノートシャップ Positioning device having two article holders
DE59910829D1 (en) * 1999-01-29 2004-11-18 Imip Llc Wilmington Mask and method for changing a brightness profile of a photographic image when photographically or digitally copying
JP2000232057A (en) 1999-02-10 2000-08-22 Hitachi Ltd Simulation method for resist pattern and formation method for pattern
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
US6710930B2 (en) * 1999-12-01 2004-03-23 Nikon Corporation Illumination optical system and method of making exposure apparatus
US6335130B1 (en) * 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
CN1110849C (en) * 2000-08-29 2003-06-04 中国科学院微电子中心 Process for preparing very large scale integrated circuit (VLSIC)
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
JP2002328460A (en) * 2001-04-27 2002-11-15 Toshiba Corp Method for forming pattern, method for forming exposure mask and exposure mask
US6509971B2 (en) * 2001-05-09 2003-01-21 Nikon Corporation Interferometer system

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300786A (en) * 1992-10-28 1994-04-05 International Business Machines Corporation Optical focus phase shift test pattern, monitoring system and process
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US6046792A (en) * 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5969441A (en) * 1996-12-24 1999-10-19 Asm Lithography Bv Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6128067A (en) * 1998-04-28 2000-10-03 Kabushiki Kaisha Toshiba Correcting method and correcting system for mask pattern
US20020140920A1 (en) * 2001-01-29 2002-10-03 International Business Machines Corporation, System and method for printing semiconductor patterns using an optimized illumination and reticle
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
US20040156029A1 (en) * 2003-02-11 2004-08-12 Hansen Steven George Method for optimizing an illumination source using full resist simulation and process window response metric

Cited By (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US8056021B2 (en) * 2003-04-06 2011-11-08 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20100275176A1 (en) * 2003-04-06 2010-10-28 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7124394B1 (en) 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7571423B2 (en) 2003-04-06 2009-08-04 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US20070011644A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20070011645A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Method for time-evolving rectilinear contours representing photo masks
US20070011647A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20100251203A1 (en) * 2003-04-06 2010-09-30 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7178127B2 (en) 2003-04-06 2007-02-13 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7441227B2 (en) 2003-04-06 2008-10-21 Luminescent Technologies Inc. Method for time-evolving rectilinear contours representing photo masks
US7984391B2 (en) * 2003-04-06 2011-07-19 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20070192756A1 (en) * 2003-04-06 2007-08-16 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7992109B2 (en) * 2003-04-06 2011-08-02 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20070198966A1 (en) * 2003-04-06 2007-08-23 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7757201B2 (en) 2003-04-06 2010-07-13 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7703068B2 (en) 2003-04-06 2010-04-20 Luminescent Technologies, Inc. Technique for determining a mask pattern corresponding to a photo-mask
US20050244727A1 (en) * 2003-10-16 2005-11-03 Jeng-Ywan Jeng Dynamic mask module
US7320946B2 (en) * 2003-10-16 2008-01-22 National Taiwan University Of Science And Technology Method for generating dynamic mask pattern
US7514183B2 (en) 2003-11-05 2009-04-07 Asml Masktools B.V. Method for performing transmission tuning of a mask pattern to improve process latitude
US20050196682A1 (en) * 2003-11-05 2005-09-08 Hsu Stephen D. Method for performing transmission tuning of a mask pattern to improve process latitude
US7386830B2 (en) 2004-08-24 2008-06-10 Kabushiki Kaisha Toshiba Method for designing an illumination light source, method for designing a mask pattern, method for manufacturing a photomask, method for manufacturing a semiconductor device and a computer program product
US20060046168A1 (en) * 2004-08-24 2006-03-02 Kazuya Fukuhara Method for designing an illumination light source, method for designing a mask pattern, method for manufacturing a photomask, method for manufacturing a semiconductor device and a computer program product
US20070013888A1 (en) * 2005-03-29 2007-01-18 Asml Netherlands B.V. Variable illumination source
US7317506B2 (en) 2005-03-29 2008-01-08 Asml Netherlands B.V. Variable illumination source
US20070273853A1 (en) * 2005-03-29 2007-11-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7548302B2 (en) 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7509620B2 (en) * 2005-03-31 2009-03-24 Intel Corporation Dual phase shift photolithography masks for logic patterning
US20060225025A1 (en) * 2005-03-31 2006-10-05 Paul Davids Dual phase shift photolithography masks for logic patterning
US7684013B2 (en) 2005-05-16 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070002300A1 (en) * 2005-05-16 2007-01-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20090219500A1 (en) * 2005-08-31 2009-09-03 Asml Netherlands B.V. Lithographic Apparatus and Device Manufacturing Method with Radiation Beam Inspection
US8937705B2 (en) 2005-08-31 2015-01-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with radiation beam inspection using moveable reflecting device
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US7788627B2 (en) 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US7793253B2 (en) 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044630A2 (en) * 2005-10-06 2007-04-19 Luminescent Technologies. Inc. Method and manufacture of multiple photomask patterns and computer readable medium
WO2007044630A3 (en) * 2005-10-06 2007-09-20 Luminescent Technologies Inc Method and manufacture of multiple photomask patterns and computer readable medium
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US8640058B2 (en) 2005-12-29 2014-01-28 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US20090148783A1 (en) * 2005-12-29 2009-06-11 Robert John Socha Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US8060842B2 (en) 2005-12-29 2011-11-15 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7804646B2 (en) 2006-01-31 2010-09-28 Asml Masktools B.V. Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
US20070195394A1 (en) * 2006-01-31 2007-08-23 Ting Chen Method for decomposition of a customized DOE for use with a single exposure into a set of multiple exposures using standard DOEs with optimized exposure settings
US7807323B2 (en) 2006-04-11 2010-10-05 Kabushiki Kaisha Toshiba Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US20070238038A1 (en) * 2006-04-11 2007-10-11 Kazuya Fukuhara Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US20070265725A1 (en) * 2006-05-15 2007-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Model Import for Electronic Design Automation
US20110230998A1 (en) * 2006-05-15 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US8352888B2 (en) 2006-05-15 2013-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US8214772B2 (en) 2006-05-15 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US20110231804A1 (en) * 2006-05-15 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US8644588B2 (en) * 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US20100135568A1 (en) * 2006-09-20 2010-06-03 Preil Moshe E Photo-mask and wafer image reconstruction
US20080186468A1 (en) * 2006-12-28 2008-08-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20080206653A1 (en) * 2007-02-22 2008-08-28 Sony Corporation Exposure mask
US8682466B2 (en) 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US20110010677A1 (en) * 2007-07-03 2011-01-13 Fei Wang Method and system for lithographic simulation and verification
US20090012769A1 (en) * 2007-07-03 2009-01-08 Fei Wang Method and system for lithographic simulation and verification
US7818710B2 (en) * 2007-07-03 2010-10-19 Micron Technology, Inc. Method and system for lithographic simulation and verification
US8595655B2 (en) 2007-07-03 2013-11-26 Micron Technology, Inc. Method and system for lithographic simulation and verification
US20090091736A1 (en) * 2007-10-03 2009-04-09 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US8059262B2 (en) 2007-10-03 2011-11-15 Canon Kabushiki Kaisha Calculation program, and exposure method for calculating light intensity distribution formed on image plane
EP2045663B1 (en) * 2007-10-03 2019-08-14 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US8908153B2 (en) * 2007-10-03 2014-12-09 Canon Kabushiki Kaisha Method to calculate transmission cross coefficient in an exposure apparatus
US10073936B2 (en) * 2007-10-03 2018-09-11 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US20150070669A1 (en) * 2007-10-03 2015-03-12 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US20120019805A1 (en) * 2007-10-03 2012-01-26 Canon Kabushiki Kaisha Calculation method, generation method, program, exposure method, and mask fabrication method
US7673278B2 (en) 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
US20090144691A1 (en) * 2007-11-29 2009-06-04 Tokyo Electron Limited Enhanced Process Yield Using a Hot-Spot Library
US20100283985A1 (en) * 2007-12-21 2010-11-11 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus
DE102008054582A1 (en) 2007-12-21 2009-07-09 Carl Zeiss Smt Ag Microlithographic projection exposure machine
US20100283984A1 (en) * 2007-12-21 2010-11-11 Carl Zeiss Smt Ag Microlithographic projection exposure apparatus
US8724086B2 (en) 2007-12-21 2014-05-13 Carl Zeiss Smt Gmbh Microlithographic projection exposure apparatus having a multi-mirror array with temporal stabilisation
US8891057B2 (en) 2007-12-21 2014-11-18 Carl Zeiss Smt Gmbh Microlithographic projection exposure apparatus
US10146135B2 (en) 2007-12-21 2018-12-04 Carl Zeiss Smt Gmbh Microlithographic projection exposure apparatus having a multi-mirror array with temporal stabilisation
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
US8537335B2 (en) 2008-05-09 2013-09-17 Carl Zeiss Smt Gmbh Illumination system for a microlithography projection exposure apparatus, microlithography projection exposure apparatus comprising such an illumination system, and fourier optical system
US20110102758A1 (en) * 2008-05-09 2011-05-05 Carl Zeiss Smt Gmbh Illumination system for a microlithography projection exposure apparatus, microlithography projection exposure apparatus comprising such an illumination system, and fourier optical system
DE102008023763A1 (en) 2008-05-09 2009-11-12 Carl Zeiss Smt Ag Illumination system for use in microlithographic-projection illumination system during production of semiconductor component, has Fourier optics system including ratio of overall length to bandwidth less than specific value
US8411253B2 (en) 2008-07-15 2013-04-02 Canon Kabushiki Kaisha Computer readable medium and exposure method
US20100053580A1 (en) * 2008-07-15 2010-03-04 Canon Kabushiki Kaisha Computer readable medium and exposure method
DE102008035320A1 (en) 2008-07-25 2010-01-28 Carl Zeiss Smt Ag Illumination system for microlithography projection exposure apparatus, has Fourier optical unit provided in pupil shaping unit so that ratio between structural length and focal length of Fourier optical unit is set to preset value
US9645509B2 (en) 2008-11-10 2017-05-09 Asml Netherlands B.V. Scanner model representation with transmission cross coefficients
US20100141925A1 (en) * 2008-11-10 2010-06-10 Yu Cao Scanner model representation with transmission cross coefficients
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US20100315614A1 (en) * 2009-06-10 2010-12-16 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8683396B2 (en) 2009-07-22 2014-03-25 Synopsys, Inc. Determining source patterns for use in photolithography
US20110022994A1 (en) * 2009-07-22 2011-01-27 Luminescent Technologies Inc. Determining Source Patterns for Use in Photolithography
US20110099526A1 (en) * 2009-10-28 2011-04-28 Asml Netherlands B.V. Pattern Selection for Full-Chip Source and Mask Optimization
US9934350B2 (en) 2009-10-28 2018-04-03 Asml Netherlands B.V. Pattern selection for full-chip source and mask optimization
US20110107280A1 (en) * 2009-10-28 2011-05-05 Asml Netherlands B.V. Selection of Optimum Patterns in a Design Layout Based on Diffraction Signature Analysis
US8438508B2 (en) 2009-10-28 2013-05-07 Asml Netherlands B.V. Pattern selection for full-chip source and mask optimization
US8543947B2 (en) 2009-10-28 2013-09-24 Asml Netherlands B.V. Selection of optimum patterns in a design layout based on diffraction signature analysis
US8739082B2 (en) 2009-10-28 2014-05-27 Hua-yu Liu Method of pattern selection for source and mask optimization
WO2011051249A1 (en) 2009-10-28 2011-05-05 Asml Netherlands B.V. Method of pattern selection for source and mask optimization
US9183324B2 (en) 2009-10-28 2015-11-10 Asml Netherlands B.V. Pattern selection for full-chip source and mask optimization
US10912220B2 (en) 2010-02-02 2021-02-02 Apple Inc. Protection and assembly of outer glass surfaces of an electronic device housing
US8463016B2 (en) 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US20110194752A1 (en) * 2010-02-05 2011-08-11 Linyong Pang Extending the Field of View of a Mask-Inspection Image
US8498469B2 (en) 2010-03-01 2013-07-30 Synopsys, Inc. Full-field mask error enhancement function
US20110211748A1 (en) * 2010-03-01 2011-09-01 Guangming Xiao Full-Field Mask Error Enhancement Function
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8893060B2 (en) 2010-11-10 2014-11-18 Asml Netherlands B.V. Optimization of source, mask and projection optics
US9619603B2 (en) 2010-11-10 2017-04-11 Asml Netherlands B.V. Optimization of source, mask and projection optics
US10401732B2 (en) * 2010-11-10 2019-09-03 Asml Netherlands B.V. Optimization flows of source, mask and projection optics
US9588438B2 (en) 2010-11-10 2017-03-07 Asml Netherlands B.V. Optimization flows of source, mask and projection optics
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9696619B2 (en) 2011-02-04 2017-07-04 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8898599B2 (en) 2012-05-31 2014-11-25 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
US11397312B2 (en) 2017-03-31 2022-07-26 Cad Zeiss Microscopy GmbH Structured illumination with optimized illumination geometry

Also Published As

Publication number Publication date
CN101840163B (en) 2012-06-06
US20110075124A1 (en) 2011-03-31
CN102645851B (en) 2015-11-25
SG179329A1 (en) 2012-04-27
JP5371849B2 (en) 2013-12-18
US8730452B2 (en) 2014-05-20
CN1591189A (en) 2005-03-09
CN101840163A (en) 2010-09-22
KR20040088378A (en) 2004-10-16
US10657641B2 (en) 2020-05-19
CN1591189B (en) 2010-05-26
CN102645851A (en) 2012-08-22
KR100760037B1 (en) 2007-09-20
TWI334511B (en) 2010-12-11
JP2010176144A (en) 2010-08-12
EP1465016A2 (en) 2004-10-06
SG146424A1 (en) 2008-10-30
JP2004312027A (en) 2004-11-04
SG169901A1 (en) 2011-04-29
US7864301B2 (en) 2011-01-04
US20140247975A1 (en) 2014-09-04
US20090053621A1 (en) 2009-02-26
EP1465016A3 (en) 2008-10-15
JP4731830B2 (en) 2011-07-27
TW200502709A (en) 2005-01-16

Similar Documents

Publication Publication Date Title
US7864301B2 (en) Source and mask optimization by changing intensity and shape of the illumination source
US7594199B2 (en) Method of optical proximity correction design for contact hole mask
US8060842B2 (en) Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7506299B2 (en) Feature optimization using interference mapping lithography
US7398508B2 (en) Eigen decomposition based OPC model
JP5121117B2 (en) Method and program for optimizing intensity profiles
US7550235B2 (en) Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
EP1544679B1 (en) Optimized polarization illumination
US20080204690A1 (en) Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
JP2005183981A (en) Optimization of image structure using interference mapping lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML MASKTOOLS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SOCHA, ROBERT;REEL/FRAME:015744/0582

Effective date: 20040818

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASML MASKTOOLS B.V.;REEL/FRAME:032173/0275

Effective date: 20131220