US20050062388A1 - Heat-treating methods and systems - Google Patents

Heat-treating methods and systems Download PDF

Info

Publication number
US20050062388A1
US20050062388A1 US10/979,447 US97944704A US2005062388A1 US 20050062388 A1 US20050062388 A1 US 20050062388A1 US 97944704 A US97944704 A US 97944704A US 2005062388 A1 US2005062388 A1 US 2005062388A1
Authority
US
United States
Prior art keywords
workpiece
heating
temperature
radiation
cooling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/979,447
Inventor
David Camm
J. Elliott
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Canada Inc
Original Assignee
Mattson Technology Canada Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Canada Inc filed Critical Mattson Technology Canada Inc
Priority to US10/979,447 priority Critical patent/US20050062388A1/en
Publication of US20050062388A1 publication Critical patent/US20050062388A1/en
Assigned to MATTSON TECHNOLOGY CANADA, INC. reassignment MATTSON TECHNOLOGY CANADA, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: VORTEK INDUSTRIES LTD.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D19/00Arrangements of controlling devices
    • F27D2019/0003Monitoring the temperature or a characteristic of the charge and using it as a controlling value
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D99/00Subject matter not provided for in other groups of this subclass
    • F27D99/0001Heating elements or systems
    • F27D99/0006Electric heating elements or system
    • F27D2099/0026Electric heating elements or system with a generator of electromagnetic radiations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing

Definitions

  • the present invention relates to heating of objects, and more particularly to methods and systems for heat-treating a workpiece.
  • a semiconductor wafer such as a silicon wafer
  • an ion implantation process which introduces impurity atoms or dopants into a surface region of a device side of the wafer.
  • the ion implantation process damages the crystal lattice structure of the surface region of the wafer, and leaves the implanted dopant atoms in interstitial sites where they are electrically inactive.
  • Heating of a semiconductor wafer may be achieved by a number of distinct types of methods, including the following:
  • one existing rapid thermal annealing method involves illuminating the device side of the wafer with an array of tungsten filament lamps in a reflective chamber, to heat the wafer at a high rate.
  • the wafer tends to remain hot for a considerable time after the power supply to the tungsten filaments is shut off, for a number of reasons.
  • Typical tungsten lamps have a relatively long time constant, such as 0.3 seconds, for example, as a result of the high thermal masses of the filaments, which remain hot and continue to irradiate the wafer after the power supply to the filaments is discontinued. This slow time response of the filaments gives rise to the dominant thermal lag in such a system.
  • One annealing method that has achieved some success in producing shallow junctions involves the use of lasers to heat and anneal the device side of the wafer.
  • the short-wavelength monochromatic radiation produced by lasers such as excimer lasers for example, tends to be absorbed at very shallow depths in the device side of the wafer, and the short duration, high-power laser pulse (for example, a 10 nanosecond pulse delivering about 0.4 J/cm 2 to the device side surface) typically used for this process tends to heat a small localized area of the surface of the device side to melting or near-melting temperatures very rapidly, in significantly less than the time required for significant thermal conduction in the wafer.
  • the bulk regions of the wafer substrate tend to remain cold and therefore act as a heat sink for the heated surface region, causing the surface region to cool very quickly.
  • a typical surface temperature versus time profile of the localized area of the device side surface using laser annealing tends to be triangular-shaped and steeply sloped for both the heating and cooling stages and therefore, the device side spends only a very short period of time at high temperatures.
  • the wafer does not remain hot long enough for much dopant diffusion to occur.
  • a non-uniform temperature distribution across the wafer may cause non-uniform performance-related characteristics, resulting in either inadequate performance of the particular wafer, or undesirable performance differences from wafer to wafer.
  • the large amount of energy delivered by the laser or lasers to the device side of the wafer is non-uniformly absorbed by the pattern of devices thereon, resulting in deleterious heating effects in regions of the wafer where annealing is not desired, and may also produce further large temperature gradients causing additional damage to the silicon lattice.
  • At least one approach in the early 1990s involved a low-temperature annealing stage followed by a laser annealing stage.
  • the low-temperature stage typically involved heating the wafer to a mid-range temperature in an electric furnace, such as 600° C. for example, for a relatively long period of time, such as an hour or longer.
  • a typical temperature-time profile of the device side surface using this method is flat for a very long time, followed by a rapid increase and rapid cooling of the surface resulting from the laser anneal.
  • this method purports to reduce junction leakage currents as compared to laser annealing alone, the long duration of the low-temperature annealing stage causes the dopants to diffuse to greater depths within the device side of the wafer. Such diffusion, which may have been tolerable or perhaps even negligible by early 1990s standards, would not permit the formation of sufficiently shallow junctions to comply with current performance and industry roadmap requirements.
  • a more recent approach involves the use of a fast responding argon plasma arc lamp heat source to irradiate the substrate side of the wafer, to rapidly heat the entire wafer to annealing temperatures.
  • the time response of the arc lamp is short (typically on the order of 0.1 milliseconds or less) compared to that of the wafer itself, and thus the dominant thermal lag is that of the wafer, in contrast with the tungsten lamp method above, where the dominant thermal lag is that of the tungsten filaments.
  • a typical temperature-time profile of the wafer using this method tends to have heating and cooling temperature rates that are intermediate between those of tungsten systems and laser annealing systems.
  • the wafer spends less time at the high annealing temperature and therefore, less dopant diffusion occurs than with the tungsten lamp method. Accordingly, this method is capable of producing much shallower junction depths than tungsten lamp systems. As the entire wafer is heated rather than just the device side surface, the extreme transverse thermal gradients that result in laser annealing are avoided, thereby minimizing additional damage to the crystal lattice. In addition, as the substrate side is irradiated rather than the device side, non-uniform heating of the device side due to non-uniform absorption by the pattern of devices is also much lower than for laser annealing, resulting in lower lateral temperature gradients and reduced damage to devices. However, early indications suggest that embodiments of this method may result in somewhat deeper diffusion of the dopants than laser annealing.
  • this method purported to be suitable for heating the wafer with 2% uniformity, which is not acceptable for modern RTP systems.
  • this method typically involved a delay of a few seconds between the isothermal heating stage and the subsequent thermal flux heating stage, during which the wafer remained at a relatively high intermediate temperature, such as 1100° C., for example. This delay at the intermediate temperature can cause significant dopant diffusion, thus interfering with the ability to produce shallow junctions in accordance with modern performance requirements.
  • the present invention addresses the above needs by providing, in accordance with one aspect of the invention, a method and system for heat-treating a workpiece.
  • the method includes pre-heating the workpiece to an intermediate temperature, heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, and enhancing cooling of the workpiece.
  • Pre-heating the workpiece to the intermediate temperature prior to heating the surface to the higher desired temperature, decreases the magnitude of the thermal gradients that occur in the workpiece when the surface is heated to the desired temperature. Therefore, thermal stress in the workpiece is reduced.
  • the workpiece has a crystal lattice structure, such as a semiconductor wafer for example, damage to the lattice is correspondingly reduced.
  • heating the surface of the workpiece to the desired temperature results in much faster cooling of the surface, as the comparatively colder bulk or body of the workpiece may act as a heat sink to cool the surface by conduction.
  • this faster cooling results in shallower dopant diffusion, allowing for the formation of shallower junctions in accordance with modern and future industry requirements.
  • Enhancing cooling of the workpiece further reduces the time that the workpiece spends at high temperatures.
  • this faster cooling again reduces dopant diffusion in the workpiece, allowing for the formation of shallower junctions.
  • Enhancing cooling preferably includes absorbing radiation thermally emitted by the workpiece.
  • radiation thermally emitted by the workpiece is absorbed, rather than being reflected back to the workpiece to effectively re-heat it.
  • Absorbing may include absorbing the radiation at a radiation absorbing surface.
  • a radiation absorbing surface may include a wall of a radiation absorbing chamber, for example.
  • absorbing may include absorbing the radiation thermally emitted by the workpiece at a selective-filtering system. If so, then pre-heating the workpiece may involve transmitting radiation produced by an irradiance source through a filtering device of the selective-filtering system to the workpiece. Transmitting may involve transmitting the radiation to a second surface of the workpiece.
  • heating the surface of the workpiece may include transmitting radiation produced by an irradiance source through a filtering device of the selective-filtering system to the surface of the workpiece.
  • the method may further include cooling the selective-filtering system. This may be achieved by causing a liquid to flow across a surface of a window of the selective-filtering system, for example. More particularly, this may include causing a liquid to flow in a space defined between first and second spaced apart windows of the selective-filtering system.
  • Heating the surface may include rapidly heating the surface to the desired temperature by activating a source of thermal flux or adiabatic energy.
  • the method may further include deactivating the source of thermal flux or adiabatic energy.
  • Pre-heating the workpiece to the intermediate temperature may include pre-heating the workpiece to a temperature in the range of 600° C. to 1250° C. Heating the surface of the workpiece to the desired temperature may include heating the surface to a temperature in the range of 1050° C. to 1430° C. These temperatures may be particularly advantageous in embodiments where the workpiece is a silicon semiconductor wafer, for example, as the upper end of the desired temperature range corresponds roughly to the melting point of silicon. These temperature ranges may vary for semiconductor wafers made from materials other than silicon.
  • Pre-heating the workpiece preferably includes pre-heating the workpiece for a time period greater than a thermal conduction time of the workpiece. This serves to allow much of the energy supplied to the workpiece during the pre-heating stage to conduct through the workpiece, thereby raising substantially the entire bulk of the workpiece to the intermediate temperature.
  • heating preferably involves heating the surface for a time period less than a thermal conduction time of the workpiece.
  • the surface may be heated quickly to the desired temperature while the bulk of the workpiece remains substantially at the cooler intermediate temperature. This allows the bulk of the workpiece to act as a heat sink for the heated surface, causing the surface to cool much more rapidly when the heating stage is completed.
  • this approach minimizes the time spent by the surface in this highest temperature range, thereby minimizing dopant diffusion.
  • Heating the surface of the workpiece may include commencing the heating substantially immediately when the workpiece reaches the intermediate temperature. For example, this may include commencing the heating of the surface within an interval following the arrival of the workpiece at the intermediate temperature, the interval having a duration less than or equal to a thermal conduction time of the workpiece. This avoids any substantial delay at the intermediate temperature, which, in embodiments where the workpiece is a semiconductor wafer, avoids any corresponding increase in dopant diffusion that would otherwise result from such a delay.
  • Pre-heating may include pre-heating the workpiece at a rate of at least 100° C. per second, preferably at a rate of at least 400° C. per second. Pre-heating may include irradiating the workpiece with electromagnetic radiation produced by an arc lamp. If desired, more than one such arc lamp may be employed, such as an array of arc lamps, for example.
  • Heating may include irradiating the workpiece with electromagnetic radiation produced by a flash lamp. This may include a plurality of such flash lamps, if desired.
  • the heating is preferably carried out at a rate of at least 10,000° C. per second, or even more preferably at a rate of at least 100,000° C. per second.
  • the heating is preferably achieved by irradiating the workpiece with electromagnetic radiation produced by an arc lamp or a flash lamp. This may include use of an array of such lamps. Alternatively, other heating devices, such as a laser, may be substituted if desired.
  • Enhancing cooling of the workpiece preferably includes allowing the workpiece to cool at a rate of at least about 100° C. per second, preferably at a rate of at least 150 to 180° C. per second.
  • a system for heat-treating a workpiece includes a pre-heating device operable to pre-heat the workpiece to an intermediate temperature, a heating device operable to heat a surface of the workpiece to a desired temperature greater than the intermediate temperature, and a cooling enhancement system for enhancing cooling of the workpiece to a temperature below the intermediate temperature.
  • the cooling enhancement system preferably includes an absorption system operable to absorb radiation thermally emitted by the workpiece.
  • the absorption system may include a radiation absorbing surface.
  • the radiation absorbing surface may include a wall of a radiation absorbing chamber.
  • the absorption system may include a selective-filtering system.
  • the selective-filtering system may include a filtering device interposed between the pre-heating device and the workpiece and configured to transmit radiation produced by the pre-heating device to the workpiece.
  • the filtering device may be configured to transmit the radiation to a second surface of the workpiece.
  • the selective-filtering system may include a filtering device interposed between the heating device and the workpiece and configured to transmit radiation produced by the heating device to the surface of the workpiece.
  • the system may further include a cooling subsystem for cooling the selective-filtering system.
  • the selective-filtering system may include at least one window, and the cooling subsystem may include a liquid-cooling subsystem for causing a liquid to flow across a surface of the window.
  • the selective-filtering system may include first and second spaced apart windows, and the cooling subsystem may include a liquid-cooling subsystem for causing a liquid to flow in a space defined between the windows.
  • the heating device may include a source of thermal flux or adiabatic energy operable to rapidly heat the surface to the desired temperature.
  • the pre-heating device may be operable to pre-heat the workpiece to a temperature in the range of 600° C. to 1250° C., and similarly, the heating device may be operable to heat the surface to a temperature in the range of 1050° C. to 1430° C.
  • the pre-heating device is preferably operable to pre-heat the workpiece for a time period greater than a thermal conduction time of the workpiece.
  • the heating device is preferably operable to heat the surface of the workpiece for a time period less than a thermal conduction time of the workpiece.
  • the heating device is preferably operable to commence heating the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • the pre-heating device may be operable to pre-heat the workpiece at a rate of at least 100° C. per second.
  • the pre-heating device may include an arc lamp operable to irradiate the workpiece with electromagnetic radiation.
  • the heating device may include a flash lamp operable to irradiate the workpiece with electromagnetic radiation.
  • the cooling enhancement system preferably allows the workpiece to cool at a rate of at least about 100° C. per second.
  • a system for heat-treating a workpiece includes means for pre-heating the workpiece to an intermediate temperature, means for heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, and means for enhancing cooling of the workpiece.
  • the means for enhancing preferably includes means for absorbing radiation thermally emitted by the workpiece.
  • a selective-filtering system for use in heat-treating a workpiece.
  • the system includes a first filtering device configured to transmit radiation from a pre-heating device to the workpiece to pre-heat the workpiece to an intermediate temperature, and configured to absorb radiation thermally emitted by the workpiece.
  • the system further includes a second filtering device configured to transmit radiation from a heating device to a surface of the workpiece to heat the surface to a desired temperature greater than the intermediate temperature, and configured to absorb radiation thermally emitted by the workpiece.
  • the system may further include a cooling subsystem for cooling the first and second filtering devices.
  • At least one of the first and second filtering devices may include a liquid-cooled window.
  • the liquid-cooled window may include a water-cooled quartz window.
  • a method of heat-treating a workpiece includes pre-heating the workpiece to an intermediate temperature, and heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, the heating commencing substantially immediately when the workpiece reaches the intermediate temperature.
  • the surface heating substantially immediately when the workpiece reaches the intermediate temperature avoids any delay at the intermediate temperature, which, in embodiments where the workpiece is a semiconductor wafer, avoids any corresponding increase in dopant diffusion that would otherwise result from such a delay.
  • Heating the surface preferably includes commencing the heating within less than one second after the workpiece reaches the intermediate temperature. This preferably includes commencing the heating within less than one-quarter second after the workpiece reaches the intermediate temperature. More preferably still, this may include commencing the heating within less than 1 ⁇ 10 2 milliseconds after the workpiece reaches the intermediate temperature. This may include commencing the heating within less than 1 ⁇ 10 1 milliseconds after the workpiece reaches the intermediate temperature.
  • Pre-heating preferably includes pre-heating the workpiece for a time period greater than a thermal conduction time of the workpiece.
  • heating preferably includes heating the surface for a time period less than a thermal conduction time of the workpiece.
  • Heating may include commencing the heating in response to an indication that the temperature of the workpiece is at least the intermediate temperature.
  • the method may further include producing the indication.
  • Pre-heating preferably includes irradiating the workpiece. This may include exposing the workpiece to electromagnetic radiation produced by an arc lamp. Alternatively, or in addition, this may include exposing the workpiece to electromagnetic radiation produced by at least one filament lamp.
  • Pre-heating preferably includes pre-heating the workpiece at a rate of at least 100° C. per second. This may include pre-heating the workpiece at a rate of at least 400° C. per second.
  • Heating the surface of the workpiece preferably includes irradiating the surface. This may include exposing the surface to electromagnetic radiation produced by a flash lamp. Alternatively, this may include moving a laser beam across the surface.
  • the method may further include absorbing radiation reflected and thermally emitted by the workpiece.
  • Absorbing may include absorbing the radiation in a radiation absorbing environment. This may include absorbing the radiation in at least one radiation absorbing surface.
  • the method may further include cooling the at least one radiation absorbing surface.
  • a system for heat-treating a workpiece includes a pre-heating device operable to pre-heat the workpiece to an intermediate temperature, and a heating device operable to heat a surface of the workpiece to a desired temperature greater than the intermediate temperature, and operable to commence the heating of the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • the heating device and the pre-heating device may be operable to carry out the various methods described above and elsewhere herein.
  • the system may further include a temperature indicator operable to produce an indication of a temperature of the workpiece, in which case the heating device may be operable to commence the heating in response to an indication from the temperature indicator that the temperature of the workpiece is at least the intermediate temperature.
  • the pre-heating device may include means for irradiating the workpiece.
  • the pre-heating device may include an irradiance source operable to irradiate the workpiece.
  • the irradiance source may includes an arc lamp.
  • the irradiance source may include at least one filament lamp.
  • the pre-heating device may include a hot body locatable to pre-heat the workpiece.
  • the heating device may include means for irradiating the surface.
  • the heating device may include an irradiance source operable to irradiate the surface.
  • the irradiance source may include a flash lamp.
  • the irradiance source may include a laser.
  • the system may further include a radiation absorbing environment operable to absorb radiation reflected and thermally emitted by the workpiece. Similarly, the system may further include at least one radiation absorbing surface operable to absorb radiation reflected and thermally emitted by the workpiece. The system may further include a cooling subsystem operable to cool the at least one radiation absorbing surface.
  • a system for heat-treating a workpiece includes means for pre-heating the workpiece to an intermediate temperature, and means for heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, including means for commencing the heating substantially immediately when the workpiece reaches the intermediate temperature.
  • a semiconductor heating apparatus in accordance with another aspect of the invention, there is provided a semiconductor heating apparatus.
  • the apparatus includes a first heating source for heating a first surface of a semiconductor wafer, and a second heating source for heating a second surface of the semiconductor wafer.
  • the apparatus further includes a first cooled window disposed between the first heating source and the semiconductor wafer.
  • the first cooled window may include a first optically transparent plate cooled by a cooling fluid.
  • the first cooled window may further include a second optically transparent plate separated from the first optically transparent plate to define a passageway through which the cooling fluid may flow.
  • the cooling fluid may include water.
  • the first optically transparent plate may be formed from quartz, as may be the second optically transparent plate.
  • the semiconductor heating apparatus may further include a second cooled window disposed between the second heating source and the semiconductor wafer.
  • the first cooled window preferably absorbs radiation thermally emitted by the semiconductor wafer.
  • the first cooled window preferably absorbs radiation to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • the second cooled window may absorb radiation to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • the first heating source may include an arc lamp. This may include an array of arc lamps if desired.
  • the second heating source may include an arc lamp, which may include an array of arc lamps if desired.
  • the first heating source may include a tungsten lamp or array of tungsten lamps.
  • the semiconductor heating apparatus may further include a chamber housing the semiconductor wafer, wherein the chamber has one or more walls with a radiation-absorbing surface.
  • the apparatus may include a chamber housing the semiconductor wafer, wherein the chamber has one or more walls with a radiation-reflecting surface. If so, the chamber walls may be inwardly tapered at an angle from 2 to 6 degrees from perpendicular.
  • the enhanced cooling of the bulk of the workpiece may be carried out by absorbing radiation reflected by or thermally emitted by the workpiece.
  • the workpiece is isolated from a heating source by a cooled window and radiation thermally emitted by the workpiece is absorbed by the cooled window.
  • the workpiece is held within a radiation absorbing chamber and radiation reflected by or thermally emitted by the workpiece is absorbed by one or more walls of the chamber. Further embodiments combine one or more such cooled windows with such a radiation absorbing chamber.
  • the cooled window may include a first optically transparent plate that is cooled by flow of a cooling fluid.
  • the cooled window may further include a second optically transparent plate spaced apart from the first optically transparent plate to define at least one channel between the first and second optically transparent plates, and the cooling fluid may be pumped through that channel.
  • the optically transparent plates may be formed from a material generally transparent to the radiant energy emitted by the radiant sources used to heat the workpiece.
  • One such optically transparent material to form the optically transparent plate is quartz, although sapphire, glass or other materials may be substitutable depending upon the heating devices used.
  • the cooling fluid may include a liquid, such as water.
  • the cooled window absorbs radiation with wavelengths of about 1.4 ⁇ m and above, which are the radiant wavelengths where most of the radiation is expected to be emitted by a workpiece such as a silicon semiconductor wafer.
  • a semiconductor heating apparatus includes a first heating source for heating a first surface of the semiconductor wafer, and a second heating source for heating a second surface of the semiconductor wafer, with a first cooled window disposed between the first heating source and the semiconductor wafer; and a second cooled window disposed between the second heating source and the semiconductor wafer.
  • the cooled windows isolate the heating sources from the semiconductor wafer to prevent contamination.
  • the cooled windows act to controllably cool the wafer by absorbing radiation thermally emitted by or reflected by the wafer.
  • the cooled windows absorb radiation at a rate high enough to achieve a cooling rate of 150 to 180° C. per second to controllably cool the semiconductor wafer.
  • the first cooled window includes a first optically transparent plate cooled by a cooling fluid.
  • the first cooled window further includes a second optically transparent plate separated from the first optically transparent plate to define at least one passageway or channel through which the cooling fluid may flow.
  • the preferred cooling fluid is a liquid such as water.
  • the preferred material for forming the optically transparent plates is quartz.
  • the first and second heating sources are arc lamps or arrays of arc lamps. Either one of the first and second heating sources may also be a tungsten lamp or array of tungsten lamps.
  • One embodiment has one or more chambers for housing the semiconductor wafer during heat-treating, wherein the chambers have sidewalls with radiation reflecting surfaces.
  • the semiconductor heating apparatus may further include a chamber for housing the semiconductor wafer, wherein the chamber has one or more sidewalls with radiation-absorbing surfaces. The radiation-absorbing surfaces further assist in controllably cooling the semiconductor wafer.
  • pre-heating the workpiece may include irradiating a substrate side of the wafer, and heating the surface of the workpiece may include irradiating a device side of the wafer. Due to the greater uniformity of the emissivity across the substrate side of the wafer as compared to the device side, the irradiation of the substrate side to pre-heat the wafer results in significantly greater temperature uniformity in the wafer, and therefore significantly less thermal stress damage, than other methods that deliver the entire annealing energy to the device side of the wafer. In contrast, if the device side alone was irradiated to heat the device side from room temperature to 1050° C.
  • an emissivity difference of 10% between different devices on the device side may result in a lateral temperature difference of approximately 100° C., which is well in excess of current tolerable temperature difference limits, and may therefore cause thermal stress damage to the devices and to the lattice.
  • FIG. 1 is a block diagram of a system for heat-treating a workpiece according to a first embodiment of the invention
  • FIG. 2 is a schematic side view of a system for heat-treating a workpiece according to a second embodiment of the invention (shown with a side wall removed);
  • FIG. 2A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 2 ;
  • FIG. 3 is a flowchart of a heat-treating routine executed by a processor circuit of the system shown in FIG. 2 ;
  • FIG. 4 is a graphical representation of a temperature-time profile of a surface of the workpiece shown in FIG. 2 during a thermal cycle according to the second embodiment of the invention
  • FIG. 5 is a schematic side view of a system for heat-treating a workpiece according to a third embodiment of the invention (shown with a side wall removed);
  • FIG. 6 is a schematic side view of a system for heat-treating a workpiece according to a fourth embodiment of the invention (shown with a side wall removed);
  • FIG. 6A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 6 ;
  • FIG. 7 is a schematic side view of a system for heat-treating a workpiece according to a fifth embodiment of the invention (shown with a side wall removed);
  • FIG. 7A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 7 ;
  • FIG. 8 is a schematic side view of a system for heat-treating a workpiece according to a sixth embodiment of the invention (shown with a side wall removed).
  • a system for heat-treating a workpiece is shown generally at 20 .
  • the system includes a pre-heating device 22 operable to pre-heat the workpiece 24 to an intermediate temperature, and a heating device 26 operable to heat a surface 28 of the workpiece to a desired temperature greater than the intermediate temperature.
  • the system further includes a cooling enhancement system 29 for enhancing cooling of the workpiece to a temperature below the intermediate temperature.
  • the system 30 includes a pre-heating device 32 , operable to pre-heat a workpiece 34 , which in this embodiment is a semiconductor wafer, to an intermediate temperature.
  • the system further includes a heating device 36 , which in this embodiment is operable to heat a surface 38 of the workpiece 34 to desired temperature greater than the intermediate temperature.
  • the pre-heating device 32 is operable to pre-heat the workpiece 34 from an initial temperature to the intermediate temperature
  • the heating device 36 is operable to heat the surface 38 of the workpiece 34 to the desired temperature, which is greater than the intermediate temperature by an amount less than or equal to about the difference between the intermediate and initial temperatures. In other words, a significant portion of the heating occurs during the heating from the initial temperature to the intermediate temperature.
  • the heating device is operable to commence the heating within less time following the first time period than the first time period. More particularly, in this embodiment the heating device is operable to commence the heating of the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • the pre-heating device 32 includes a first irradiance source 40 operable to irradiate a first side 42 of the workpiece 34 to pre-heat the workpiece 34 to the intermediate temperature.
  • the heating device 36 includes a second irradiance source 44 operable to irradiate a second side 46 of the workpiece 34 , which in this embodiment is co-extensive with the surface 38 of the workpiece 34 , to heat the second side 46 to the desired temperature greater than the intermediate temperature.
  • the heating device 36 also may be activated while the pre-heating device 32 is activated for pre-heating the workpiece.
  • the pre-heating may be achieved by using both the heating device 36 and the pre-heating device 32 .
  • the heat-treating system 30 effectively acts as a semiconductor heating apparatus.
  • the system 30 includes a cooling enhancement system shown generally at 47 for enhancing cooling of the workpiece to a temperature below the intermediate temperature.
  • the cooling enhancement system 47 includes an absorption system operable to absorb radiation thermally emitted by the workpiece. More particularly, in this embodiment the absorption system includes a radiation absorbing environment operable to absorb radiation reflected and thermally emitted by the workpiece 34 . More particularly still, in this embodiment the radiation absorbing environment is provided by a radiation absorbing chamber 48 surrounding the workpiece 34 .
  • the radiation absorbing chamber 48 includes walls 50 , 52 , 54 and 56 , each of which acts as a radiation absorbing surface operable to absorb the radiation reflected and thermally emitted by the workpiece 34 .
  • the absorption system of the cooling enhancement system 47 includes a radiation absorbing surface, which in turn includes a wall of a radiation absorbing chamber.
  • the walls 50 , 52 , 54 and 56 are made of black stainless steel.
  • other suitable radiation-absorbing materials may be used, such as anodized aluminum, for example.
  • the walls may be composed of virtually any thermally conductive material and coated with a radiation-absorbing substance, such as paint containing graphite, for example.
  • the absorption system of the cooling enhancement system 47 further includes a selective-filtering system, discussed in greater detail below.
  • the radiation-absorbing effect of the cooling enhancement system 47 serves to increase the response time of the system 30 , so that the workpiece 34 begins to cool more quickly after the pre-heating and heating devices 32 and 36 are switched off than it would if a reflective chamber were substituted for the radiation absorbing chamber 48 .
  • This increased system response time results in a more sharply-defined temperature profile in which the surface 38 of the workpiece 34 spends less time at the highest temperatures involved in any given thermal cycle. Where the workpiece 34 is an ion-implanted semiconductor wafer, this tends to reduce the dopant diffusion depth during the thermal cycle, allowing for the formation of shallower junctions.
  • the radiation absorbing chamber 48 reduces possible damage to the crystal lattice of such a workpiece, as compared to systems using reflective chambers, which non-uniformly reflect radiation back to the workpiece which then non-uniformly absorbs such radiation, giving rise to increased thermal gradients and thermal stress in the workpiece.
  • the cooling enhancement system 47 not only improves the uniformity of the heating of the workpiece by removing any such re-reflections during the heating stages, but additionally, during the cooling stages when the heating sources are deactivated, the cooling enhancement system enhances cooling of the workpiece by preventing radiation thermally emitted by the workpiece from being reflected back to the workpiece, which would tend to re-heat the workpiece.
  • the cooling enhancement system 47 allows the workpiece to cool at a rate of at least 100° C. per second, or more particularly, at a rate of at least 180° C. per second.
  • a reflective chamber may be substituted for the radiation absorbing chamber 48 , if desired, which would increase the energy efficiency of the thermal cycle at the expense of greater dopant diffusion and thermal stress in the workpiece.
  • the system 30 further includes a cooling subsystem 58 operable to cool the radiation absorbing surfaces of the walls 50 , 52 , 54 and 56 of the radiation absorbing chamber 48 .
  • the cooling subsystem 58 is a water circulation system, although alternatively other cooling enhancement systems may be substituted.
  • the cooling subsystem 58 may be omitted, although this would be undesirable if radiation absorbing surfaces are such as walls 50 , 52 , 54 and 56 are provided, as the radiation absorbing surfaces would otherwise tend to become hot and thermally emit radiation, which would continue to heat the workpiece 34 after the pre-heating and heating devices 32 and 36 are deactivated, thereby slowing the response time of the system 30 .
  • the cooling enhancement system 47 includes a selective-filtering system (discussed in greater detail further below) such as one or more water-cooled windows
  • the cooling subsystem 58 may also be used to cool the selective-filtering system.
  • the cooling subsystem 58 may be used to cool any other windows of the system 30 , such as a window 53 discussed below, for example.
  • the system 30 further includes a temperature indicator 60 operable to produce an indication of a temperature of the workpiece.
  • the temperature indicator 60 includes a measuring system such as that disclosed in commonly-owned U.S. Pat. No. 6,303,411, issued Oct. 16, 2001, which is incorporated herein by reference.
  • the temperature indicator 60 includes a charge-coupled device (CCD) mounted beneath a quartz window 53 in the wall 52 of the radiation absorbing chamber 48 , and further includes a CCD optics system (not shown) and a band-pass filter (not shown) interposed between the CCD and the window 53 , and a radiation sensor (not shown) mounted on a lower surface of an internal wall 57 of the radiation absorbing chamber 48 .
  • CCD charge-coupled device
  • the temperature indicator 60 includes a CCD optics system (not shown) and a band-pass filter (not shown) interposed between the CCD and the window 53 , and a radiation sensor (not shown) mounted on a lower surface of an internal wall 57 of the radiation absorbing chamber
  • the internal wall 57 in the radiation absorbing chamber 48 extends between the walls 50 and 56 of the radiation absorbing chamber.
  • An annular guard ring 61 is set in a disc-shaped opening 59 in the internal wall 57 and extends radially inward into the opening 59 .
  • the guard ring 61 includes the same or similar material as the workpiece, which in this embodiment is a silicon semiconductor wafer.
  • the guard ring is used to reduce edge effects during the thermal cycle, and acts as a locator for locating the workpiece in a desired position relative to the pre-heating and heating devices 32 , 36 . Alternatively, other means for supporting the workpiece may be substituted.
  • the radiation absorbing chamber 48 may include gas flow ports (not shown) and flow controllers (not shown) for controlling gas flows in the vicinity of the workpiece, although such elements are not necessary for typical annealing applications.
  • the workpiece 34 is a semiconductor wafer. More particularly, in this embodiment the semiconductor wafer is a silicon wafer used in the manufacture of semiconductor chips, such as microprocessors and memory devices, for example.
  • the first side 42 of the workpiece 34 is a substrate side, while the second side 46 is a device side.
  • the first and second irradiance sources 40 and 44 are locatable to irradiate the substrate side and the device side, respectively, of a semiconductor wafer.
  • the device side (the second side 46 ) of the silicon wafer workpiece 34 has been previously subjected to an ion implantation process, such as ultra-low energy boron implantation for the formation of shallow p+/n junctions, for example, to implant impurity or dopant atoms into surface regions of the device side.
  • an ion implantation process such as ultra-low energy boron implantation for the formation of shallow p+/n junctions, for example, to implant impurity or dopant atoms into surface regions of the device side.
  • the workpiece suffers crystalline lattice damage, and the boron implants tend to remain concentrated largely at interstitial locations where they are electrically inactive, in a high boron concentration layer produced by the implant in the vicinity of the second side 46 .
  • the workpiece 34 must be subjected to an annealing process to force the implants into substitutional sites in the lattice of the silicon wafer, thereby rendering them electrically active, and to repair the crystalline lattice damage suffered during ion implantation.
  • the workpiece 34 may alternatively include a wafer that is to be annealed for different purposes.
  • the heat-treating methods and systems exemplified herein may be applied to anneal layers of metals, oxides, nitrides, silicides, silicates or transition metal oxides on regions of the wafer.
  • other embodiments may also be used to thermally oxidize or to thermally nitridize regions of the wafer, or to drive chemical vapor deposition of layers on the wafer, or to drive solid state reactions within the bulk and the near surface regions of the wafer, to name but a few examples.
  • the base material may include a semiconductor such as silicon, silicon carbide or gallium arsenide, for example, but alternatively, may include a magnetic medium used to fabricate memory media or magnetic read I write heads, or may include a glass used to fabricate flat panel displays, for example.
  • Such workpieces may or may not have been subjected to a surface modification process such as pre-amorphization, and the layers may be either laterally continuous or discontinuous (as a result of intentional patterning) across the surfaces of the base material, or a combination of continuous and discontinuous layers.
  • the workpiece 34 need not be any of the above types of workpieces, but may alternatively include any other type of workpiece that would benefit from the heat-treating methods and systems disclosed herein.
  • the pre-heating device 32 includes an arc lamp 62 operable to irradiate the workpiece 34 with electromagnetic radiation.
  • the arc lamp 62 is a 500 kW double water wall argon plasma arc lamp available from Vortek Industries Ltd. of Vancouver, British Columbia, Canada.
  • An example of such an arc lamp is disclosed in commonly-owned Patent Cooperation Treaty application Serial No. PCT/CA01/00051, published Jul. 26, 2001 under Publication No. WO 01/54166, which is hereby incorporated herein by reference.
  • Such arc lamps provide numerous advantages for semiconductor annealing as compared to tungsten filament lamp sources.
  • the response time of the arc lamp 62 is on the order of 0.1 or 0.2 ms or less, which is not only faster than a thermal conduction time for a silicon wafer but is also three orders of magnitude faster than response times of typical tungsten filament lamps.
  • the arc lamp 62 thus permits a faster thermal cycle resulting in less dopant diffusion than tungsten filament annealing systems.
  • the arc lamp 62 produces over 95% of its spectral distribution below the 1.2 ⁇ m band gap absorption of cold silicon, as compared to 40% for typical tungsten lamp sources, resulting in greater heating efficiency.
  • the plurality of tungsten filament lamps in a typical tungsten annealing system are not perfectly calibrated with one another and their irradiance spectra also change over time due to changes in the filament, accumulation of deposits on lamp bulb surfaces, etc. Therefore, the use of the single arc lamp 62 , whose irradiance does not appreciably change over time, increases the uniformity of irradiation of the workpiece, resulting in lower thermal gradients in the workpiece and less corresponding thermal damage to the lattice, and eliminates the need for frequent calibration and re-calibration of a large number of energy sources, such as an array of tungsten lamps, for example.
  • the long lifetime of the arc lamp 62 eliminates the need for frequent replacement and re-calibration of burned-out bulbs.
  • other types of pre-heating devices including even tungsten filament lamp sources or arrays of such lamp sources, may be substituted.
  • the pre-heating device 32 further includes a reflector 64 .
  • the reflector 64 is formed by a series of flat segments forming a trough shape, so as to cooperate with the arc lamp 62 to produce a generally uniform irradiance field.
  • the reflector 64 includes a reflector manufactured by Vortek Industries Ltd., of Vancouver, Canada.
  • the reflector 64 may be omitted or replaced with other reflectors, although it is desirable that the irradiance field be generally uniform.
  • the arc lamp 62 is positioned at a focal point of the reflector 64 , and the arc lamp 62 and the reflector 64 are positioned to irradiate the first side 42 of the workpiece 34 with a substantially uniform irradiance field at an angle of incidence of 45 degrees relative to the surface of the first side 42 of the workpiece.
  • the radiation absorbing chamber 48 further includes a quartz window 65 extending between the walls 52 and 56 of the radiation absorbing chamber.
  • the quartz window 65 serves to isolate the arc lamp 62 and reflector 64 from the interior of the radiation absorbing chamber 48 , to prevent contamination of the workpiece, lamp or reflector.
  • the pre-heating device 32 further includes a continuous power supply (not shown) for supplying power to the arc lamp 62 for continuous operation to pre-heat the workpiece.
  • the pre-heating device of the present embodiment is operable to pre-heat the workpiece at a rate of at least 100° C. per second, up to an intermediate temperature in the range of from 600° C. to 1250° C. More particularly, in this embodiment the ramp rate is at least 250° C. per second, or more particularly still, the rate is at least 400° C. per second.
  • the arc lamp 62 is capable of irradiating the first side 42 of the workpiece with an intensity of approximately 1 ⁇ 10 2 W/cm 2 to achieve a ramp rate moderately in excess of 250° C. per second, or an intensity of approximately 1.4 ⁇ 10 2 W/cm 2 to achieve a ramp rate moderately in excess of 400° C. per second, such radiation intensities being determined by the input power supplied to the arc lamp.
  • the arc lamp is capable of accepting a continuous range of input power levels and accordingly, faster or slower ramp rates may be substituted, although slower rates tend to result in increased dopant diffusion, and much faster rates (on the order of the thermal lag time of the workpiece, for example) may result in larger thermal gradients in the workpiece. For example, ramp rates on the order of 50° C. per second may well be adequate for some applications, whereas much faster ramp rates may be acceptable for other applications.
  • the system 30 includes a corrective energy source 66 mounted beneath a quartz window 67 in the wall 52 of the radiation absorbing chamber 48 .
  • the corrective energy source supplies additional heating to cooler regions of the workpiece 34 during a thermal cycle, to increase the uniformity of the temperature distribution in the workpiece, thereby reducing lattice damage caused by thermal stresses.
  • the corrective energy source is similar to that disclosed in the above-noted commonly-owned U.S. Pat. No. 6,303,411.
  • the corrective energy source 66 may be omitted entirely, or other types of corrective energy sources may be substituted.
  • the heating device 36 includes a source of thermal flux energy, to rapidly heat the surface 38 to the desired temperature. More particularly, in this embodiment the heating device 36 includes a flash lamp 68 operable to irradiate the workpiece 34 , or more particularly the surface 38 , with electromagnetic radiation.
  • the flash lamp 68 includes a VORTEK(TM) double water wall arc lamp similar to that disclosed in the above-noted commonly-owned Patent Cooperation Treaty application Serial No. PCT/CA01/00051.
  • the flash lamp 68 includes a power supply system 69 , which in this embodiment includes not only a continuous power supply similar to that provided in the pre-heating device 32 for operating the flash lamp in a continuous mode if desired, but also includes a pulsed discharge unit that may be pre-charged then abruptly discharged in order to supply a “spike” of input power to the flash lamp 68 .
  • the power supply system 69 of the flash lamp includes a power supply model number VT-20 pulsed discharge unit manufactured by Rapp OptoElectronin of Hamburg, Germany, operable to produce pulses of up to 60 kJ within a one-millisecond discharge time.
  • other types of power supplies operable to supply abrupt spikes of input power may be substituted.
  • a power supply model number PS5010 manufactured by EKSMA Company of Vilnius, Lithuania may be suitable for many applications.
  • any such power supply has a switching frequency of at least 2 kHz, and a power output of at least 500 kW, however, these preferred characteristics are not essential and may be varied if desired.
  • the VORTEK(TM) double water wall arc lamp is preferred, as it can produce much higher-powered flashes than other types of heating devices.
  • the heating device 36 preferably includes a minimal number of heat sources, most preferably a single source, in order to simplify control of the heating device and to improve uniformity of the irradiance field, without the need for ongoing calibration of a large number of sources.
  • Use of an arc lamp is favored because the arc lamp has significantly higher power output capabilities as compared to other types of heat sources, such as tungsten filament lamps, for example.
  • Conventional arc lamps may experience difficulties in producing a flash at the power levels disclosed herein, due to severe thermal stresses imposed upon the quartz windows surrounding the arc resulting not only from conduction of heat from the arc, but also from absorption within the quartz windows of radiation from the arc. In conventional arc lamps, these resulting thermal stresses may cause the quartz window surrounding the arc to violently shatter.
  • the VORTEK (TM) double water wall arc lamp addresses these difficulties, and is therefore capable of safely producing much higher-power flashes than conventional arc lamps, making it ideally suited for the application of the present embodiment where a single lamp or limited number of lamps are used.
  • the flash lamp 68 is operable to produce a flash of electromagnetic radiation with a power output of 4-6 MW ranging from 1-5 ms in duration. For example, a 6 MW flash of 1 ms duration may be advantageous for some applications.
  • the heating device 36 is operable to heat the surface 38 of the workpiece from the intermediate temperature to the desired temperature.
  • the heating device is operable to heat the surface 38 to a desired temperature which is usually in the range of 1050° C. to 1430° C.
  • the flash lamp 68 is advantageous for the purposes of the present embodiment, in comparison to other ultra-fast heating devices.
  • excimer lasers have been previously used for some annealing purposes
  • the monochromatic radiation produced by a laser tends to give rise to optical interference effects produced by thin films which coat the surface of a semiconductor wafer workpiece and which are intentionally laterally inhomogeneous.
  • Such optical interference effects produce lateral temperature gradients which result in thermal stress damage to the lattice of the workpiece.
  • the flash lamp 68 is less susceptible to such interference effects than lasers, due to the broader spectrum of the electromagnetic radiation produced by the flash lamp.
  • laser annealing typically requires multiple heating cycles, such as hundreds of cycles for example, to anneal the entire workpiece surface, and accordingly, if a laser were substituted as the heating device, the workpiece likely would spend a longer amount of time at the intermediate temperature, resulting in deeper dopant diffusion.
  • use of a laser as the heating device tends to produce lower quality junctions than the flash lamp, resulting in higher current leakage.
  • the faster ramp time associated with lasers (typically two orders of magnitude faster than that associated with the flash lamp) tends to produce higher thermal gradients, increasing the likelihood of lattice damage.
  • any alternative heating device may have a response time faster than the thermal conduction time of the workpiece 34 (typically on the order of 10-15 ms) and be capable of heating the second side 46 of the workpiece from the intermediate temperature to the desired temperature in less time than the thermal conduction time of the workpiece, so that the bulk of the workpiece 34 will remain at substantially the intermediate temperature in order for the bulk to act as a heat sink to facilitate rapid cooling of the second side 46 from the desired temperature to the intermediate temperature.
  • the heating device 36 further includes a reflector 70 .
  • the reflector 70 is formed by a series of flat segments forming a trough shape, so as to cooperate with the flash lamp 68 to produce a generally uniform irradiance field.
  • the reflector 70 includes a reflector manufactured by Vortek Industries Ltd., of Vancouver, Canada. Alternatively, the reflector 70 may be omitted or replaced with other reflectors, although it is desirable that the irradiance field be generally uniform.
  • the flash lamp 68 is positioned at a focal point of the reflector 70 , and the flash lamp and the reflector are positioned to irradiate the second side 46 of the workpiece 34 with a substantially uniform irradiance field at an angle of incidence of 45 degrees relative to the surface of the second side 46 of the workpiece 34 .
  • the radiation absorbing chamber 48 further includes a quartz window 71 extending between the walls 50 and 54 of the radiation absorbing chamber.
  • the quartz window 71 serves to isolate the flash lamp 68 and the reflector 70 from the interior of the radiation absorbing chamber 48 , to prevent contamination of the workpiece.
  • the absorption system of the cooling enhancement system 47 includes a selective-filtering system. More particularly, the selective-filtering system includes a first filtering device, which in this embodiment includes the quartz window 65 .
  • the first filtering device or more particularly, the quartz window 65 , is interposed between the pre-heating device 32 and the workpiece 34 , and is configured to transmit radiation produced by the pre-heating device to the workpiece, to pre-heat the workpiece to the intermediate temperature. More particularly still, the first filtering device is configured to transmit the radiation to a surface of the workpiece, which in this embodiment includes the substrate side, referred to herein as the first side 42 .
  • the first filtering device is further configured to absorb radiation thermally emitted by the workpiece.
  • the selective-filtering system further includes a second filtering device, which in this embodiment includes the quartz window 71 .
  • the second filtering device or more particularly, the quartz window 71 , is interposed between the heating device 36 and the workpiece 34 and is configured to transmit radiation produced by the heating device to the surface 38 of the workpiece, to heat the surface to the desired temperature greater than the intermediate temperature.
  • the second filtering device is further configured to absorb radiation thermally emitted by the workpiece.
  • the second filtering device of the selective-filtering system of the cooling enhancement system 47 includes at least one window, which in this embodiment is the quartz window 71 .
  • the quartz window 71 includes first and second spaced apart windows 82 and 84 , which in this embodiment are constructed of quartz.
  • the windows 82 and 84 are optically transparent, and define a fluid channel 86 interposed therebetween.
  • the optically transparent windows preferably have a thickness in the range of 2 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably about 3 mm.
  • the heat-treating system 30 further includes the cooling subsystem 58 for cooling the selective-filtering system, or more particularly, for cooling the first and second filtering devices thereof.
  • the cooling subsystem 58 includes a liquid-cooling subsystem for causing a liquid to flow across a surface of the window 71 .
  • the liquid-cooling subsystem causes a liquid to flow in a space, namely the fluid channel 86 , defined between the windows 82 and 84 .
  • a cooling fluid preferably a liquid such as water, more preferably purified water and most preferably deionized water is pumped through the fluid channel 86 .
  • the cooled window 71 having water pumped through fluid channel 86 readily transmits visible and near-visible radiation (represented by wave lines 85 ) having wavelengths from about 0.2 to 1.4 ⁇ m from the flash lamp 68 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 ⁇ m emitted from the workpiece (represented by wave lines 88 ).
  • the cooled window 71 actively promotes workpiece cooling and reduces or eliminates reflections of workpiece-emitted radiation back to the workpiece.
  • This system 30 provides greater control and maximizes cooling of the workpiece.
  • the water After absorbing radiation, the water is pumped away from the window to further enhance cooling, as such pumping prevents the cooled window 71 and the water therein from heating up and beginning to thermally emit radiation. Radiation absorbed by the water does not return to the workpiece where it would be reabsorbed. In contrast, conventional highly reflective systems (not radiation absorbing chambers) return most such radiation emitted by the wafer back to the wafer.
  • the cooled window 71 includes a first optically transparent plate (the window 82 ) cooled by a cooling fluid, and further includes a second optically transparent plate (the window 84 ) separated from the first optically transparent plate to define a passageway (the fluid channel 86 ) through which the cooling fluid may flow.
  • the quartz window 65 shown in FIG. 2 interposed between the pre-heating device 32 and the workpiece 34 is structurally similar to the quartz window 71 . Therefore, in this embodiment the window 65 is also liquid-cooled, or more particularly, is a water-cooled quartz window.
  • the system 30 effectively acts as a semiconductor heating apparatus, including a first heating source (one of the pre-heating device 32 and the heating device 36 ) for heating a first surface of a semiconductor wafer, a second heating source (the other one of the pre-heating device 32 and the heating device 36 ) for heating a second surface of the semiconductor wafer, and a first cooled window (one of the windows 65 and 71 ) disposed between the first heating source and the semiconductor wafer.
  • the apparatus of the present embodiment further includes a second cooled window (the other one of the windows 65 and 71 ) disposed between the second heating source and the semiconductor wafer.
  • the first and second cooled windows absorb radiation thermally emitted by the semiconductor wafer, to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • the system 30 further includes a processor circuit 72 , which in the present embodiment is housed within a general purpose computer 74 .
  • the processor circuit 72 is in communication with the pre-heating device 32 and the heating device 36 .
  • the processor circuit is in further communication with such devices.
  • the computer 74 further includes a storage device 76 in communication with the processor circuit 72 . More particularly, the storage device 76 includes a hard disk drive and a random access memory. The computer 74 further includes an input device 78 , which in this embodiment is a keyboard, and an output device 80 , which in this embodiment is a color monitor. Alternatively, however, other storage, input and output devices may be substituted. Or, as a further alternative, the processor circuit may be omitted entirely and replaced with any other suitable means for controlling the pre-heating and heating devices 32 and 36 in accordance with the methods exemplified herein.
  • the storage device 76 shown in FIG. 2 stores blocks of codes for directing the processor circuit 72 to execute a heat-treating routine shown generally at 90 in FIG. 3 .
  • the heat-treating routine is executed by the processor circuit in response to user input received at the user input device 78 indicating that a heat-treating cycle is to commence.
  • the heat-treating routine 90 configures the processor circuit 72 to control the pre-heating device 32 and the heating device 36 to pre-heat the workpiece 34 to an intermediate temperature, and to heat the surface 38 of the workpiece 34 to a desired temperature greater than the intermediate temperature.
  • the heating commences within less time following the first time period than the first time period. More particularly, in this embodiment the heating commences substantially immediately when the workpiece reaches the intermediate temperature.
  • the desired temperature is greater than the intermediate temperature by an amount less than or equal to about one-fifth of a difference between the intermediate temperature and an initial temperature of the workpiece.
  • the walls 50 , 52 , 54 and 56 of the radiation absorbing chamber 48 absorb radiation reflected and thermally emitted by the workpiece 34
  • the quartz windows 65 and 71 similarly absorb radiation thermally emitted by the workpiece, thus enhancing cooling of the workpiece.
  • the cooling subsystem 58 cools these walls and windows to prevent them from becoming hot in response to such absorption and re-emitting such absorbed energy as blackbody radiation.
  • absorption and cooling may be omitted at the expense of temperature uniformity in the workpiece during the execution of the heat-treating routine, and at the further expense of deeper dopant diffusion resulting from slower cooling rates.
  • the heat-treating routine 90 begins with a first block 100 of codes shown in FIG. 3 , which directs the processor circuit 72 to pre-heat the workpiece 34 to an intermediate temperature.
  • block 100 directs the processor circuit to activate the pre-heating device 32 , or more particularly the first irradiance source 40 , to irradiate the first side 42 of the workpiece 34 to pre-heat the workpiece to the intermediate temperature. More particularly, block 100 directs the processor circuit to control the arc lamp 62 shown in FIG.
  • irradiating the workpiece involves exposing the workpiece to electromagnetic radiation produced by an arc lamp.
  • Block 100 also directs the processor circuit 72 to initialize the heating device 36 , which in this embodiment is achieved by charging the power supply system 69 of the flash lamp 68 shown in FIG. 2 .
  • block 100 further directs the processor circuit 72 to control the corrective energy source 66 to produce a desired spatial temperature distribution across the workpiece during the pre-heating stage, as described in greater detail in the above-noted commonly-owned U.S. Pat. No. 6,303,411.
  • the corrective energy source 66 may be omitted.
  • Block 110 then directs the processor circuit 72 to determine whether the intermediate temperature has been achieved in the workpiece.
  • block 110 directs the processor circuit to achieve this by monitoring signals received from the temperature indicator 60 shown in FIG. 2 indicative of the temperature of the workpiece 34 .
  • block 110 may direct the processor circuit to act as a temperature indicator, to produce an indication of a temperature of the workpiece based on the time elapsed since the pre-heating device was activated at block 100 , in view of a predicted heating rate corresponding to the intensity of radiation incident upon the workpiece, to determine whether the intermediate temperature has been achieved.
  • the magnitude of the intermediate temperature will vary from application to application, in the present embodiment the intermediate temperature is 1000° C.
  • blocks 100 and 110 direct the processor circuit to control the pre-heating device 32 to pre-heat the workpiece for a time period greater than a thermal conduction time of the workpiece (which is on the order of 10-15 ms).
  • block 120 directs the processor circuit 72 to heat the surface 38 of the workpiece 34 to a desired temperature that is greater than the intermediate temperature.
  • the desired temperature exceeds the intermediate temperature by an amount less than or equal to about one-fifth (or more particularly, less than or equal to about one-twentieth) of a difference between the intermediate temperature and the initial temperature of the workpiece.
  • preferred intermediate temperatures are in the range of about 600° C. to 1250° C.
  • preferred desired temperatures are in the range of about 1050° C. to about 1430° C. (which very roughly corresponds to a melting point of silicon).
  • this heating stage commences within less time following the first time period (during which the workpiece temperature was increasing to the intermediate temperature) than the first time period. More particularly, as a result of the execution of block 110 and 120 , the heating device 36 is operable to commence the heating of the surface 38 of the workpiece in response to the indication from the temperature indicator 60 that the temperature of the workpiece 34 is at least the intermediate temperature, or alternatively, where the temperature indicator is omitted for example, the heating device is operable to commence such heating at an end of the first time period (during which the temperature of the workpiece was increasing to the intermediate temperature).
  • the heating device 36 is operable to commence heating the surface 38 substantially immediately when the workpiece 34 reaches the intermediate temperature.
  • the heating device is operable to commence the heating of the surface within less than one second after the workpiece reaches the intermediate temperature. More particularly, the heating device is operable to commence the heating of the surface within less than one-quarter second after the intermediate temperature is reached. More particularly still, in this embodiment the heating device is operable to commence such heating within less than 100 milliseconds, or more particularly within 10 milliseconds, after the workpiece reaches the intermediate temperature.
  • the heating device is operable to commence the heating of the surface within an interval following the arrival of the workpiece at the intermediate temperature, the interval having a duration less than or equal to a thermal conduction time of the workpiece.
  • commencement of the heating stage it may be desirable to delay commencement of the heating stage until slightly after the deactivation of the pre-heating device, to allow for the thermal lag of the workpiece (on the order of 10-15 ms).
  • any delay longer than this 10-15 ms workpiece conduction time in commencing this heating stage will tend to increase dopant diffusion in the workpiece.
  • block 120 directs the processor circuit 72 to deactivate the pre-heating device 32 (including the corrective energy source 66 if a corrective energy source is provided), and to activate the heating device 36 to heat the surface 38 of the workpiece to the desired temperature. More particularly, in this embodiment, block 120 directs the processor circuit 72 to commence the heating stage by controlling the second irradiance source 44 to irradiate the second side 46 of the workpiece 34 to heat the second side to the desired temperature, which is greater than the intermediate temperature. The processor circuit is directed to achieve this by signaling the flash lamp 68 shown in FIG.
  • irradiating the surface 38 involves exposing the surface to electromagnetic radiation produced by a flash lamp.
  • the dopant atoms implanted in the surface 38 of the workpiece tend to eject silicon atoms from the lattice and occupy substitutional lattice sites formerly occupied by silicon atoms.
  • the dopants thereby become electrically activated.
  • the displaced silicon atoms tend to migrate toward interstitial sinks such as the surface 38 of the workpiece, where they tend to be consumed by other processes such as oxidation.
  • the heat-treating routine 90 is then ended.
  • a temperature-time profile of the surface 38 of the workpiece 34 resulting from the foregoing execution of the heat-treating routine 90 is shown generally at 130 .
  • the temperature-time profile 130 has four distinct stages, namely, a bulk pre-heating stage 132 , a surface heating stage 134 , a surface cooling stage 136 and a bulk cooling stage 138 .
  • the bulk pre-heating stage 132 results from the execution by the processor circuit 72 of blocks 100 and 110 , and serves to pre-heat the workpiece 34 by increasing its temperature over a first time period 133 from its initial temperature to the intermediate temperature. More particularly, in this embodiment, the pre-heating device 32 increases the temperature of the entire workpiece 34 from its initial temperature (room temperature) to an intermediate temperature of 1000° C. at a ramp rate of approximately 400° C. per second.
  • Pre-heating the workpiece in this manner to the intermediate temperature, and in particular to an intermediate temperature that is relatively close to the desired temperature, serves to reduce the magnitude of the temperature gradients that occur in the workpiece during the subsequent surface heating stage 134 and therefore serves to reduce thermal stress damage to the lattice of the workpiece, in comparison to techniques such as laser annealing or microwave annealing.
  • the relatively fast ramp rate of the bulk pre-heating stage 132 and the correspondingly short time period spent by the workpiece at high temperatures results in much less dopant diffusion in the workpiece than other cycles that use slower ramp rates or that hold the workpiece at an intermediate temperature before the subsequent heating stage.
  • the duration of the bulk pre-heating stage 132 while longer than the thermal conduction time of the workpiece, is short compared to a characteristic time required for unacceptable diffusion to occur at the temperatures obtained during the bulk pre-heating stage.
  • the surface heating stage 134 results from the flash produced by the heating device 36 at block 120 , and serves to heat the surface 38 of the workpiece from the intermediate temperature to the desired temperature. As shown in FIG. 4 , such heating of the surface commences within less time following the first time period 133 than the first time period 133 . More particularly, in this embodiment the heating commences substantially immediately following the end of the first time period 133 , as soon as the intermediate temperature is achieved in the workpiece 34 . In this embodiment the flash increases the temperature of the surface 38 from the intermediate temperature of 1000° C. to the desired annealing temperature of 1050° C. in approximately one millisecond.
  • the heating device 36 is operable to heat the surface 38 of the workpiece for a time period less than a thermal conduction time of the workpiece (on the order of 10-15 ms). Therefore, the heating device 36 heats the surface 38 of the workpiece much faster than such heat can conduct away from the surface 38 and into the workpiece, and as a result, the bulk of the workpiece remains substantially at the intermediate temperature while the surface 38 is heated to the desired temperature.
  • the relatively cold bulk of the workpiece 34 acts as a heat sink for the surface 38 , allowing the surface 38 to cool at a significantly faster rate than it would have cooled if the entire workpiece had been heated to the desired temperature. This rapid cooling continues until the surface 38 has reached the same temperature as the remainder of the workpiece 34 (approximately the intermediate temperature).
  • the duration of this surface cooling stage 136 is on the order of the duration of the surface heating stage 134 .
  • a surface of a silicon semiconductor wafer may cool at a rate of 10,000° C. per second for example, depending on the (intermediate) temperature of the bulk of the wafer.
  • the desired temperature exceeds the intermediate temperature by an amount less than or equal to about one-fifth (or more advantageously in the present embodiment, less than or equal to about one-twentieth) of the difference between the intermediate and initial temperatures, the temperature gradients in the workpiece during these heating and cooling stages are much smaller than those that occur in conventional laser annealing techniques, resulting in less thermal stress damage to the crystal lattice.
  • the bulk cooling stage 138 When the surface 38 has cooled to the same temperature as the bulk of the workpiece 34 (approximately the intermediate temperature), the bulk cooling stage 138 then commences, in which the surface 38 cools along with the bulk of the workpiece 34 .
  • such cooling results largely from blackbody radiation thermally emitted by the hot workpiece, but also results partly from convection involving gases (if any) in the vicinity of the workpiece.
  • the rate of such bulk cooling is strongly dependent on temperature and also depends on other factors such as the absorptiveness or reflectivity of the chamber, for example.
  • the bulk cooling stage initially commences at a ramp rate of approximately ⁇ 180° C./s, although this rate decreases somewhat as the workpiece cools.
  • the radiation absorbing properties of the cooling enhancement system 47 and radiation absorbing chamber 48 allow faster bulk cooling rates than conventional reflective chambers.
  • additional means to controllably cool the workpiece 34 from the intermediate temperature are provided. While the thermal flux heating ceases upon de-activation of the flash lamp 68 , and cooling of the second side 46 from the desired temperature to the intermediate temperature occurs rapidly during the surface cooling stage 136 as discussed above, cooling from the intermediate temperature to room temperature (or to a temperature below the intermediate temperature at which the workpiece is removed from the system) does not proceed rapidly without assistance. Thermal exposure may be undesirably large if the workpiece remains at or close to the intermediate temperature for prolonged periods (e.g. 0.3 seconds or longer).
  • the water-cooled walls 50 , 52 , 54 and 56 of the radiation absorbing chamber 48 and the cooled windows 71 and 65 associated with the heating device 36 and the pre-heating device 32 absorb radiation emitted from the workpiece at wavelengths of 1.4 ⁇ m and above. For the example of a silicon semiconductor wafer, this represents on the order of 95% of the radiation emitted from the workpiece.
  • the radiation absorbing chamber 48 and cooled windows 71 and 65 thus controllably cool the workpiece by removing from the radiation absorbing chamber 48 radiation emitted by the workpiece, preventing re-reflections of the radiation onto the workpiece.
  • An example of such controlled cooling is illustrated by the bulk cooling stage 138 slope of the graph of FIG. 4 .
  • heat-treating routine 90 may be stored in the storage device 76 for directing the processor circuit 72 to control the system 30 to execute a plurality of different corresponding thermal heat-treating cycles for different applications.
  • the workpiece 34 may be pre-heated for different times and/or at different rates to different intermediate temperatures, and the second side 46 of the workpiece may then be heated with different power levels for different durations to different desired temperatures, depending upon the particular application.
  • pre-heating devices and heating devices other than the arc lamp and flash lamp may be substituted.
  • the pre-heating device 32 includes an alternative irradiance source, which in this embodiment includes at least one filament lamp.
  • irradiating the workpiece includes exposing the workpiece to electromagnetic radiation produced by at least one filament lamp.
  • the pre-heating device 32 includes a disc-shaped array 202 of tungsten filament lamps operable to project electromagnetic radiation through a quartz window 204 to irradiate the first side 42 of the workpiece 34 , to pre-heat the workpiece to the intermediate temperature.
  • the heating device 36 includes a source of adiabatic energy, to rapidly heat the surface 38 to the desired temperature.
  • the heating device includes a laser 206 , such as an excimer laser or other suitable laser, operable to irradiate the surface 38 by moving a laser beam 208 across the surface.
  • the laser 206 is operable to produce a rapid laser pulse, on the order of microseconds or nanoseconds in duration, to heat the surface 38 to the desired temperature.
  • the flash lamp 68 shown in FIG. 2 is preferred, for reasons discussed earlier herein.
  • a system for heat-treating a workpiece is shown generally at 160 in FIG. 6 .
  • a single arc lamp 162 functions as both the pre-heating device 32 and the heating device 36 .
  • the arc lamp 162 is similar to the arc lamp 62 shown in FIG. 2 and includes a reflector 164 for providing a substantially uniform irradiance field to irradiate the second side 46 of the workpiece, which in this embodiment is a device side of a silicon semiconductor wafer.
  • the arc lamp 162 further includes a power supply system 166 similar to the power supply system 69 of the flash lamp 68 shown in FIG. 2 .
  • the power supply system 166 includes a pulsed discharge unit similar to that of the power supply system 69 , which is connected in parallel with a regular continuous power supply (not shown) of the arc lamp 162 .
  • the arc lamp 162 may be operated in a manner similar to the arc lamp 62 shown in FIG. 2 during the bulk pre-heating stage 132 shown in FIG. 4 , in accordance with the execution by the processor circuit 72 of a modified block 100 of the heat-treating routine 90 .
  • a modified block 110 directs the processor circuit 72 to disconnect the regular continuous power supply to the arc lamp 162 , and to discharge the power supply system 166 to provide an abrupt spike of power to the arc lamp 162 , producing a flash of similar intensity and duration to that produced by the flash lamp 68 shown in FIG. 2 .
  • the system 160 supplies 100% of the heating of the workpiece to the second side 46 , which in this embodiment is the device side, of the workpiece.
  • the device side is much more inhomogeneous than the substrate side (the first side 42 ) of the workpiece, non-uniform absorption by devices on the device side may tend to produce greater lateral temperature gradients and corresponding thermal stress damage to the lattice of the workpiece than those that would occur using the system 30 .
  • This difficulty may be alleviated somewhat by providing the system 160 with an additional corrective energy source 168 locatable to supply additional heat to cooler areas of the device side, whose operation is similar to that of the corrective energy source 66 shown in FIG. 2 .
  • a cooled window 170 extends between the chamber walls to isolate the arc lamp from the workpiece, and includes spaced-apart optically transparent windows 172 and 174 , preferably constructed of quartz, having a fluid channel 176 interposed therebetween.
  • the optically transparent windows preferably have a thickness in the range of 3 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably 3 mm.
  • a cooling fluid preferably a liquid such as water, is pumped through the fluid channel 176 .
  • the cooled window 170 having water pumped through fluid channel 176 readily transmits visible radiation (represented by wave lines 175 ) from the arc lamp 162 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 ⁇ m emitted from the workpiece (represented by wave lines 178 ). By absorbing radiation in wavelengths emitted radiantly by the workpiece, the cooled window 170 actively promotes workpiece cooling and limits or eliminates reflections of workpiece-emitted radiation back to the workpiece. This system 160 provides greater control and maximizes cooling of the workpiece. Alternatively, however, the window 170 may be omitted or replaced with other suitable window types if desired.
  • pre-heating and heating devices 32 and 36 further variations in the nature, location and combinations of the pre-heating and heating devices 32 and 36 are possible.
  • lasers other than excimer lasers may be substituted for the flash lamp 68 to act as the heating device 36 .
  • different types of tungsten filament lamp arrays, such as a linear tungsten lamp array, may be substituted for the arc lamp 62 to act as the pre-heating device 32 .
  • a system for heat-treating a workpiece according to a fifth embodiment of the invention is shown generally at 220 in FIG. 7 .
  • the pre-heating device 32 includes a radiant hot body 222 locatable to pre-heat the workpiece to the intermediate temperature.
  • the hot body 222 is quartz, heated to approximately the intermediate temperature.
  • other materials such as silicon carbide, silicon, refractory metal, graphite, or a combination of such materials, for example, may be substituted.
  • the hot body 222 is located in the radiation absorbing chamber 48 below the workpiece 34 , in close proximity thereto, and is operable to pre-heat the workpiece by radiative heat transfer and also by convection and conduction through a thin layer of gas between the hot body 222 and the workpiece 34 .
  • the hot body may be effectively “shut off” by moving the workpiece away from the hot body, or alternatively, by moving the hot body away from the workpiece. In this embodiment, this is achieved by a motorized mechanism 224 that slides the workpiece 34 out of the radiation absorbing chamber 48 following the surface heating stage.
  • a cooled window 230 extends between the chamber walls to isolate the heating device 36 from the chamber holding the workpiece.
  • the cooled window 230 includes spaced-apart optically transparent windows 232 and 234 , preferably constructed of quartz, having a fluid channel 236 interposed therebetween.
  • the optically transparent windows preferably have a thickness in the range of 3 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably 3 mm.
  • a cooling fluid preferably a liquid such as water, is pumped through the fluid channel 236 .
  • the cooled window 230 having water pumped through fluid channel 236 readily transmits visible radiation (represented by wave lines 235 ) from the lamp of the heating device 36 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 ⁇ m emitted from the workpiece (represented by wave lines 238 ).
  • the cooled window 230 actively promotes workpiece cooling and limits or eliminates reflections of workpiece-emitted radiation back to the workpiece.
  • This system 220 provides greater control and maximizes cooling of the workpiece.
  • the window 230 may be omitted or replaced with other suitable window types if desired.
  • the pre-heating and heating devices need not be on opposite sides of the workpiece: for example, if desired, the pre-heating device, such as a linear tungsten lamp array, and a heating device such as a laser may be both located above the surface 38 of the workpiece, to irradiate the second or device side 46 of the workpiece (although, as noted, supplying 100% of the pre-heating and heating energy to the device side tends to produce greater temperature gradients and thermal stress damage).
  • an apparatus for heating a workpiece is shown generally at 300 .
  • the workpiece is a semiconductor wafer
  • the apparatus 300 includes a chamber housing the semiconductor wafer, the chamber having one or more walls with a radiation-reflecting surface.
  • the chamber of the apparatus 300 includes axially aligned reflective chambers 302 and 304 separated from one another by a workpiece-holding chamber 306 .
  • Each reflective chamber 302 , 304 has four sidewalls with the internal sidewall surfaces coated with a reflective coating 308 , 310 that reflects radiation in the wavelength ranges emitted from arc lamp sources and emitted from the workpiece.
  • the sidewalls are slightly inwardly tapered toward the workpiece-holding chamber 306 , with the angle of the taper from about 2 to 6 degrees from perpendicular, preferably about 3 degrees from perpendicular.
  • the sidewalls of the chambers 302 , 304 in this sixth embodiment are reflective and may not be water cooled.
  • the workpiece 320 is held by its outer edges on a support ring 322 .
  • the workpiece could be supported on pins, or by other suitable means.
  • the workpiece 320 is a semiconductor wafer.
  • the workpiece 320 is loaded into and unloaded from the workpiece-holding chamber 306 in a direction generally perpendicular to the axis of the chambers 302 , 304 as indicated by arrow 312 .
  • the workpiece-holding chamber is sealed from the chambers 302 , 304 preferably by optically transparent windows 314 , 316 , although such windows are not required. Process gases and/or inert gases may be introduced into the workpiece-holding chamber through conduits (not shown).
  • gases such as argon, nitrogen, NH 3 , N 2 O and NO, and mixtures of these gases or mixtures of one or more of these gases with oxygen, are introduced into the chamber 306 .
  • Annealing may also be carried out in a vacuum.
  • Arc lamps 324 , 326 and associated reflector assemblies 328 , 330 are provided at the top and bottom of the apparatus, adjacent to the reflective chambers 302 and 304 , respectively.
  • the reflector assemblies are formed by a series of flat segments forming a trough shape, so as to cooperate with each arc lamp to produce a generally uniform irradiance field. Examples of such reflectors are manufactured by Vortek Industries Ltd. of Vancouver, Canada.
  • Each arc lamp 324 , 326 is positioned at a focal point of its associated reflector 328 , 330 , respectively.
  • Each arc lamp 324 , 326 and its associated reflector assembly 328 , 330 , respectively, are positioned to irradiate one side of the workpiece 320 with a substantially uniform irradiance field. As shown in FIG. 8 , the radiant energy from arc lamp 324 irradiates the top surface 318 of the workpiece 320 .
  • the reflectors 328 , 330 direct the radiant energy toward the workpiece without substantial reflection of that radiation on the reflective sidewalls of the chambers 302 , 304 .
  • Optically transparent windows 332 , 334 are provided to further isolate the arc lamps 324 , 326 from the chambers 302 , 304 .
  • the arc lamps are cooled by fluid introduced through cooling channels 336 , 338 in each bulb housing.
  • Cooled windows 340 , 342 each include two optically transparent plates 344 , 346 and 354 , 356 spaced apart from one another and having one or more channels 348 and 358 defined in that space through which a cooling fluid, such as a liquid, preferably water, flows.
  • the cooling liquid flows into the passages as indicated by arrows 350 and exits from the passages as indicated by arrows 360 .
  • the plates preferably are formed from quartz and have a thickness in the range of 2 to 10 mm, separated from one another about 2 to 5 mm, preferably 3 mm.
  • the cooled windows 340 , 342 serve competing purposes. First, they readily transmit visible and near-visible radiation emitted by the arc lamps (generally at wavelengths in the range of 0.2 to 1.4 ⁇ m) to heat the workpiece without substantial attenuation or diminishment of the efficiency of heating. Second, they actively remove longer wavelength radiation emitted from the workpiece (generally at wavelengths of 1.4 ⁇ m and above) out of the chamber thus preventing reflected radiation from returning to the workpiece, which serves to controllably cool the workpiece from the intermediate temperature to room temperature or a temperature below the intermediate temperature at which the workpiece may be removed from the workpiece-holding chamber after processing.
  • Prior heating methods in reflective cavities without cooled windows lacked means to controllably cool the workpiece and prevent excessive thermal exposure at the intermediate or higher temperatures.
  • the workpiece such as a silicon semiconductor wafer
  • the workpiece is cooled at rates in the range of 100° C. to 200° C. per second, preferably 180° C. per second or more. This compares to cooling rates of about 90° C. per second for reflective chambers without water cooled windows.
  • pre-heating and heating devices or equivalent devices may be provided.
  • an arc lamp may be provided as the pre-heating device and a laser as the heating device
  • a tungsten filament lamp array may be provided as the pre-heating device and a flash lamp as the heating device.

Abstract

A method involves pre-heating a workpiece to an intermediate temperature, heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, and enhancing cooling of the workpiece. Enhancing cooling may involve absorbing radiation thermally emitted by the workpiece. An apparatus includes a first heating source for heating a first surface of a semiconductor wafer, a second heating source for heating a second surface of the semiconductor wafer, and a first cooled window disposed between the first heating source and the semiconductor wafer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 10/005,186 filed Dec. 4, 2001, which in turn is a continuation-in-part of U.S. patent application Ser. No. 09/729,747 filed Dec. 4, 2000 (now U.S. Pat. No. 6,594,446). This application further claims foreign priority from Patent Cooperation Treaty application number PCT/CA01/00776 filed May 30, 2001. This application is also related to U.S. patent application Ser. No. 10/427,094 filed Apr. 30, 2003, which is a division of the above-noted U.S. patent application Ser. No. 09/729,747. This application is further related to U.S. patent application Ser. No. 10/777,995 filed Feb. 12, 2004. Each of the above-noted patents and patent applications is hereby incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to heating of objects, and more particularly to methods and systems for heat-treating a workpiece.
  • BACKGROUND OF THE INVENTION
  • Many applications require heating or annealing of an object or workpiece. For example, in the manufacture of semiconductor chips such as microprocessors, a semiconductor wafer, such as a silicon wafer, is subjected to an ion implantation process, which introduces impurity atoms or dopants into a surface region of a device side of the wafer. The ion implantation process damages the crystal lattice structure of the surface region of the wafer, and leaves the implanted dopant atoms in interstitial sites where they are electrically inactive. In order to move the dopant atoms into substitutional sites in the lattice to render them electrically active, and to repair the damage to the crystal lattice structure that occurs during ion implantation, it is necessary to anneal the surface region of the device side of the wafer by heating it to a high temperature.
  • Heating of a semiconductor wafer may be achieved by a number of distinct types of methods, including the following:
    • (a) Adiabatic—where the energy is provided by a pulse energy source (such as a laser, ion beam, electron beam) for a very short duration such as 10 to 100 nanoseconds, for example. This high intensity, short duration energy melts the surface of the semiconductor to a depth of about one to two microns.
    • (b) Thermal flux—where energy is provided for a longer duration, such as two microseconds to five milliseconds. Thermal flux heating creates a substantial temperature gradient extending much more than two microns below the surface of the wafer, but does not cause anything approaching uniform heating throughout the thickness of the wafer.
    • (c) Isothermal—where energy is applied for much longer duration, such as 1 to 100 seconds for example, so as to cause the temperature of the wafer to be substantially uniform throughout its thickness at any given region.
  • The high temperatures required to anneal the device side of a semiconductor wafer tend to produce undesirable effects using existing technologies. For example, diffusion of the dopant atoms deeper into the silicon wafer tends to occur at much higher rates at high temperatures, with most of the diffusion occurring within close proximity to the high annealing temperature required to activate the dopants. As performance demands of semiconductor wafers increase and device sizes decrease, it is necessary to produce increasingly shallow and abruptly defined junctions, and therefore, diffusion depths that would have been considered negligible in the past or that are tolerable today will no longer be tolerable in the next few years or thereafter. Current industry roadmaps, such as the International Technology Roadmap for Semiconductors 1999 Edition (publicly available at http://public.itrs.net/) indicate that doping and annealing technologies will have to produce junction depths as shallow as 30 nm by 2005, and as shallow as 20 nm by 2008.
  • Existing annealing technologies are generally incapable of achieving such shallow junction depths. For example, one existing rapid thermal annealing method involves illuminating the device side of the wafer with an array of tungsten filament lamps in a reflective chamber, to heat the wafer at a high rate. However, the wafer tends to remain hot for a considerable time after the power supply to the tungsten filaments is shut off, for a number of reasons. Typical tungsten lamps have a relatively long time constant, such as 0.3 seconds, for example, as a result of the high thermal masses of the filaments, which remain hot and continue to irradiate the wafer after the power supply to the filaments is discontinued. This slow time response of the filaments gives rise to the dominant thermal lag in such a system. Also, radiation return from the walls of the reflective process chamber provides another source of continued heating after the power is shut off. A temperature versus time profile of the wafer using this tungsten lamp annealing method tends to have a rounded top with relatively slow cooling after the power to the filaments is discontinued. Accordingly, if the wafer is heated with such a system to a sufficiently high temperature to repair the crystal lattice structure and activate the dopants, the wafer tends to remain too hot for too long a period of time, resulting in diffusion of the dopants to significantly greater depths in the wafer than the maximum tolerable diffusion depths that will be required to produce 30 nm junction depths.
  • Although the vast majority of dopant diffusion occurs in the highest temperature range of the annealing cycle, lowering the annealing temperature is not a satisfactory solution to the diffusion problem, as lower annealing temperatures result in significantly less activation of the dopants and therefore higher sheet resistance of the wafer, which would exceed current and/or future tolerable sheet resistance limits for advanced processing devices.
  • One annealing method that has achieved some success in producing shallow junctions involves the use of lasers to heat and anneal the device side of the wafer. The short-wavelength monochromatic radiation produced by lasers, such as excimer lasers for example, tends to be absorbed at very shallow depths in the device side of the wafer, and the short duration, high-power laser pulse (for example, a 10 nanosecond pulse delivering about 0.4 J/cm2 to the device side surface) typically used for this process tends to heat a small localized area of the surface of the device side to melting or near-melting temperatures very rapidly, in significantly less than the time required for significant thermal conduction in the wafer. Accordingly, the bulk regions of the wafer substrate tend to remain cold and therefore act as a heat sink for the heated surface region, causing the surface region to cool very quickly. A typical surface temperature versus time profile of the localized area of the device side surface using laser annealing tends to be triangular-shaped and steeply sloped for both the heating and cooling stages and therefore, the device side spends only a very short period of time at high temperatures. Thus, the wafer does not remain hot long enough for much dopant diffusion to occur. However, because the bulk regions of the wafer, as well as device side areas other than the localized area heated by the laser, remain cold when the localized surface area of the device side is heated to annealing temperature, extreme thermal gradients are produced in the wafer, resulting in large mechanical strains which cause the crystal planes within the wafer to slip, thereby damaging or breaking the crystal lattice. In this regard, a very small spatial movement may completely destroy the crystal lattice. Thermal gradients may also cause other damage, such as warpage or defect generation.
  • Even in the absence of slippage, a non-uniform temperature distribution across the wafer may cause non-uniform performance-related characteristics, resulting in either inadequate performance of the particular wafer, or undesirable performance differences from wafer to wafer. In addition, the large amount of energy delivered by the laser or lasers to the device side of the wafer is non-uniformly absorbed by the pattern of devices thereon, resulting in deleterious heating effects in regions of the wafer where annealing is not desired, and may also produce further large temperature gradients causing additional damage to the silicon lattice.
  • Other ultra-fast adiabatic heating methods similar to laser annealing have also been attempted. For example, flash lamps and microwave pulse generators have been used to rapidly heat the device side of the wafer to annealing temperature, resulting in a temperature-time profile similar to that achieved by laser annealing, with similar disadvantages.
  • At least one approach in the early 1990s involved a low-temperature annealing stage followed by a laser annealing stage. The low-temperature stage typically involved heating the wafer to a mid-range temperature in an electric furnace, such as 600° C. for example, for a relatively long period of time, such as an hour or longer. A typical temperature-time profile of the device side surface using this method is flat for a very long time, followed by a rapid increase and rapid cooling of the surface resulting from the laser anneal. Although this method purports to reduce junction leakage currents as compared to laser annealing alone, the long duration of the low-temperature annealing stage causes the dopants to diffuse to greater depths within the device side of the wafer. Such diffusion, which may have been tolerable or perhaps even negligible by early 1990s standards, would not permit the formation of sufficiently shallow junctions to comply with current performance and industry roadmap requirements.
  • A more recent approach involves the use of a fast responding argon plasma arc lamp heat source to irradiate the substrate side of the wafer, to rapidly heat the entire wafer to annealing temperatures. The time response of the arc lamp is short (typically on the order of 0.1 milliseconds or less) compared to that of the wafer itself, and thus the dominant thermal lag is that of the wafer, in contrast with the tungsten lamp method above, where the dominant thermal lag is that of the tungsten filaments. A typical temperature-time profile of the wafer using this method tends to have heating and cooling temperature rates that are intermediate between those of tungsten systems and laser annealing systems. Thus, the wafer spends less time at the high annealing temperature and therefore, less dopant diffusion occurs than with the tungsten lamp method. Accordingly, this method is capable of producing much shallower junction depths than tungsten lamp systems. As the entire wafer is heated rather than just the device side surface, the extreme transverse thermal gradients that result in laser annealing are avoided, thereby minimizing additional damage to the crystal lattice. In addition, as the substrate side is irradiated rather than the device side, non-uniform heating of the device side due to non-uniform absorption by the pattern of devices is also much lower than for laser annealing, resulting in lower lateral temperature gradients and reduced damage to devices. However, early indications suggest that embodiments of this method may result in somewhat deeper diffusion of the dopants than laser annealing.
  • An older approach, dating back to the 1980s, involved heating a semiconductor wafer by combining isothermal heating and thermal flux heating. The entire wafer was heated to a first intermediate temperature via isothermal heating with continuous wave lamps. Then, the front side of the wafer was heated via thermal flux using a high-power pulsed lamp array. These heating methods were carried out while the wafer and heating sources were held within an integrating light pipe or kaleidoscope with reflective inner surfaces that reflect and re-reflect radiant energy toward the wafer. Thus, as the wafer began to cool following deactivation of the lamps, radiation thermally emitted by the wafer would be reflected back to the wafer where it would be re-absorbed, thereby heating the wafer, and effectively slowing its cooling. This caused the wafer to spend longer times at high temperatures, thereby tending to increase dopant diffusion to depths that would be unacceptable by modern standards. In addition, the re-reflections of such radiation back to the wafer tended to produce non-uniform heating in the wafer, resulting in slippage and other problems associated with non-uniform or excessive heating. Moreover, this method purported to be suitable for heating the wafer with 2% uniformity, which is not acceptable for modern RTP systems. In addition, this method typically involved a delay of a few seconds between the isothermal heating stage and the subsequent thermal flux heating stage, during which the wafer remained at a relatively high intermediate temperature, such as 1100° C., for example. This delay at the intermediate temperature can cause significant dopant diffusion, thus interfering with the ability to produce shallow junctions in accordance with modern performance requirements.
  • Accordingly, there is a need for improved methods and systems for heat-treating a workpiece, such as a semiconductor wafer. In addition to annealing a semiconductor wafer for ion activation and lattice repair purposes, other applications may also benefit from an improved heat-treating method that addresses the above problems.
  • SUMMARY OF THE INVENTION
  • The present invention addresses the above needs by providing, in accordance with one aspect of the invention, a method and system for heat-treating a workpiece. The method includes pre-heating the workpiece to an intermediate temperature, heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, and enhancing cooling of the workpiece. Pre-heating the workpiece to the intermediate temperature, prior to heating the surface to the higher desired temperature, decreases the magnitude of the thermal gradients that occur in the workpiece when the surface is heated to the desired temperature. Therefore, thermal stress in the workpiece is reduced. Where the workpiece has a crystal lattice structure, such as a semiconductor wafer for example, damage to the lattice is correspondingly reduced.
  • In addition, heating the surface of the workpiece to the desired temperature, as opposed to heating the entire workpiece to the desired temperature, results in much faster cooling of the surface, as the comparatively colder bulk or body of the workpiece may act as a heat sink to cool the surface by conduction. Where the workpiece is a dopant-implanted semiconductor wafer for example, this faster cooling results in shallower dopant diffusion, allowing for the formation of shallower junctions in accordance with modern and future industry requirements.
  • Enhancing cooling of the workpiece further reduces the time that the workpiece spends at high temperatures. In embodiments where the workpiece is a semiconductor wafer, this faster cooling again reduces dopant diffusion in the workpiece, allowing for the formation of shallower junctions.
  • Enhancing cooling preferably includes absorbing radiation thermally emitted by the workpiece. Thus, radiation thermally emitted by the workpiece is absorbed, rather than being reflected back to the workpiece to effectively re-heat it.
  • Absorbing may include absorbing the radiation at a radiation absorbing surface. Such a surface may include a wall of a radiation absorbing chamber, for example.
  • Alternatively, or in addition, absorbing may include absorbing the radiation thermally emitted by the workpiece at a selective-filtering system. If so, then pre-heating the workpiece may involve transmitting radiation produced by an irradiance source through a filtering device of the selective-filtering system to the workpiece. Transmitting may involve transmitting the radiation to a second surface of the workpiece.
  • Similarly, heating the surface of the workpiece may include transmitting radiation produced by an irradiance source through a filtering device of the selective-filtering system to the surface of the workpiece.
  • The method may further include cooling the selective-filtering system. This may be achieved by causing a liquid to flow across a surface of a window of the selective-filtering system, for example. More particularly, this may include causing a liquid to flow in a space defined between first and second spaced apart windows of the selective-filtering system.
  • Heating the surface may include rapidly heating the surface to the desired temperature by activating a source of thermal flux or adiabatic energy. The method may further include deactivating the source of thermal flux or adiabatic energy.
  • Pre-heating the workpiece to the intermediate temperature may include pre-heating the workpiece to a temperature in the range of 600° C. to 1250° C. Heating the surface of the workpiece to the desired temperature may include heating the surface to a temperature in the range of 1050° C. to 1430° C. These temperatures may be particularly advantageous in embodiments where the workpiece is a silicon semiconductor wafer, for example, as the upper end of the desired temperature range corresponds roughly to the melting point of silicon. These temperature ranges may vary for semiconductor wafers made from materials other than silicon.
  • Pre-heating the workpiece preferably includes pre-heating the workpiece for a time period greater than a thermal conduction time of the workpiece. This serves to allow much of the energy supplied to the workpiece during the pre-heating stage to conduct through the workpiece, thereby raising substantially the entire bulk of the workpiece to the intermediate temperature.
  • Conversely, heating preferably involves heating the surface for a time period less than a thermal conduction time of the workpiece. Thus, the surface may be heated quickly to the desired temperature while the bulk of the workpiece remains substantially at the cooler intermediate temperature. This allows the bulk of the workpiece to act as a heat sink for the heated surface, causing the surface to cool much more rapidly when the heating stage is completed. As dopant diffusion occurs more significantly at the highest temperature range, i.e. between the intermediate temperature and the desired temperature, this approach minimizes the time spent by the surface in this highest temperature range, thereby minimizing dopant diffusion.
  • Heating the surface of the workpiece may include commencing the heating substantially immediately when the workpiece reaches the intermediate temperature. For example, this may include commencing the heating of the surface within an interval following the arrival of the workpiece at the intermediate temperature, the interval having a duration less than or equal to a thermal conduction time of the workpiece. This avoids any substantial delay at the intermediate temperature, which, in embodiments where the workpiece is a semiconductor wafer, avoids any corresponding increase in dopant diffusion that would otherwise result from such a delay.
  • Pre-heating may include pre-heating the workpiece at a rate of at least 100° C. per second, preferably at a rate of at least 400° C. per second. Pre-heating may include irradiating the workpiece with electromagnetic radiation produced by an arc lamp. If desired, more than one such arc lamp may be employed, such as an array of arc lamps, for example.
  • Heating may include irradiating the workpiece with electromagnetic radiation produced by a flash lamp. This may include a plurality of such flash lamps, if desired.
  • In embodiments where the workpiece is a semiconductor wafer, the heating is preferably carried out at a rate of at least 10,000° C. per second, or even more preferably at a rate of at least 100,000° C. per second. The heating is preferably achieved by irradiating the workpiece with electromagnetic radiation produced by an arc lamp or a flash lamp. This may include use of an array of such lamps. Alternatively, other heating devices, such as a laser, may be substituted if desired.
  • Enhancing cooling of the workpiece preferably includes allowing the workpiece to cool at a rate of at least about 100° C. per second, preferably at a rate of at least 150 to 180° C. per second.
  • In accordance with another aspect of the invention, there is provided a system for heat-treating a workpiece. The system includes a pre-heating device operable to pre-heat the workpiece to an intermediate temperature, a heating device operable to heat a surface of the workpiece to a desired temperature greater than the intermediate temperature, and a cooling enhancement system for enhancing cooling of the workpiece to a temperature below the intermediate temperature.
  • The cooling enhancement system preferably includes an absorption system operable to absorb radiation thermally emitted by the workpiece.
  • The absorption system may include a radiation absorbing surface. The radiation absorbing surface may include a wall of a radiation absorbing chamber.
  • The absorption system may include a selective-filtering system. If so, the selective-filtering system may include a filtering device interposed between the pre-heating device and the workpiece and configured to transmit radiation produced by the pre-heating device to the workpiece. In this regard, the filtering device may be configured to transmit the radiation to a second surface of the workpiece.
  • Similarly, the selective-filtering system may include a filtering device interposed between the heating device and the workpiece and configured to transmit radiation produced by the heating device to the surface of the workpiece.
  • The system may further include a cooling subsystem for cooling the selective-filtering system.
  • The selective-filtering system may include at least one window, and the cooling subsystem may include a liquid-cooling subsystem for causing a liquid to flow across a surface of the window.
  • The selective-filtering system may include first and second spaced apart windows, and the cooling subsystem may include a liquid-cooling subsystem for causing a liquid to flow in a space defined between the windows.
  • The heating device may include a source of thermal flux or adiabatic energy operable to rapidly heat the surface to the desired temperature.
  • The pre-heating device may be operable to pre-heat the workpiece to a temperature in the range of 600° C. to 1250° C., and similarly, the heating device may be operable to heat the surface to a temperature in the range of 1050° C. to 1430° C.
  • The pre-heating device is preferably operable to pre-heat the workpiece for a time period greater than a thermal conduction time of the workpiece.
  • Conversely, the heating device is preferably operable to heat the surface of the workpiece for a time period less than a thermal conduction time of the workpiece. The heating device is preferably operable to commence heating the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • The pre-heating device may be operable to pre-heat the workpiece at a rate of at least 100° C. per second. The pre-heating device may include an arc lamp operable to irradiate the workpiece with electromagnetic radiation.
  • The heating device may include a flash lamp operable to irradiate the workpiece with electromagnetic radiation.
  • The cooling enhancement system preferably allows the workpiece to cool at a rate of at least about 100° C. per second.
  • In accordance with another aspect of the invention, there is provided a system for heat-treating a workpiece. The system includes means for pre-heating the workpiece to an intermediate temperature, means for heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, and means for enhancing cooling of the workpiece. The means for enhancing preferably includes means for absorbing radiation thermally emitted by the workpiece.
  • In accordance with another aspect of the invention, there is provided a selective-filtering system for use in heat-treating a workpiece. The system includes a first filtering device configured to transmit radiation from a pre-heating device to the workpiece to pre-heat the workpiece to an intermediate temperature, and configured to absorb radiation thermally emitted by the workpiece. The system further includes a second filtering device configured to transmit radiation from a heating device to a surface of the workpiece to heat the surface to a desired temperature greater than the intermediate temperature, and configured to absorb radiation thermally emitted by the workpiece.
  • The system may further include a cooling subsystem for cooling the first and second filtering devices.
  • If desired, at least one of the first and second filtering devices may include a liquid-cooled window. The liquid-cooled window may include a water-cooled quartz window.
  • In accordance with another aspect of the invention, there is provided a method of heat-treating a workpiece. The method includes pre-heating the workpiece to an intermediate temperature, and heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, the heating commencing substantially immediately when the workpiece reaches the intermediate temperature. Commencing the surface heating substantially immediately when the workpiece reaches the intermediate temperature avoids any delay at the intermediate temperature, which, in embodiments where the workpiece is a semiconductor wafer, avoids any corresponding increase in dopant diffusion that would otherwise result from such a delay.
  • Heating the surface preferably includes commencing the heating within less than one second after the workpiece reaches the intermediate temperature. This preferably includes commencing the heating within less than one-quarter second after the workpiece reaches the intermediate temperature. More preferably still, this may include commencing the heating within less than 1×102 milliseconds after the workpiece reaches the intermediate temperature. This may include commencing the heating within less than 1×101 milliseconds after the workpiece reaches the intermediate temperature.
  • Pre-heating preferably includes pre-heating the workpiece for a time period greater than a thermal conduction time of the workpiece. Conversely, heating preferably includes heating the surface for a time period less than a thermal conduction time of the workpiece.
  • Heating may include commencing the heating in response to an indication that the temperature of the workpiece is at least the intermediate temperature. The method may further include producing the indication.
  • Pre-heating preferably includes irradiating the workpiece. This may include exposing the workpiece to electromagnetic radiation produced by an arc lamp. Alternatively, or in addition, this may include exposing the workpiece to electromagnetic radiation produced by at least one filament lamp.
  • Pre-heating preferably includes pre-heating the workpiece at a rate of at least 100° C. per second. This may include pre-heating the workpiece at a rate of at least 400° C. per second.
  • Heating the surface of the workpiece preferably includes irradiating the surface. This may include exposing the surface to electromagnetic radiation produced by a flash lamp. Alternatively, this may include moving a laser beam across the surface.
  • The method may further include absorbing radiation reflected and thermally emitted by the workpiece. Absorbing may include absorbing the radiation in a radiation absorbing environment. This may include absorbing the radiation in at least one radiation absorbing surface.
  • The method may further include cooling the at least one radiation absorbing surface.
  • In accordance with another aspect of the invention, there is provided a system for heat-treating a workpiece. The system includes a pre-heating device operable to pre-heat the workpiece to an intermediate temperature, and a heating device operable to heat a surface of the workpiece to a desired temperature greater than the intermediate temperature, and operable to commence the heating of the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • The heating device and the pre-heating device may be operable to carry out the various methods described above and elsewhere herein.
  • The system may further include a temperature indicator operable to produce an indication of a temperature of the workpiece, in which case the heating device may be operable to commence the heating in response to an indication from the temperature indicator that the temperature of the workpiece is at least the intermediate temperature.
  • The pre-heating device may include means for irradiating the workpiece. The pre-heating device may include an irradiance source operable to irradiate the workpiece. The irradiance source may includes an arc lamp. Or, the irradiance source may include at least one filament lamp. Alternatively, the pre-heating device may include a hot body locatable to pre-heat the workpiece.
  • The heating device may include means for irradiating the surface. The heating device may include an irradiance source operable to irradiate the surface. The irradiance source may include a flash lamp. Alternatively, the irradiance source may include a laser.
  • The system may further include a radiation absorbing environment operable to absorb radiation reflected and thermally emitted by the workpiece. Similarly, the system may further include at least one radiation absorbing surface operable to absorb radiation reflected and thermally emitted by the workpiece. The system may further include a cooling subsystem operable to cool the at least one radiation absorbing surface.
  • In accordance with another aspect of the invention, there is provided a system for heat-treating a workpiece. The system includes means for pre-heating the workpiece to an intermediate temperature, and means for heating a surface of the workpiece to a desired temperature greater than the intermediate temperature, including means for commencing the heating substantially immediately when the workpiece reaches the intermediate temperature.
  • In accordance with another aspect of the invention, there is provided a semiconductor heating apparatus. The apparatus includes a first heating source for heating a first surface of a semiconductor wafer, and a second heating source for heating a second surface of the semiconductor wafer. The apparatus further includes a first cooled window disposed between the first heating source and the semiconductor wafer.
  • The first cooled window may include a first optically transparent plate cooled by a cooling fluid. The first cooled window may further include a second optically transparent plate separated from the first optically transparent plate to define a passageway through which the cooling fluid may flow.
  • The cooling fluid may include water.
  • The first optically transparent plate may be formed from quartz, as may be the second optically transparent plate.
  • The semiconductor heating apparatus may further include a second cooled window disposed between the second heating source and the semiconductor wafer.
  • The first cooled window preferably absorbs radiation thermally emitted by the semiconductor wafer. In this regard, the first cooled window preferably absorbs radiation to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • The second cooled window may absorb radiation to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • The first heating source may include an arc lamp. This may include an array of arc lamps if desired. Similarly, the second heating source may include an arc lamp, which may include an array of arc lamps if desired.
  • Or, the first heating source may include a tungsten lamp or array of tungsten lamps.
  • The semiconductor heating apparatus may further include a chamber housing the semiconductor wafer, wherein the chamber has one or more walls with a radiation-absorbing surface.
  • Alternatively, the apparatus may include a chamber housing the semiconductor wafer, wherein the chamber has one or more walls with a radiation-reflecting surface. If so, the chamber walls may be inwardly tapered at an angle from 2 to 6 degrees from perpendicular.
  • If desired, the enhanced cooling of the bulk of the workpiece may be carried out by absorbing radiation reflected by or thermally emitted by the workpiece. In one exemplary embodiment, the workpiece is isolated from a heating source by a cooled window and radiation thermally emitted by the workpiece is absorbed by the cooled window. In another embodiment, the workpiece is held within a radiation absorbing chamber and radiation reflected by or thermally emitted by the workpiece is absorbed by one or more walls of the chamber. Further embodiments combine one or more such cooled windows with such a radiation absorbing chamber.
  • If a cooled window is used, the cooled window may include a first optically transparent plate that is cooled by flow of a cooling fluid. The cooled window may further include a second optically transparent plate spaced apart from the first optically transparent plate to define at least one channel between the first and second optically transparent plates, and the cooling fluid may be pumped through that channel. The optically transparent plates may be formed from a material generally transparent to the radiant energy emitted by the radiant sources used to heat the workpiece. One such optically transparent material to form the optically transparent plate is quartz, although sapphire, glass or other materials may be substitutable depending upon the heating devices used. The cooling fluid may include a liquid, such as water. In one embodiment, the cooled window absorbs radiation with wavelengths of about 1.4 μm and above, which are the radiant wavelengths where most of the radiation is expected to be emitted by a workpiece such as a silicon semiconductor wafer.
  • A semiconductor heating apparatus according to one embodiment of the invention includes a first heating source for heating a first surface of the semiconductor wafer, and a second heating source for heating a second surface of the semiconductor wafer, with a first cooled window disposed between the first heating source and the semiconductor wafer; and a second cooled window disposed between the second heating source and the semiconductor wafer. The cooled windows isolate the heating sources from the semiconductor wafer to prevent contamination. In addition, the cooled windows act to controllably cool the wafer by absorbing radiation thermally emitted by or reflected by the wafer. Preferably, the cooled windows absorb radiation at a rate high enough to achieve a cooling rate of 150 to 180° C. per second to controllably cool the semiconductor wafer.
  • Preferably, the first cooled window includes a first optically transparent plate cooled by a cooling fluid. Most preferably, the first cooled window further includes a second optically transparent plate separated from the first optically transparent plate to define at least one passageway or channel through which the cooling fluid may flow. The preferred cooling fluid is a liquid such as water. The preferred material for forming the optically transparent plates is quartz.
  • In some exemplary embodiments the first and second heating sources are arc lamps or arrays of arc lamps. Either one of the first and second heating sources may also be a tungsten lamp or array of tungsten lamps.
  • One embodiment has one or more chambers for housing the semiconductor wafer during heat-treating, wherein the chambers have sidewalls with radiation reflecting surfaces. However, the semiconductor heating apparatus may further include a chamber for housing the semiconductor wafer, wherein the chamber has one or more sidewalls with radiation-absorbing surfaces. The radiation-absorbing surfaces further assist in controllably cooling the semiconductor wafer.
  • In embodiments where the workpiece is a semiconductor wafer for example, pre-heating the workpiece may include irradiating a substrate side of the wafer, and heating the surface of the workpiece may include irradiating a device side of the wafer. Due to the greater uniformity of the emissivity across the substrate side of the wafer as compared to the device side, the irradiation of the substrate side to pre-heat the wafer results in significantly greater temperature uniformity in the wafer, and therefore significantly less thermal stress damage, than other methods that deliver the entire annealing energy to the device side of the wafer. In contrast, if the device side alone was irradiated to heat the device side from room temperature to 1050° C. for example, then an emissivity difference of 10% between different devices on the device side may result in a lateral temperature difference of approximately 100° C., which is well in excess of current tolerable temperature difference limits, and may therefore cause thermal stress damage to the devices and to the lattice.
  • Other aspects and features of the present invention will become apparent to those ordinarily skilled in the art upon review of the following description of specific embodiments of the invention in conjunction with the accompanying figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In drawings which illustrate embodiments of the invention,
  • FIG. 1 is a block diagram of a system for heat-treating a workpiece according to a first embodiment of the invention;
  • FIG. 2 is a schematic side view of a system for heat-treating a workpiece according to a second embodiment of the invention (shown with a side wall removed);
  • FIG. 2A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 2;
  • FIG. 3 is a flowchart of a heat-treating routine executed by a processor circuit of the system shown in FIG. 2;
  • FIG. 4 is a graphical representation of a temperature-time profile of a surface of the workpiece shown in FIG. 2 during a thermal cycle according to the second embodiment of the invention;
  • FIG. 5 is a schematic side view of a system for heat-treating a workpiece according to a third embodiment of the invention (shown with a side wall removed);
  • FIG. 6 is a schematic side view of a system for heat-treating a workpiece according to a fourth embodiment of the invention (shown with a side wall removed);
  • FIG. 6A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 6;
  • FIG. 7 is a schematic side view of a system for heat-treating a workpiece according to a fifth embodiment of the invention (shown with a side wall removed);
  • FIG. 7A is a cross-sectional view in side elevation of a heating device and a filtering device of the heat-treating system shown in FIG. 7; and
  • FIG. 8 is a schematic side view of a system for heat-treating a workpiece according to a sixth embodiment of the invention (shown with a side wall removed).
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, a system for heat-treating a workpiece according to a first embodiment of the invention is shown generally at 20. The system includes a pre-heating device 22 operable to pre-heat the workpiece 24 to an intermediate temperature, and a heating device 26 operable to heat a surface 28 of the workpiece to a desired temperature greater than the intermediate temperature. The system further includes a cooling enhancement system 29 for enhancing cooling of the workpiece to a temperature below the intermediate temperature.
  • System
  • Referring to FIG. 2, a system for heat-treating a workpiece according to a second embodiment of the invention is shown generally at 30. The system 30 includes a pre-heating device 32, operable to pre-heat a workpiece 34, which in this embodiment is a semiconductor wafer, to an intermediate temperature. The system further includes a heating device 36, which in this embodiment is operable to heat a surface 38 of the workpiece 34 to desired temperature greater than the intermediate temperature.
  • In this embodiment, the pre-heating device 32 is operable to pre-heat the workpiece 34 from an initial temperature to the intermediate temperature, and the heating device 36 is operable to heat the surface 38 of the workpiece 34 to the desired temperature, which is greater than the intermediate temperature by an amount less than or equal to about the difference between the intermediate and initial temperatures. In other words, a significant portion of the heating occurs during the heating from the initial temperature to the intermediate temperature. In this embodiment, the heating device is operable to commence the heating within less time following the first time period than the first time period. More particularly, in this embodiment the heating device is operable to commence the heating of the surface substantially immediately when the workpiece reaches the intermediate temperature.
  • Also in this embodiment, the pre-heating device 32 includes a first irradiance source 40 operable to irradiate a first side 42 of the workpiece 34 to pre-heat the workpiece 34 to the intermediate temperature. The heating device 36 includes a second irradiance source 44 operable to irradiate a second side 46 of the workpiece 34, which in this embodiment is co-extensive with the surface 38 of the workpiece 34, to heat the second side 46 to the desired temperature greater than the intermediate temperature. Note, however, that in an alternative embodiment, the heating device 36 also may be activated while the pre-heating device 32 is activated for pre-heating the workpiece. Thus, if desired, the pre-heating may be achieved by using both the heating device 36 and the pre-heating device 32.
  • As the workpiece 34 in the present embodiment is a semiconductor wafer, the heat-treating system 30 effectively acts as a semiconductor heating apparatus.
  • Process Chamber
  • Still referring to FIG. 2, in this embodiment, the system 30 includes a cooling enhancement system shown generally at 47 for enhancing cooling of the workpiece to a temperature below the intermediate temperature. In this embodiment, the cooling enhancement system 47 includes an absorption system operable to absorb radiation thermally emitted by the workpiece. More particularly, in this embodiment the absorption system includes a radiation absorbing environment operable to absorb radiation reflected and thermally emitted by the workpiece 34. More particularly still, in this embodiment the radiation absorbing environment is provided by a radiation absorbing chamber 48 surrounding the workpiece 34. The radiation absorbing chamber 48 includes walls 50, 52, 54 and 56, each of which acts as a radiation absorbing surface operable to absorb the radiation reflected and thermally emitted by the workpiece 34. Thus, in this embodiment, the absorption system of the cooling enhancement system 47 includes a radiation absorbing surface, which in turn includes a wall of a radiation absorbing chamber.
  • In this embodiment the walls 50, 52, 54 and 56 are made of black stainless steel. Alternatively, other suitable radiation-absorbing materials may be used, such as anodized aluminum, for example. As a further alternative, the walls may be composed of virtually any thermally conductive material and coated with a radiation-absorbing substance, such as paint containing graphite, for example.
  • In this embodiment, the absorption system of the cooling enhancement system 47 further includes a selective-filtering system, discussed in greater detail below.
  • Generally, the radiation-absorbing effect of the cooling enhancement system 47, or more particularly of the radiation absorbing chamber 48, serves to increase the response time of the system 30, so that the workpiece 34 begins to cool more quickly after the pre-heating and heating devices 32 and 36 are switched off than it would if a reflective chamber were substituted for the radiation absorbing chamber 48. This increased system response time results in a more sharply-defined temperature profile in which the surface 38 of the workpiece 34 spends less time at the highest temperatures involved in any given thermal cycle. Where the workpiece 34 is an ion-implanted semiconductor wafer, this tends to reduce the dopant diffusion depth during the thermal cycle, allowing for the formation of shallower junctions. In addition, using the radiation absorbing chamber 48 reduces possible damage to the crystal lattice of such a workpiece, as compared to systems using reflective chambers, which non-uniformly reflect radiation back to the workpiece which then non-uniformly absorbs such radiation, giving rise to increased thermal gradients and thermal stress in the workpiece. The cooling enhancement system 47 not only improves the uniformity of the heating of the workpiece by removing any such re-reflections during the heating stages, but additionally, during the cooling stages when the heating sources are deactivated, the cooling enhancement system enhances cooling of the workpiece by preventing radiation thermally emitted by the workpiece from being reflected back to the workpiece, which would tend to re-heat the workpiece. Thus, the overall rate of cooling is enhanced by the effect of the absorption system of the cooling enhancement system 47, thereby further reducing dopant diffusion in the workpiece. In this embodiment, the cooling enhancement system 47 allows the workpiece to cool at a rate of at least 100° C. per second, or more particularly, at a rate of at least 180° C. per second. Alternatively, however, a reflective chamber may be substituted for the radiation absorbing chamber 48, if desired, which would increase the energy efficiency of the thermal cycle at the expense of greater dopant diffusion and thermal stress in the workpiece.
  • In this embodiment, the system 30 further includes a cooling subsystem 58 operable to cool the radiation absorbing surfaces of the walls 50, 52, 54 and 56 of the radiation absorbing chamber 48. More particularly, in this embodiment the cooling subsystem 58 is a water circulation system, although alternatively other cooling enhancement systems may be substituted. Alternatively, the cooling subsystem 58 may be omitted, although this would be undesirable if radiation absorbing surfaces are such as walls 50, 52, 54 and 56 are provided, as the radiation absorbing surfaces would otherwise tend to become hot and thermally emit radiation, which would continue to heat the workpiece 34 after the pre-heating and heating devices 32 and 36 are deactivated, thereby slowing the response time of the system 30. For a similar reason, in the present embodiment, in which the cooling enhancement system 47 includes a selective-filtering system (discussed in greater detail further below) such as one or more water-cooled windows, the cooling subsystem 58 may also be used to cool the selective-filtering system. Similarly, the cooling subsystem 58 may be used to cool any other windows of the system 30, such as a window 53 discussed below, for example.
  • In this embodiment the system 30 further includes a temperature indicator 60 operable to produce an indication of a temperature of the workpiece. More particularly, in this embodiment the temperature indicator 60 includes a measuring system such as that disclosed in commonly-owned U.S. Pat. No. 6,303,411, issued Oct. 16, 2001, which is incorporated herein by reference. Thus, in the present embodiment the temperature indicator 60 includes a charge-coupled device (CCD) mounted beneath a quartz window 53 in the wall 52 of the radiation absorbing chamber 48, and further includes a CCD optics system (not shown) and a band-pass filter (not shown) interposed between the CCD and the window 53, and a radiation sensor (not shown) mounted on a lower surface of an internal wall 57 of the radiation absorbing chamber 48. Alternatively, other temperature indicators, such as a pyrometer for example, may be substituted for the temperature indicator. As a further alternative, the temperature of the workpiece 34 may simply be predicted from the power supplied to the workpiece, without the necessity of directly measuring the workpiece temperature.
  • The internal wall 57 in the radiation absorbing chamber 48 extends between the walls 50 and 56 of the radiation absorbing chamber. An annular guard ring 61 is set in a disc-shaped opening 59 in the internal wall 57 and extends radially inward into the opening 59. The guard ring 61 includes the same or similar material as the workpiece, which in this embodiment is a silicon semiconductor wafer. The guard ring is used to reduce edge effects during the thermal cycle, and acts as a locator for locating the workpiece in a desired position relative to the pre-heating and heating devices 32, 36. Alternatively, other means for supporting the workpiece may be substituted.
  • In addition, if desired, the radiation absorbing chamber 48 may include gas flow ports (not shown) and flow controllers (not shown) for controlling gas flows in the vicinity of the workpiece, although such elements are not necessary for typical annealing applications.
  • Workpiece
  • Still referring to FIG. 2, in this embodiment, the workpiece 34 is a semiconductor wafer. More particularly, in this embodiment the semiconductor wafer is a silicon wafer used in the manufacture of semiconductor chips, such as microprocessors and memory devices, for example. The first side 42 of the workpiece 34 is a substrate side, while the second side 46 is a device side. Thus, as shown in FIG. 2, in this embodiment, the first and second irradiance sources 40 and 44 are locatable to irradiate the substrate side and the device side, respectively, of a semiconductor wafer. The device side (the second side 46) of the silicon wafer workpiece 34 has been previously subjected to an ion implantation process, such as ultra-low energy boron implantation for the formation of shallow p+/n junctions, for example, to implant impurity or dopant atoms into surface regions of the device side. As a result of such ion implantation, the workpiece suffers crystalline lattice damage, and the boron implants tend to remain concentrated largely at interstitial locations where they are electrically inactive, in a high boron concentration layer produced by the implant in the vicinity of the second side 46. Therefore, the workpiece 34 must be subjected to an annealing process to force the implants into substitutional sites in the lattice of the silicon wafer, thereby rendering them electrically active, and to repair the crystalline lattice damage suffered during ion implantation.
  • Alternatively, however, embodiments of the present invention have applications beyond mere activation of implanted dopants and therefore the workpiece 34 may alternatively include a wafer that is to be annealed for different purposes. For example, other embodiments of the heat-treating methods and systems exemplified herein may be applied to anneal layers of metals, oxides, nitrides, silicides, silicates or transition metal oxides on regions of the wafer. Similarly, other embodiments may also be used to thermally oxidize or to thermally nitridize regions of the wafer, or to drive chemical vapor deposition of layers on the wafer, or to drive solid state reactions within the bulk and the near surface regions of the wafer, to name but a few examples.
  • More generally, it is expected that many types of workpieces that include a base material coated with a plurality of layers of differing materials may benefit from embodiments of the heat-treating methods exemplified herein. In this regard, the base material may include a semiconductor such as silicon, silicon carbide or gallium arsenide, for example, but alternatively, may include a magnetic medium used to fabricate memory media or magnetic read I write heads, or may include a glass used to fabricate flat panel displays, for example. Such workpieces may or may not have been subjected to a surface modification process such as pre-amorphization, and the layers may be either laterally continuous or discontinuous (as a result of intentional patterning) across the surfaces of the base material, or a combination of continuous and discontinuous layers.
  • More broadly, however, the workpiece 34 need not be any of the above types of workpieces, but may alternatively include any other type of workpiece that would benefit from the heat-treating methods and systems disclosed herein.
  • Pre-heating Device
  • Still referring to FIG. 2, in this embodiment, the pre-heating device 32, or more particularly the first irradiance source 40, includes an arc lamp 62 operable to irradiate the workpiece 34 with electromagnetic radiation. More particularly, in this embodiment the arc lamp 62 is a 500 kW double water wall argon plasma arc lamp available from Vortek Industries Ltd. of Vancouver, British Columbia, Canada. An example of such an arc lamp is disclosed in commonly-owned Patent Cooperation Treaty application Serial No. PCT/CA01/00051, published Jul. 26, 2001 under Publication No. WO 01/54166, which is hereby incorporated herein by reference. Such arc lamps provide numerous advantages for semiconductor annealing as compared to tungsten filament lamp sources. For example, as a result of the low thermal mass of argon plasmas, the response time of the arc lamp 62 is on the order of 0.1 or 0.2 ms or less, which is not only faster than a thermal conduction time for a silicon wafer but is also three orders of magnitude faster than response times of typical tungsten filament lamps. The arc lamp 62 thus permits a faster thermal cycle resulting in less dopant diffusion than tungsten filament annealing systems. In addition, the arc lamp 62 produces over 95% of its spectral distribution below the 1.2 μm band gap absorption of cold silicon, as compared to 40% for typical tungsten lamp sources, resulting in greater heating efficiency. Also, the plurality of tungsten filament lamps in a typical tungsten annealing system are not perfectly calibrated with one another and their irradiance spectra also change over time due to changes in the filament, accumulation of deposits on lamp bulb surfaces, etc. Therefore, the use of the single arc lamp 62, whose irradiance does not appreciably change over time, increases the uniformity of irradiation of the workpiece, resulting in lower thermal gradients in the workpiece and less corresponding thermal damage to the lattice, and eliminates the need for frequent calibration and re-calibration of a large number of energy sources, such as an array of tungsten lamps, for example. Similarly, the long lifetime of the arc lamp 62 eliminates the need for frequent replacement and re-calibration of burned-out bulbs. Alternatively, however, other types of pre-heating devices, including even tungsten filament lamp sources or arrays of such lamp sources, may be substituted.
  • In this embodiment the pre-heating device 32 further includes a reflector 64. The reflector 64 is formed by a series of flat segments forming a trough shape, so as to cooperate with the arc lamp 62 to produce a generally uniform irradiance field. In this embodiment, the reflector 64 includes a reflector manufactured by Vortek Industries Ltd., of Vancouver, Canada. Alternatively, the reflector 64 may be omitted or replaced with other reflectors, although it is desirable that the irradiance field be generally uniform.
  • The arc lamp 62 is positioned at a focal point of the reflector 64, and the arc lamp 62 and the reflector 64 are positioned to irradiate the first side 42 of the workpiece 34 with a substantially uniform irradiance field at an angle of incidence of 45 degrees relative to the surface of the first side 42 of the workpiece.
  • In this embodiment, the radiation absorbing chamber 48 further includes a quartz window 65 extending between the walls 52 and 56 of the radiation absorbing chamber. The quartz window 65 serves to isolate the arc lamp 62 and reflector 64 from the interior of the radiation absorbing chamber 48, to prevent contamination of the workpiece, lamp or reflector.
  • In the present embodiment, the pre-heating device 32 further includes a continuous power supply (not shown) for supplying power to the arc lamp 62 for continuous operation to pre-heat the workpiece. In this regard, the pre-heating device of the present embodiment is operable to pre-heat the workpiece at a rate of at least 100° C. per second, up to an intermediate temperature in the range of from 600° C. to 1250° C. More particularly, in this embodiment the ramp rate is at least 250° C. per second, or more particularly still, the rate is at least 400° C. per second. To achieve such ramp rates, the arc lamp 62 is capable of irradiating the first side 42 of the workpiece with an intensity of approximately 1×102 W/cm2 to achieve a ramp rate moderately in excess of 250° C. per second, or an intensity of approximately 1.4×102 W/cm2 to achieve a ramp rate moderately in excess of 400° C. per second, such radiation intensities being determined by the input power supplied to the arc lamp. Alternatively, the arc lamp is capable of accepting a continuous range of input power levels and accordingly, faster or slower ramp rates may be substituted, although slower rates tend to result in increased dopant diffusion, and much faster rates (on the order of the thermal lag time of the workpiece, for example) may result in larger thermal gradients in the workpiece. For example, ramp rates on the order of 50° C. per second may well be adequate for some applications, whereas much faster ramp rates may be acceptable for other applications.
  • Additionally, in the present embodiment the system 30 includes a corrective energy source 66 mounted beneath a quartz window 67 in the wall 52 of the radiation absorbing chamber 48. The corrective energy source supplies additional heating to cooler regions of the workpiece 34 during a thermal cycle, to increase the uniformity of the temperature distribution in the workpiece, thereby reducing lattice damage caused by thermal stresses. More particularly, in this embodiment the corrective energy source is similar to that disclosed in the above-noted commonly-owned U.S. Pat. No. 6,303,411. Alternatively, however, the corrective energy source 66 may be omitted entirely, or other types of corrective energy sources may be substituted.
  • Heating Device
  • Still referring to FIG. 2, in this embodiment the heating device 36, or more particularly the second irradiance source 44, includes a source of thermal flux energy, to rapidly heat the surface 38 to the desired temperature. More particularly, in this embodiment the heating device 36 includes a flash lamp 68 operable to irradiate the workpiece 34, or more particularly the surface 38, with electromagnetic radiation. The flash lamp 68 includes a VORTEK(™) double water wall arc lamp similar to that disclosed in the above-noted commonly-owned Patent Cooperation Treaty application Serial No. PCT/CA01/00051. The flash lamp 68 includes a power supply system 69, which in this embodiment includes not only a continuous power supply similar to that provided in the pre-heating device 32 for operating the flash lamp in a continuous mode if desired, but also includes a pulsed discharge unit that may be pre-charged then abruptly discharged in order to supply a “spike” of input power to the flash lamp 68. More particularly, in this embodiment the power supply system 69 of the flash lamp includes a power supply model number VT-20 pulsed discharge unit manufactured by Rapp OptoElectronin of Hamburg, Germany, operable to produce pulses of up to 60 kJ within a one-millisecond discharge time. Alternatively, other types of power supplies operable to supply abrupt spikes of input power may be substituted. For example, a power supply model number PS5010 manufactured by EKSMA Company of Vilnius, Lithuania may be suitable for many applications. Preferably, any such power supply has a switching frequency of at least 2 kHz, and a power output of at least 500 kW, however, these preferred characteristics are not essential and may be varied if desired.
  • More generally, other types of flash lamps, or more broadly, other heating devices, may be substituted. However, the VORTEK(™) double water wall arc lamp is preferred, as it can produce much higher-powered flashes than other types of heating devices. In this regard, the heating device 36 preferably includes a minimal number of heat sources, most preferably a single source, in order to simplify control of the heating device and to improve uniformity of the irradiance field, without the need for ongoing calibration of a large number of sources. Use of an arc lamp is favored because the arc lamp has significantly higher power output capabilities as compared to other types of heat sources, such as tungsten filament lamps, for example. Conventional arc lamps may experience difficulties in producing a flash at the power levels disclosed herein, due to severe thermal stresses imposed upon the quartz windows surrounding the arc resulting not only from conduction of heat from the arc, but also from absorption within the quartz windows of radiation from the arc. In conventional arc lamps, these resulting thermal stresses may cause the quartz window surrounding the arc to violently shatter. The VORTEK (™) double water wall arc lamp addresses these difficulties, and is therefore capable of safely producing much higher-power flashes than conventional arc lamps, making it ideally suited for the application of the present embodiment where a single lamp or limited number of lamps are used.
  • In response to a discharge of the power supply system 69, the flash lamp 68 is operable to produce a flash of electromagnetic radiation with a power output of 4-6 MW ranging from 1-5 ms in duration. For example, a 6 MW flash of 1 ms duration may be advantageous for some applications. By producing such a flash when the workpiece is at the intermediate temperature, the heating device 36 is operable to heat the surface 38 of the workpiece from the intermediate temperature to the desired temperature. In embodiments where the workpiece 34 is a silicon semiconductor wafer, the heating device is operable to heat the surface 38 to a desired temperature which is usually in the range of 1050° C. to 1430° C.
  • The flash lamp 68 is advantageous for the purposes of the present embodiment, in comparison to other ultra-fast heating devices. For example, although excimer lasers have been previously used for some annealing purposes, the monochromatic radiation produced by a laser tends to give rise to optical interference effects produced by thin films which coat the surface of a semiconductor wafer workpiece and which are intentionally laterally inhomogeneous. Such optical interference effects produce lateral temperature gradients which result in thermal stress damage to the lattice of the workpiece. The flash lamp 68 is less susceptible to such interference effects than lasers, due to the broader spectrum of the electromagnetic radiation produced by the flash lamp. In addition, laser annealing typically requires multiple heating cycles, such as hundreds of cycles for example, to anneal the entire workpiece surface, and accordingly, if a laser were substituted as the heating device, the workpiece likely would spend a longer amount of time at the intermediate temperature, resulting in deeper dopant diffusion. Also, use of a laser as the heating device tends to produce lower quality junctions than the flash lamp, resulting in higher current leakage. In addition, the faster ramp time associated with lasers (typically two orders of magnitude faster than that associated with the flash lamp) tends to produce higher thermal gradients, increasing the likelihood of lattice damage. Finally, due to the extremely shallow heat penetration resulting from laser annealing, it is sometimes not possible to achieve proper annealing of a desired layer such as a thin gate that lies underneath an intervening layer, due to “shadowing” by the intervening layer, whereas in contrast the flash lamp tends to heat the entire surface region, including the intervening and underlying layer, to sufficient annealing temperatures.
  • Alternatively, however, if desired, other types of heating devices may be substituted for the flash lamp 68. For the purposes of the present embodiment, it is desirable that any alternative heating device have a response time faster than the thermal conduction time of the workpiece 34 (typically on the order of 10-15 ms) and be capable of heating the second side 46 of the workpiece from the intermediate temperature to the desired temperature in less time than the thermal conduction time of the workpiece, so that the bulk of the workpiece 34 will remain at substantially the intermediate temperature in order for the bulk to act as a heat sink to facilitate rapid cooling of the second side 46 from the desired temperature to the intermediate temperature.
  • In this embodiment, the heating device 36 further includes a reflector 70. In this embodiment the reflector 70 is formed by a series of flat segments forming a trough shape, so as to cooperate with the flash lamp 68 to produce a generally uniform irradiance field. In this embodiment, the reflector 70 includes a reflector manufactured by Vortek Industries Ltd., of Vancouver, Canada. Alternatively, the reflector 70 may be omitted or replaced with other reflectors, although it is desirable that the irradiance field be generally uniform.
  • The flash lamp 68 is positioned at a focal point of the reflector 70, and the flash lamp and the reflector are positioned to irradiate the second side 46 of the workpiece 34 with a substantially uniform irradiance field at an angle of incidence of 45 degrees relative to the surface of the second side 46 of the workpiece 34.
  • In this embodiment, the radiation absorbing chamber 48 further includes a quartz window 71 extending between the walls 50 and 54 of the radiation absorbing chamber. The quartz window 71 serves to isolate the flash lamp 68 and the reflector 70 from the interior of the radiation absorbing chamber 48, to prevent contamination of the workpiece.
  • Selective-Filtering System
  • Referring to FIG. 2, in this embodiment the absorption system of the cooling enhancement system 47 includes a selective-filtering system. More particularly, the selective-filtering system includes a first filtering device, which in this embodiment includes the quartz window 65. The first filtering device, or more particularly, the quartz window 65, is interposed between the pre-heating device 32 and the workpiece 34, and is configured to transmit radiation produced by the pre-heating device to the workpiece, to pre-heat the workpiece to the intermediate temperature. More particularly still, the first filtering device is configured to transmit the radiation to a surface of the workpiece, which in this embodiment includes the substrate side, referred to herein as the first side 42. The first filtering device is further configured to absorb radiation thermally emitted by the workpiece.
  • Similarly, in this embodiment the selective-filtering system further includes a second filtering device, which in this embodiment includes the quartz window 71. The second filtering device, or more particularly, the quartz window 71, is interposed between the heating device 36 and the workpiece 34 and is configured to transmit radiation produced by the heating device to the surface 38 of the workpiece, to heat the surface to the desired temperature greater than the intermediate temperature. The second filtering device is further configured to absorb radiation thermally emitted by the workpiece.
  • Referring to FIGS. 2 and 2A, in this embodiment the second filtering device of the selective-filtering system of the cooling enhancement system 47 includes at least one window, which in this embodiment is the quartz window 71. More particularly, in this embodiment the quartz window 71 includes first and second spaced apart windows 82 and 84, which in this embodiment are constructed of quartz. In this embodiment the windows 82 and 84 are optically transparent, and define a fluid channel 86 interposed therebetween. The optically transparent windows preferably have a thickness in the range of 2 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably about 3 mm.
  • In this embodiment, the heat-treating system 30 further includes the cooling subsystem 58 for cooling the selective-filtering system, or more particularly, for cooling the first and second filtering devices thereof. To achieve this, in the present embodiment the cooling subsystem 58 includes a liquid-cooling subsystem for causing a liquid to flow across a surface of the window 71. More particularly still, in this embodiment the liquid-cooling subsystem causes a liquid to flow in a space, namely the fluid channel 86, defined between the windows 82 and 84. Thus, in this embodiment, a cooling fluid, preferably a liquid such as water, more preferably purified water and most preferably deionized water is pumped through the fluid channel 86. The cooled window 71 having water pumped through fluid channel 86 readily transmits visible and near-visible radiation (represented by wave lines 85) having wavelengths from about 0.2 to 1.4 μm from the flash lamp 68 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 μm emitted from the workpiece (represented by wave lines 88). By absorbing longer wavelength radiation emitted radiantly by the workpiece, the cooled window 71 actively promotes workpiece cooling and reduces or eliminates reflections of workpiece-emitted radiation back to the workpiece. This system 30 provides greater control and maximizes cooling of the workpiece. After absorbing radiation, the water is pumped away from the window to further enhance cooling, as such pumping prevents the cooled window 71 and the water therein from heating up and beginning to thermally emit radiation. Radiation absorbed by the water does not return to the workpiece where it would be reabsorbed. In contrast, conventional highly reflective systems (not radiation absorbing chambers) return most such radiation emitted by the wafer back to the wafer.
  • Thus, in this embodiment the cooled window 71 includes a first optically transparent plate (the window 82) cooled by a cooling fluid, and further includes a second optically transparent plate (the window 84) separated from the first optically transparent plate to define a passageway (the fluid channel 86) through which the cooling fluid may flow.
  • In this embodiment, the quartz window 65 shown in FIG. 2 interposed between the pre-heating device 32 and the workpiece 34 is structurally similar to the quartz window 71. Therefore, in this embodiment the window 65 is also liquid-cooled, or more particularly, is a water-cooled quartz window.
  • Thus, in the present embodiment, in which the workpiece 34 is a semiconductor wafer, the system 30 effectively acts as a semiconductor heating apparatus, including a first heating source (one of the pre-heating device 32 and the heating device 36) for heating a first surface of a semiconductor wafer, a second heating source (the other one of the pre-heating device 32 and the heating device 36) for heating a second surface of the semiconductor wafer, and a first cooled window (one of the windows 65 and 71) disposed between the first heating source and the semiconductor wafer. The apparatus of the present embodiment further includes a second cooled window (the other one of the windows 65 and 71) disposed between the second heating source and the semiconductor wafer. The first and second cooled windows absorb radiation thermally emitted by the semiconductor wafer, to controllably cool the semiconductor wafer at a rate of at least 100° C. per second.
  • Control Device
  • Referring to FIG. 2, in this embodiment the system 30 further includes a processor circuit 72, which in the present embodiment is housed within a general purpose computer 74. The processor circuit 72 is in communication with the pre-heating device 32 and the heating device 36. In addition, in embodiments such as the present embodiment in which the temperature indicator 60 and the corrective energy source 66 are provided, the processor circuit is in further communication with such devices.
  • In this embodiment (FIG. 2), the computer 74 further includes a storage device 76 in communication with the processor circuit 72. More particularly, the storage device 76 includes a hard disk drive and a random access memory. The computer 74 further includes an input device 78, which in this embodiment is a keyboard, and an output device 80, which in this embodiment is a color monitor. Alternatively, however, other storage, input and output devices may be substituted. Or, as a further alternative, the processor circuit may be omitted entirely and replaced with any other suitable means for controlling the pre-heating and heating devices 32 and 36 in accordance with the methods exemplified herein.
  • Operation
  • Referring to FIGS. 2, 3 and 4, in this embodiment the storage device 76 shown in FIG. 2 stores blocks of codes for directing the processor circuit 72 to execute a heat-treating routine shown generally at 90 in FIG. 3. The heat-treating routine is executed by the processor circuit in response to user input received at the user input device 78 indicating that a heat-treating cycle is to commence.
  • Generally, in this embodiment, the heat-treating routine 90 configures the processor circuit 72 to control the pre-heating device 32 and the heating device 36 to pre-heat the workpiece 34 to an intermediate temperature, and to heat the surface 38 of the workpiece 34 to a desired temperature greater than the intermediate temperature. In this embodiment, the heating commences within less time following the first time period than the first time period. More particularly, in this embodiment the heating commences substantially immediately when the workpiece reaches the intermediate temperature. Also in this embodiment, the desired temperature is greater than the intermediate temperature by an amount less than or equal to about one-fifth of a difference between the intermediate temperature and an initial temperature of the workpiece.
  • Generally, throughout the execution of the heat-treating routine 90, the walls 50, 52, 54 and 56 of the radiation absorbing chamber 48 absorb radiation reflected and thermally emitted by the workpiece 34, and the quartz windows 65 and 71 similarly absorb radiation thermally emitted by the workpiece, thus enhancing cooling of the workpiece. The cooling subsystem 58 cools these walls and windows to prevent them from becoming hot in response to such absorption and re-emitting such absorbed energy as blackbody radiation. Alternatively, however, such absorption and cooling may be omitted at the expense of temperature uniformity in the workpiece during the execution of the heat-treating routine, and at the further expense of deeper dopant diffusion resulting from slower cooling rates.
  • The heat-treating routine 90 begins with a first block 100 of codes shown in FIG. 3, which directs the processor circuit 72 to pre-heat the workpiece 34 to an intermediate temperature. To achieve this, block 100 directs the processor circuit to activate the pre-heating device 32, or more particularly the first irradiance source 40, to irradiate the first side 42 of the workpiece 34 to pre-heat the workpiece to the intermediate temperature. More particularly, block 100 directs the processor circuit to control the arc lamp 62 shown in FIG. 2 to continuously irradiate the first side 42 of the workpiece with a constant radiation intensity of approximately 1.4×102 W/cm2, which it has been found is sufficient to pre-heat the workpiece at a ramp rate moderately in excess of 400° C. per second. Thus, in this embodiment irradiating the workpiece involves exposing the workpiece to electromagnetic radiation produced by an arc lamp.
  • Block 100 also directs the processor circuit 72 to initialize the heating device 36, which in this embodiment is achieved by charging the power supply system 69 of the flash lamp 68 shown in FIG. 2.
  • In addition, in embodiments in which the corrective energy source 66 shown in FIG. 2 is to be used, block 100 further directs the processor circuit 72 to control the corrective energy source 66 to produce a desired spatial temperature distribution across the workpiece during the pre-heating stage, as described in greater detail in the above-noted commonly-owned U.S. Pat. No. 6,303,411. Alternatively, the corrective energy source 66 may be omitted.
  • Block 110 then directs the processor circuit 72 to determine whether the intermediate temperature has been achieved in the workpiece. In this embodiment, block 110 directs the processor circuit to achieve this by monitoring signals received from the temperature indicator 60 shown in FIG. 2 indicative of the temperature of the workpiece 34. Alternatively, however, block 110 may direct the processor circuit to act as a temperature indicator, to produce an indication of a temperature of the workpiece based on the time elapsed since the pre-heating device was activated at block 100, in view of a predicted heating rate corresponding to the intensity of radiation incident upon the workpiece, to determine whether the intermediate temperature has been achieved. Although the magnitude of the intermediate temperature will vary from application to application, in the present embodiment the intermediate temperature is 1000° C. and therefore, this temperature will be achieved in the workpiece after approximately 2.5 seconds of irradiation of the workpiece by the pre-heating device 32. In effect, therefore, blocks 100 and 110 direct the processor circuit to control the pre-heating device 32 to pre-heat the workpiece for a time period greater than a thermal conduction time of the workpiece (which is on the order of 10-15 ms).
  • Upon determining at block 110 that the intermediate temperature has been achieved in the workpiece 34, block 120 directs the processor circuit 72 to heat the surface 38 of the workpiece 34 to a desired temperature that is greater than the intermediate temperature. In this embodiment, the desired temperature exceeds the intermediate temperature by an amount less than or equal to about one-fifth (or more particularly, less than or equal to about one-twentieth) of a difference between the intermediate temperature and the initial temperature of the workpiece. As stated, in this embodiment, preferred intermediate temperatures are in the range of about 600° C. to 1250° C., and preferred desired temperatures are in the range of about 1050° C. to about 1430° C. (which very roughly corresponds to a melting point of silicon). In this embodiment, this heating stage commences within less time following the first time period (during which the workpiece temperature was increasing to the intermediate temperature) than the first time period. More particularly, as a result of the execution of block 110 and 120, the heating device 36 is operable to commence the heating of the surface 38 of the workpiece in response to the indication from the temperature indicator 60 that the temperature of the workpiece 34 is at least the intermediate temperature, or alternatively, where the temperature indicator is omitted for example, the heating device is operable to commence such heating at an end of the first time period (during which the temperature of the workpiece was increasing to the intermediate temperature).
  • In other words, in this embodiment the heating device 36 is operable to commence heating the surface 38 substantially immediately when the workpiece 34 reaches the intermediate temperature. In this regard, in the present embodiment the heating device is operable to commence the heating of the surface within less than one second after the workpiece reaches the intermediate temperature. More particularly, the heating device is operable to commence the heating of the surface within less than one-quarter second after the intermediate temperature is reached. More particularly still, in this embodiment the heating device is operable to commence such heating within less than 100 milliseconds, or more particularly within 10 milliseconds, after the workpiece reaches the intermediate temperature. Effectively, therefore, as the thermal conduction time through the workpiece is on the order of 10-15 ms, in this embodiment the heating device is operable to commence the heating of the surface within an interval following the arrival of the workpiece at the intermediate temperature, the interval having a duration less than or equal to a thermal conduction time of the workpiece. In this regard, for some applications it may be desirable to delay commencement of the heating stage until slightly after the deactivation of the pre-heating device, to allow for the thermal lag of the workpiece (on the order of 10-15 ms). However, any delay longer than this 10-15 ms workpiece conduction time in commencing this heating stage will tend to increase dopant diffusion in the workpiece. Therefore, in general it is preferable not to delay the commencement of the heating stage at all, but if a delay is desired for a particular application, it is typically undesirable to “hold” the workpiece temperature at the intermediate temperature for longer than the time taken to heat the workpiece from its initial temperature to the intermediate temperature.
  • To commence the heating stage in the present embodiment, block 120 directs the processor circuit 72 to deactivate the pre-heating device 32 (including the corrective energy source 66 if a corrective energy source is provided), and to activate the heating device 36 to heat the surface 38 of the workpiece to the desired temperature. More particularly, in this embodiment, block 120 directs the processor circuit 72 to commence the heating stage by controlling the second irradiance source 44 to irradiate the second side 46 of the workpiece 34 to heat the second side to the desired temperature, which is greater than the intermediate temperature. The processor circuit is directed to achieve this by signaling the flash lamp 68 shown in FIG. 2, to cause the flash lamp power supply system 69 to be discharged to produce a short-duration, high energy arc in the flash lamp, which irradiates the surface 38 of the workpiece at a power of approximately 5 MW, for a duration on the order of 1 ms. Thus, in this embodiment irradiating the surface 38 involves exposing the surface to electromagnetic radiation produced by a flash lamp.
  • This flash heats the surface 38 of the workpiece to the desired temperature, which in this embodiment is 1050° C. At this high temperature and corresponding high kinetic energies, the dopant atoms implanted in the surface 38 of the workpiece tend to eject silicon atoms from the lattice and occupy substitutional lattice sites formerly occupied by silicon atoms. The dopants thereby become electrically activated. The displaced silicon atoms tend to migrate toward interstitial sinks such as the surface 38 of the workpiece, where they tend to be consumed by other processes such as oxidation.
  • The heat-treating routine 90 is then ended.
  • Referring to FIG. 4, a temperature-time profile of the surface 38 of the workpiece 34 resulting from the foregoing execution of the heat-treating routine 90 is shown generally at 130. The temperature-time profile 130 has four distinct stages, namely, a bulk pre-heating stage 132, a surface heating stage 134, a surface cooling stage 136 and a bulk cooling stage 138.
  • Referring to FIGS. 2, 3 and 4, the bulk pre-heating stage 132 results from the execution by the processor circuit 72 of blocks 100 and 110, and serves to pre-heat the workpiece 34 by increasing its temperature over a first time period 133 from its initial temperature to the intermediate temperature. More particularly, in this embodiment, the pre-heating device 32 increases the temperature of the entire workpiece 34 from its initial temperature (room temperature) to an intermediate temperature of 1000° C. at a ramp rate of approximately 400° C. per second. Pre-heating the workpiece in this manner to the intermediate temperature, and in particular to an intermediate temperature that is relatively close to the desired temperature, serves to reduce the magnitude of the temperature gradients that occur in the workpiece during the subsequent surface heating stage 134 and therefore serves to reduce thermal stress damage to the lattice of the workpiece, in comparison to techniques such as laser annealing or microwave annealing. However, the relatively fast ramp rate of the bulk pre-heating stage 132 and the correspondingly short time period spent by the workpiece at high temperatures results in much less dopant diffusion in the workpiece than other cycles that use slower ramp rates or that hold the workpiece at an intermediate temperature before the subsequent heating stage. In other words, in this embodiment, the duration of the bulk pre-heating stage 132, while longer than the thermal conduction time of the workpiece, is short compared to a characteristic time required for unacceptable diffusion to occur at the temperatures obtained during the bulk pre-heating stage.
  • The surface heating stage 134 results from the flash produced by the heating device 36 at block 120, and serves to heat the surface 38 of the workpiece from the intermediate temperature to the desired temperature. As shown in FIG. 4, such heating of the surface commences within less time following the first time period 133 than the first time period 133. More particularly, in this embodiment the heating commences substantially immediately following the end of the first time period 133, as soon as the intermediate temperature is achieved in the workpiece 34. In this embodiment the flash increases the temperature of the surface 38 from the intermediate temperature of 1000° C. to the desired annealing temperature of 1050° C. in approximately one millisecond. Due to the short duration of the flash (on the order of 1 ms), the heating device 36 is operable to heat the surface 38 of the workpiece for a time period less than a thermal conduction time of the workpiece (on the order of 10-15 ms). Therefore, the heating device 36 heats the surface 38 of the workpiece much faster than such heat can conduct away from the surface 38 and into the workpiece, and as a result, the bulk of the workpiece remains substantially at the intermediate temperature while the surface 38 is heated to the desired temperature.
  • Thus, during the surface cooling stage 136 that immediately follows the flash, the relatively cold bulk of the workpiece 34 acts as a heat sink for the surface 38, allowing the surface 38 to cool at a significantly faster rate than it would have cooled if the entire workpiece had been heated to the desired temperature. This rapid cooling continues until the surface 38 has reached the same temperature as the remainder of the workpiece 34 (approximately the intermediate temperature). Typically, the duration of this surface cooling stage 136 is on the order of the duration of the surface heating stage 134. As an illustrative example, a surface of a silicon semiconductor wafer may cool at a rate of 10,000° C. per second for example, depending on the (intermediate) temperature of the bulk of the wafer.
  • As a result of this ultra-fast heating and cooling during the surface heating and cooling stages 134 and 136, the surface 38 of the workpiece spends considerably less time in the high temperature range between the intermediate temperature and the desired temperature than it would have if the entire workpiece had been heated to the desired temperature. As most of the undesirable dopant diffusion occurs at or near the desired annealing temperature, this ultra-fast heating and cooling results in less dopant diffusion, allowing for the formation of shallower p+/n junctions than previous arc lamp or filament lamp annealing systems. At the same time, because the desired temperature exceeds the intermediate temperature by an amount less than or equal to about one-fifth (or more advantageously in the present embodiment, less than or equal to about one-twentieth) of the difference between the intermediate and initial temperatures, the temperature gradients in the workpiece during these heating and cooling stages are much smaller than those that occur in conventional laser annealing techniques, resulting in less thermal stress damage to the crystal lattice.
  • When the surface 38 has cooled to the same temperature as the bulk of the workpiece 34 (approximately the intermediate temperature), the bulk cooling stage 138 then commences, in which the surface 38 cools along with the bulk of the workpiece 34. In this embodiment, such cooling results largely from blackbody radiation thermally emitted by the hot workpiece, but also results partly from convection involving gases (if any) in the vicinity of the workpiece. The rate of such bulk cooling is strongly dependent on temperature and also depends on other factors such as the absorptiveness or reflectivity of the chamber, for example. In this embodiment the bulk cooling stage initially commences at a ramp rate of approximately −180° C./s, although this rate decreases somewhat as the workpiece cools. Advantageously, the radiation absorbing properties of the cooling enhancement system 47 and radiation absorbing chamber 48 allow faster bulk cooling rates than conventional reflective chambers.
  • As discussed above in connection with FIG. 2A, additional means to controllably cool the workpiece 34 from the intermediate temperature are provided. While the thermal flux heating ceases upon de-activation of the flash lamp 68, and cooling of the second side 46 from the desired temperature to the intermediate temperature occurs rapidly during the surface cooling stage 136 as discussed above, cooling from the intermediate temperature to room temperature (or to a temperature below the intermediate temperature at which the workpiece is removed from the system) does not proceed rapidly without assistance. Thermal exposure may be undesirably large if the workpiece remains at or close to the intermediate temperature for prolonged periods (e.g. 0.3 seconds or longer). The water-cooled walls 50, 52, 54 and 56 of the radiation absorbing chamber 48 and the cooled windows 71 and 65 associated with the heating device 36 and the pre-heating device 32, absorb radiation emitted from the workpiece at wavelengths of 1.4 μm and above. For the example of a silicon semiconductor wafer, this represents on the order of 95% of the radiation emitted from the workpiece. In combination, the radiation absorbing chamber 48 and cooled windows 71 and 65 thus controllably cool the workpiece by removing from the radiation absorbing chamber 48 radiation emitted by the workpiece, preventing re-reflections of the radiation onto the workpiece. An example of such controlled cooling is illustrated by the bulk cooling stage 138 slope of the graph of FIG. 4.
  • Although only a single heat-treating routine 90 was described above for illustrative purposes, alternatively a plurality of different heat-treating routines may be stored in the storage device 76 for directing the processor circuit 72 to control the system 30 to execute a plurality of different corresponding thermal heat-treating cycles for different applications. For example, the workpiece 34 may be pre-heated for different times and/or at different rates to different intermediate temperatures, and the second side 46 of the workpiece may then be heated with different power levels for different durations to different desired temperatures, depending upon the particular application.
  • Further Alternatives
  • If desired, pre-heating devices and heating devices other than the arc lamp and flash lamp may be substituted.
  • For example, referring to FIGS. 2 and 5, a system for heat-treating a workpiece according to a third embodiment of the invention is shown generally at 200 in FIG. 5. In this embodiment, the pre-heating device 32 includes an alternative irradiance source, which in this embodiment includes at least one filament lamp. Thus, in this embodiment, irradiating the workpiece includes exposing the workpiece to electromagnetic radiation produced by at least one filament lamp. More particularly, in this embodiment the pre-heating device 32 includes a disc-shaped array 202 of tungsten filament lamps operable to project electromagnetic radiation through a quartz window 204 to irradiate the first side 42 of the workpiece 34, to pre-heat the workpiece to the intermediate temperature. Although there are numerous advantages to using an arc lamp rather than a tungsten filament lamp array as the pre-heating device 32, as discussed earlier herein, the deeper dopant diffusion that tends to result from tungsten filament lamps may not necessarily be fatal for all applications, depending on the performance requirements in a particular application.
  • As a further example, still referring to FIGS. 2 and 5, in the alternative system 200 shown in FIG. 5, the heating device 36 includes a source of adiabatic energy, to rapidly heat the surface 38 to the desired temperature. More particularly, in this embodiment the heating device includes a laser 206, such as an excimer laser or other suitable laser, operable to irradiate the surface 38 by moving a laser beam 208 across the surface. The laser 206 is operable to produce a rapid laser pulse, on the order of microseconds or nanoseconds in duration, to heat the surface 38 to the desired temperature. Although the laser 206 may suffice for applications where the increased thermal stress damage to the lattice of the workpiece is not critical, it is noted that in general, the flash lamp 68 shown in FIG. 2 is preferred, for reasons discussed earlier herein.
  • Referring to FIGS. 2, 6 and 6A, a system for heat-treating a workpiece according to a fourth embodiment of the invention is shown generally at 160 in FIG. 6. In this embodiment, a single arc lamp 162 functions as both the pre-heating device 32 and the heating device 36. The arc lamp 162 is similar to the arc lamp 62 shown in FIG. 2 and includes a reflector 164 for providing a substantially uniform irradiance field to irradiate the second side 46 of the workpiece, which in this embodiment is a device side of a silicon semiconductor wafer. However, the arc lamp 162 further includes a power supply system 166 similar to the power supply system 69 of the flash lamp 68 shown in FIG. 2. The power supply system 166 includes a pulsed discharge unit similar to that of the power supply system 69, which is connected in parallel with a regular continuous power supply (not shown) of the arc lamp 162.
  • Thus, referring to FIGS. 2, 3, 4 and 6, the arc lamp 162 may be operated in a manner similar to the arc lamp 62 shown in FIG. 2 during the bulk pre-heating stage 132 shown in FIG. 4, in accordance with the execution by the processor circuit 72 of a modified block 100 of the heat-treating routine 90. When the intermediate temperature is achieved in the workpiece, a modified block 110 directs the processor circuit 72 to disconnect the regular continuous power supply to the arc lamp 162, and to discharge the power supply system 166 to provide an abrupt spike of power to the arc lamp 162, producing a flash of similar intensity and duration to that produced by the flash lamp 68 shown in FIG. 2. Although the system 160 shown in FIG. 6 may be less expensive than the system 30 shown in FIG. 2, the system 160 supplies 100% of the heating of the workpiece to the second side 46, which in this embodiment is the device side, of the workpiece. As the device side is much more inhomogeneous than the substrate side (the first side 42) of the workpiece, non-uniform absorption by devices on the device side may tend to produce greater lateral temperature gradients and corresponding thermal stress damage to the lattice of the workpiece than those that would occur using the system 30. This difficulty may be alleviated somewhat by providing the system 160 with an additional corrective energy source 168 locatable to supply additional heat to cooler areas of the device side, whose operation is similar to that of the corrective energy source 66 shown in FIG. 2.
  • Referring to FIGS. 6 and 6A, in this embodiment a cooled window 170 extends between the chamber walls to isolate the arc lamp from the workpiece, and includes spaced-apart optically transparent windows 172 and 174, preferably constructed of quartz, having a fluid channel 176 interposed therebetween. The optically transparent windows preferably have a thickness in the range of 3 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably 3 mm. A cooling fluid, preferably a liquid such as water, is pumped through the fluid channel 176. The cooled window 170 having water pumped through fluid channel 176 readily transmits visible radiation (represented by wave lines 175) from the arc lamp 162 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 μm emitted from the workpiece (represented by wave lines 178). By absorbing radiation in wavelengths emitted radiantly by the workpiece, the cooled window 170 actively promotes workpiece cooling and limits or eliminates reflections of workpiece-emitted radiation back to the workpiece. This system 160 provides greater control and maximizes cooling of the workpiece. Alternatively, however, the window 170 may be omitted or replaced with other suitable window types if desired.
  • Referring back to FIGS. 5 and 6, further variations in the nature, location and combinations of the pre-heating and heating devices 32 and 36 are possible. For example, lasers other than excimer lasers may be substituted for the flash lamp 68 to act as the heating device 36. Or, different types of tungsten filament lamp arrays, such as a linear tungsten lamp array, may be substituted for the arc lamp 62 to act as the pre-heating device 32.
  • As a further alternative, referring to FIGS. 2, 7 and 7A, a system for heat-treating a workpiece according to a fifth embodiment of the invention is shown generally at 220 in FIG. 7. In this embodiment the pre-heating device 32 includes a radiant hot body 222 locatable to pre-heat the workpiece to the intermediate temperature. In this embodiment the hot body 222 is quartz, heated to approximately the intermediate temperature. Alternatively, other materials, such as silicon carbide, silicon, refractory metal, graphite, or a combination of such materials, for example, may be substituted. The hot body 222 is located in the radiation absorbing chamber 48 below the workpiece 34, in close proximity thereto, and is operable to pre-heat the workpiece by radiative heat transfer and also by convection and conduction through a thin layer of gas between the hot body 222 and the workpiece 34. Following the bulk pre-heating and surface heating stages 132 and 134, the hot body may be effectively “shut off” by moving the workpiece away from the hot body, or alternatively, by moving the hot body away from the workpiece. In this embodiment, this is achieved by a motorized mechanism 224 that slides the workpiece 34 out of the radiation absorbing chamber 48 following the surface heating stage.
  • Referring to FIGS. 7 and 7A, in this embodiment a cooled window 230 extends between the chamber walls to isolate the heating device 36 from the chamber holding the workpiece. In this embodiment, the cooled window 230 includes spaced-apart optically transparent windows 232 and 234, preferably constructed of quartz, having a fluid channel 236 interposed therebetween. The optically transparent windows preferably have a thickness in the range of 3 to 10 mm and are spaced apart approximately 2 to 5 mm, preferably 3 mm. A cooling fluid, preferably a liquid such as water, is pumped through the fluid channel 236. The cooled window 230 having water pumped through fluid channel 236 readily transmits visible radiation (represented by wave lines 235) from the lamp of the heating device 36 to the workpiece, yet also absorbs infrared radiation of wavelengths greater than 1.4 μm emitted from the workpiece (represented by wave lines 238). By absorbing radiation in wavelengths emitted radiantly by the workpiece, the cooled window 230 actively promotes workpiece cooling and limits or eliminates reflections of workpiece-emitted radiation back to the workpiece. This system 220 provides greater control and maximizes cooling of the workpiece. Alternatively, however, the window 230 may be omitted or replaced with other suitable window types if desired.
  • Also, if separate pre-heating and heating devices are provided, the pre-heating and heating devices need not be on opposite sides of the workpiece: for example, if desired, the pre-heating device, such as a linear tungsten lamp array, and a heating device such as a laser may be both located above the surface 38 of the workpiece, to irradiate the second or device side 46 of the workpiece (although, as noted, supplying 100% of the pre-heating and heating energy to the device side tends to produce greater temperature gradients and thermal stress damage).
  • Referring to FIG. 8, an apparatus for heating a workpiece according to a sixth embodiment of the invention is shown generally at 300. In this embodiment the workpiece is a semiconductor wafer, and the apparatus 300 includes a chamber housing the semiconductor wafer, the chamber having one or more walls with a radiation-reflecting surface. More particularly, in this embodiment the chamber of the apparatus 300 includes axially aligned reflective chambers 302 and 304 separated from one another by a workpiece-holding chamber 306. Each reflective chamber 302, 304 has four sidewalls with the internal sidewall surfaces coated with a reflective coating 308, 310 that reflects radiation in the wavelength ranges emitted from arc lamp sources and emitted from the workpiece. The sidewalls are slightly inwardly tapered toward the workpiece-holding chamber 306, with the angle of the taper from about 2 to 6 degrees from perpendicular, preferably about 3 degrees from perpendicular. Unlike the chamber walls 50, 52, 54 and 56 of the radiation absorbing chamber 48 in the prior embodiments (i.e., FIG. 2), the sidewalls of the chambers 302, 304 in this sixth embodiment are reflective and may not be water cooled.
  • Within the workpiece-holding chamber 306, the workpiece 320 is held by its outer edges on a support ring 322. Alternatively, the workpiece could be supported on pins, or by other suitable means. As shown in FIG. 8, the workpiece 320 is a semiconductor wafer. The workpiece 320 is loaded into and unloaded from the workpiece-holding chamber 306 in a direction generally perpendicular to the axis of the chambers 302, 304 as indicated by arrow 312. The workpiece-holding chamber is sealed from the chambers 302, 304 preferably by optically transparent windows 314, 316, although such windows are not required. Process gases and/or inert gases may be introduced into the workpiece-holding chamber through conduits (not shown). For semiconductor wafer annealing processes, usually gases such as argon, nitrogen, NH3, N2O and NO, and mixtures of these gases or mixtures of one or more of these gases with oxygen, are introduced into the chamber 306. Annealing may also be carried out in a vacuum.
  • Arc lamps 324, 326 and associated reflector assemblies 328, 330 are provided at the top and bottom of the apparatus, adjacent to the reflective chambers 302 and 304, respectively. The reflector assemblies are formed by a series of flat segments forming a trough shape, so as to cooperate with each arc lamp to produce a generally uniform irradiance field. Examples of such reflectors are manufactured by Vortek Industries Ltd. of Vancouver, Canada. Each arc lamp 324, 326 is positioned at a focal point of its associated reflector 328, 330, respectively. Each arc lamp 324, 326 and its associated reflector assembly 328, 330, respectively, are positioned to irradiate one side of the workpiece 320 with a substantially uniform irradiance field. As shown in FIG. 8, the radiant energy from arc lamp 324 irradiates the top surface 318 of the workpiece 320. The reflectors 328, 330 direct the radiant energy toward the workpiece without substantial reflection of that radiation on the reflective sidewalls of the chambers 302, 304. Optically transparent windows 332, 334 are provided to further isolate the arc lamps 324, 326 from the chambers 302, 304. The arc lamps are cooled by fluid introduced through cooling channels 336, 338 in each bulb housing.
  • The arc lamps 324, 326 and associated reflector assemblies 328, 330 each are separated from the adjacent chamber 302, 304 by cooled windows 340, 342. Cooled windows 340, 342 each include two optically transparent plates 344, 346 and 354, 356 spaced apart from one another and having one or more channels 348 and 358 defined in that space through which a cooling fluid, such as a liquid, preferably water, flows. The cooling liquid flows into the passages as indicated by arrows 350 and exits from the passages as indicated by arrows 360. The plates preferably are formed from quartz and have a thickness in the range of 2 to 10 mm, separated from one another about 2 to 5 mm, preferably 3 mm.
  • The cooled windows 340, 342 serve competing purposes. First, they readily transmit visible and near-visible radiation emitted by the arc lamps (generally at wavelengths in the range of 0.2 to 1.4 μm) to heat the workpiece without substantial attenuation or diminishment of the efficiency of heating. Second, they actively remove longer wavelength radiation emitted from the workpiece (generally at wavelengths of 1.4 μm and above) out of the chamber thus preventing reflected radiation from returning to the workpiece, which serves to controllably cool the workpiece from the intermediate temperature to room temperature or a temperature below the intermediate temperature at which the workpiece may be removed from the workpiece-holding chamber after processing. Prior heating methods in reflective cavities without cooled windows lacked means to controllably cool the workpiece and prevent excessive thermal exposure at the intermediate or higher temperatures. With the cooled windows 340, 342 of the embodiment shown in FIG. 8, the workpiece, such as a silicon semiconductor wafer, is cooled at rates in the range of 100° C. to 200° C. per second, preferably 180° C. per second or more. This compares to cooling rates of about 90° C. per second for reflective chambers without water cooled windows.
  • Other combinations or permutations of the above-noted pre-heating and heating devices or equivalent devices may be provided. For example, an arc lamp may be provided as the pre-heating device and a laser as the heating device, or a tungsten filament lamp array may be provided as the pre-heating device and a flash lamp as the heating device. These and other such variations may be apparent to one of ordinary skill in the art upon reviewing this specification, and are not considered to depart from the scope of the invention as construed in accordance with the accompanying claims.
  • More generally, while specific embodiments of the invention have been described and illustrated, such embodiments should be considered illustrative of the invention only and not as limiting the invention as construed in accordance with the accompanying claims.

Claims (19)

1. An apparatus comprising:
a) a liquid-cooled arc lamp; and
b) a pulsed discharge power supply unit in electrical communication with said arc lamp and configured to supply an electrical discharge pulse to said arc lamp to produce an irradiance flash.
2. The apparatus of claim 1 wherein said liquid-cooled arc lamp comprises an envelope, electrodes within said envelope in communication with said pulsed discharge power supply unit and configured to receive said electrical discharge pulse therefrom, and a flow generator configured to generate a cooling flow of liquid along a surface of said envelope.
3. The apparatus of claim 1 wherein said liquid-cooled arc lamp comprises a water-wall arc lamp.
4. The apparatus of claim 1 wherein said arc lamp is configured to produce said irradiance flash incident upon a semiconductor wafer.
5. The apparatus of claim 3, wherein said water-wall arc lamp comprises a double water-wall arc lamp.
6. The apparatus of claim 3 wherein said water-wall arc lamp comprises an argon plasma water-wall arc lamp.
7. A method comprising:
a) generating a cooling flow of liquid along a surface of an envelope of an arc lamp;
b) producing an irradiance flash, wherein producing comprises discharging an electrical discharge pulse within said envelope.
8. The method of claim 7 wherein discharging comprises discharging said electrical discharge pulse between electrodes within said envelope.
9. The method of claim 7 wherein said electrical discharge pulse has a duration on the order of one millisecond.
10. The method of claim 7 wherein said electrical discharge pulse has a duration of less than 1×101 milliseconds.
11. The method of claim 7 wherein said electrical discharge pulse has a duration less than a thermal conduction time of a workpiece upon which said irradiance flash is incident.
12. The method of claim 7 wherein generating comprises generating first and second cooling flows of liquid on opposite sides of said envelope.
13. An apparatus comprising:
a) means for generating a cooling flow of liquid along a surface of an envelope of an arc lamp; and
b) means for producing an irradiance flash, wherein said means for producing comprises means for discharging an electrical discharge pulse within said envelope.
14. The apparatus of claim 13 wherein said means for discharging comprises electrodes within said envelope.
15. The apparatus of claim 13 wherein said means for discharging comprises a pulsed discharge power supply in electrical communication with electrodes within said envelope.
16. The apparatus of claim 13 wherein said electrical discharge pulse has a duration on the order of one millisecond.
17. The apparatus of claim 13 wherein said electrical discharge pulse has a duration of less than 1×101 milliseconds.
18. The apparatus of claim 13 wherein said electrical discharge pulse has a duration less than a thermal conduction time of a workpiece upon which said irradiance flash is incident.
19. The apparatus of claim 13 wherein said means for generating comprises means for generating a first flow of cooling liquid along a first surface of the envelope, and means for generating a second flow of cooling liquid along a second surface of the envelope.
US10/979,447 2000-12-04 2004-11-02 Heat-treating methods and systems Abandoned US20050062388A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/979,447 US20050062388A1 (en) 2000-12-04 2004-11-02 Heat-treating methods and systems

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/729,747 US6594446B2 (en) 2000-12-04 2000-12-04 Heat-treating methods and systems
PCT/CA2001/000776 WO2002047143A1 (en) 2000-12-04 2001-05-30 Heat-treating methods and systems
WOPCT/CA01/00776 2001-05-30
US10/005,186 US6941063B2 (en) 2000-12-04 2001-12-04 Heat-treating methods and systems
US10/979,447 US20050062388A1 (en) 2000-12-04 2004-11-02 Heat-treating methods and systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/005,186 Continuation US6941063B2 (en) 2000-12-04 2001-12-04 Heat-treating methods and systems

Publications (1)

Publication Number Publication Date
US20050062388A1 true US20050062388A1 (en) 2005-03-24

Family

ID=24932436

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/729,747 Expired - Lifetime US6594446B2 (en) 2000-12-04 2000-12-04 Heat-treating methods and systems
US10/005,186 Expired - Lifetime US6941063B2 (en) 2000-12-04 2001-12-04 Heat-treating methods and systems
US10/427,094 Expired - Lifetime US6963692B2 (en) 2000-12-04 2003-04-30 Heat-treating methods and systems
US10/979,447 Abandoned US20050062388A1 (en) 2000-12-04 2004-11-02 Heat-treating methods and systems

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/729,747 Expired - Lifetime US6594446B2 (en) 2000-12-04 2000-12-04 Heat-treating methods and systems
US10/005,186 Expired - Lifetime US6941063B2 (en) 2000-12-04 2001-12-04 Heat-treating methods and systems
US10/427,094 Expired - Lifetime US6963692B2 (en) 2000-12-04 2003-04-30 Heat-treating methods and systems

Country Status (4)

Country Link
US (4) US6594446B2 (en)
JP (2) JP4944353B2 (en)
AU (1) AU2001267174A1 (en)
WO (1) WO2002047143A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US20050133167A1 (en) * 2003-12-19 2005-06-23 Camm David M. Apparatuses and methods for suppressing thermally-induced motion of a workpiece
US20050179354A1 (en) * 2004-02-12 2005-08-18 Camm David M. High-intensity electromagnetic radiation apparatus and methods
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20060263540A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20070032082A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US20070069161A1 (en) * 2005-09-14 2007-03-29 Camm David M Repeatable heat-treating methods and apparatus
US20070218662A1 (en) * 2006-03-20 2007-09-20 Haowen Bu Antimony ion implantation for semiconductor components
US20080157452A1 (en) * 2006-11-15 2008-07-03 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US20080273867A1 (en) * 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US20090067823A1 (en) * 2007-09-12 2009-03-12 Tatsufumi Kusuda Heat treatment apparatus heating substrate by irradiation with light
US20090255921A1 (en) * 2008-04-09 2009-10-15 Applied Materials, Inc. Apparatus Including Heating Source Reflective Filter For Pyrometry
US20090289053A1 (en) * 2008-04-09 2009-11-26 Applied Materials, Inc. Apparatus Including Heating Source Reflective Filter for Pyrometry
US20100074604A1 (en) * 2008-04-09 2010-03-25 Applied Materials, Inc. Apparatus and Method for Improved Control of Heating and Cooling of Substrates
US20110295539A1 (en) * 2010-05-28 2011-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for measuring intra-die temperature
US20120288261A1 (en) * 2011-05-13 2012-11-15 Kazuyuki Hashimoto Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
WO2013043625A1 (en) * 2010-05-25 2013-03-28 Mossey Creek Solar, LLC Method of producing a semiconductor
US8434341B2 (en) 2002-12-20 2013-05-07 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US8785814B1 (en) * 2009-05-05 2014-07-22 Lsp Technologies, Inc. Optic protection via stagnant liquid film
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US9279727B2 (en) 2010-10-15 2016-03-08 Mattson Technology, Inc. Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
US9536762B2 (en) 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
WO2017116743A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Nitrogen injection for arc lamps
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
US10056273B2 (en) 2016-09-16 2018-08-21 Canon Anelva Corporation Heating apparatus, substrate heating apparatus, and method of manufacturing semiconductor device

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP4581240B2 (en) * 2000-12-12 2010-11-17 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
US7534977B2 (en) * 2000-12-28 2009-05-19 Semiconductor Energy Laboratory Co., Ltd. Heat treatment apparatus and method of manufacturing a semiconductor device
JP3798674B2 (en) * 2001-10-29 2006-07-19 大日本スクリーン製造株式会社 Heat treatment apparatus and heat treatment method
US7255899B2 (en) * 2001-11-12 2007-08-14 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method of substrate
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6828204B2 (en) * 2002-10-16 2004-12-07 Varian Semiconductor Equipment Associates, Inc. Method and system for compensating for anneal non-uniformities
US7062161B2 (en) * 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
AU2003280184A1 (en) * 2002-12-09 2004-06-30 Koninklijke Philips Electronics N.V. System and method for suppression of wafer temperature drift in cold-wall cvd system
DE10260672A1 (en) 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Method and device for the thermal treatment of disk-shaped substrates
US20040235281A1 (en) * 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
US7115837B2 (en) * 2003-07-28 2006-10-03 Mattson Technology, Inc. Selective reflectivity process chamber with customized wavelength response and method
US20050104072A1 (en) 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
JP2005079110A (en) * 2003-08-29 2005-03-24 Toshiba Corp Semiconductor device and manufacturing method thereof
US20070200436A1 (en) * 2003-09-24 2007-08-30 Menashe Barak Pulse Forming Network And Pulse Generator
US7473656B2 (en) * 2003-10-23 2009-01-06 International Business Machines Corporation Method for fast and local anneal of anti-ferromagnetic (AF) exchange-biased magnetic stacks
TWI365519B (en) * 2003-12-19 2012-06-01 Mattson Tech Canada Inc Apparatuses and methods for suppressing thermally induced motion of a workpiece
TWI476858B (en) * 2003-12-19 2015-03-11 Mattson Tech Inc Methods and systems for supporting a workpiece and for heat-treating the workpiece
EP1569263B1 (en) * 2004-02-27 2011-11-23 OSRAM Opto Semiconductors GmbH Method for joining two wafers
US7846822B2 (en) * 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
US20060035477A1 (en) * 2004-08-12 2006-02-16 Karen Mai Methods and systems for rapid thermal processing
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US20060220112A1 (en) * 2005-04-01 2006-10-05 International Business Machines Corporation Semiconductor device forming method and structure for retarding dopant-enhanced diffusion
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7745762B2 (en) * 2005-06-01 2010-06-29 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
US20060291833A1 (en) * 2005-06-01 2006-12-28 Mattson Techonology, Inc. Switchable reflector wall concept
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
KR100775963B1 (en) * 2006-07-12 2007-11-15 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US8148663B2 (en) 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
JP5396703B2 (en) * 2007-10-09 2014-01-22 富士通セミコンダクター株式会社 Heat treatment apparatus and method, and semiconductor device manufacturing method
JP2009164525A (en) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd Heat treatment apparatus
JP2009188210A (en) * 2008-02-06 2009-08-20 Panasonic Corp Impurity activating thermal processing method, and thermal processing apparatus
US7968440B2 (en) * 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
JP5497992B2 (en) * 2008-03-25 2014-05-21 大日本スクリーン製造株式会社 Heat treatment equipment
JP5318455B2 (en) * 2008-05-02 2013-10-16 大日本スクリーン製造株式会社 Heat treatment equipment
JP5642359B2 (en) * 2009-06-04 2014-12-17 株式会社Screenホールディングス Heat treatment method and heat treatment apparatus
KR101151569B1 (en) * 2009-10-26 2012-05-31 주식회사 경동나비엔 Welding method of stainless steel
KR101097348B1 (en) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 Crystallization apparatus, crystallization method, method of manufacturing thin film transistor and method of manufacturing organic light emitting display apparatus
US8871670B2 (en) 2011-01-05 2014-10-28 The Board Of Trustees Of The University Of Illinois Defect engineering in metal oxides via surfaces
EA201391270A1 (en) 2011-03-10 2014-08-29 Месокоут, Инк. METHOD AND DEVICE FOR PLACING METAL PRODUCTS
TWI566300B (en) 2011-03-23 2017-01-11 斯克林集團公司 Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
AT510846B1 (en) * 2011-04-13 2012-07-15 Colop Stempelerzeugung Skopek DEVICE FOR PRODUCING STAMP CLICKS
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
JP5861831B2 (en) * 2011-07-28 2016-02-16 Jfeスチール株式会社 Steel plate heating device
JP5951241B2 (en) * 2011-12-07 2016-07-13 株式会社Screenホールディングス Heat treatment method and heat treatment apparatus
US9449825B2 (en) 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
JP5931477B2 (en) * 2012-02-03 2016-06-08 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
JP6026748B2 (en) * 2012-02-03 2016-11-16 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
JP6026749B2 (en) * 2012-02-03 2016-11-16 株式会社Screenホールディングス Heat treatment apparatus and heat treatment method
CN104145321B (en) 2012-02-24 2016-07-06 马特森技术有限公司 For generating equipment and the method for electromagnetic radiation
JP5964626B2 (en) * 2012-03-22 2016-08-03 株式会社Screenホールディングス Heat treatment equipment
TWI494174B (en) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd Equipment for surface treatment of substrate
TWI582837B (en) * 2012-06-11 2017-05-11 應用材料股份有限公司 Melt depth determination using infrared interferometric technique in pulsed laser annealing
KR101503117B1 (en) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 Curing apparatus
US9059079B1 (en) 2012-09-26 2015-06-16 Ut-Battelle, Llc Processing of insulators and semiconductors
US9048268B2 (en) * 2013-03-05 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and equipment for removing photoresist residue after dry etch
JP2016520711A (en) 2013-03-15 2016-07-14 メソコート インコーポレイテッド Ternary ceramic spray powder and coating method
US9832816B2 (en) * 2013-06-21 2017-11-28 Applied Materials, Inc. Absorbing reflector for semiconductor processing chamber
US9129918B2 (en) * 2013-10-30 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for annealing semiconductor structures
KR20150144585A (en) 2014-06-17 2015-12-28 엘지전자 주식회사 Post-processing apparatus of solar cell
US10240236B2 (en) * 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
KR102104468B1 (en) * 2015-12-30 2020-04-27 맷슨 테크놀로지, 인크. Methods for improving process uniformity in millisecond annealing systems
WO2017116740A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Electrode tip for arc lamp
TWI612259B (en) * 2016-02-26 2018-01-21 財團法人工業技術研究院 Heating apparatus and heating method
DE102016112836A1 (en) * 2016-06-14 2017-12-14 Leander Kilian Gross Method and device for thermal treatment of a substrate
CN111032889B (en) * 2017-08-16 2022-04-08 玛特森技术公司 Hot working of closed-form workpieces
US11195732B2 (en) * 2018-04-12 2021-12-07 Mattson Technology, Inc. Low thermal budget annealing
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
CN114402426A (en) * 2020-08-18 2022-04-26 玛特森技术公司 Rapid thermal processing system with cooling system
CN114402425A (en) * 2020-08-18 2022-04-26 玛特森技术公司 Rapid thermal processing system with cooling system
CN112467508B (en) * 2021-01-28 2021-06-08 四川光天下激光科技有限公司 Narrow pulse width laser

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1759720A (en) * 1929-04-22 1930-05-20 George W Stitzer Headlight
US2341658A (en) * 1942-03-04 1944-02-15 Salani Ettore Projector
USRE24296E (en) * 1957-03-26 Apparatus for infra-red cooking
US2877341A (en) * 1955-06-28 1959-03-10 Harold E Edgerton Liquid cooled flash-producing apparatus
US2981819A (en) * 1961-04-25 Heater construction for kiln or other apparatus
US3227065A (en) * 1963-06-07 1966-01-04 Alan L Litman Waterless egg cooker
US3239651A (en) * 1963-08-21 1966-03-08 Ekco Products Company Heating unit
US3240915A (en) * 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3366815A (en) * 1965-12-29 1968-01-30 Union Carbide Corp High pressure arc cooled by a thin film of liquid on the wall of the envelope
US3502516A (en) * 1964-11-06 1970-03-24 Siemens Ag Method for producing pure semiconductor material for electronic purposes
US3651358A (en) * 1970-05-04 1972-03-21 Union Carbide Corp Method and apparatus for extending the useful life of an arc radiation source
US3661637A (en) * 1969-01-02 1972-05-09 Siemens Ag Method for epitactic precipitation of silicon at low temperatures
US4005601A (en) * 1975-08-29 1977-02-01 Amac, Inc. Apparatus for detecting rail discontinuities
US4027185A (en) * 1974-06-13 1977-05-31 Canadian Patents And Development Limited High intensity radiation source
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4315130A (en) * 1978-03-03 1982-02-09 Hitachi, Ltd. Method of treating object by laser beam and apparatus therefor
US4325006A (en) * 1979-08-01 1982-04-13 Jersey Nuclear-Avco Isotopes, Inc. High pulse repetition rate coaxial flashlamp
US4331485A (en) * 1980-03-03 1982-05-25 Arnon Gat Method for heat treating semiconductor material using high intensity CW lamps
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
US4375993A (en) * 1980-04-09 1983-03-08 Fujitsu Limited Method of producing a semiconductor device by simultaneous multiple laser annealing
US4379727A (en) * 1981-07-08 1983-04-12 International Business Machines Corporation Method of laser annealing of subsurface ion implanted regions
US4431459A (en) * 1981-07-17 1984-02-14 National Semiconductor Corporation Fabrication of MOSFETs by laser annealing through anti-reflective coating
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4504323A (en) * 1980-09-12 1985-03-12 Ushio Denki Kabushiki Kaisha Method for annealing semiconductors with a planar source composed of flash discharge lamps
US4567352A (en) * 1983-03-29 1986-01-28 Ushio Denki Kabushiki Kaisha Flashlight-radiant apparatus
US4581520A (en) * 1982-09-07 1986-04-08 Vu Duy Phach Heat treatment machine for semiconductors
US4636969A (en) * 1983-08-15 1987-01-13 Shinagawa Refractories Co., Ltd. Apparatus for automatic measuring thermal dimensional change
US4649241A (en) * 1984-11-09 1987-03-10 Siemens-Allis, Inc. Solenoid actuated high speed, high current making switch with a movable contact ring
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4661177A (en) * 1985-10-08 1987-04-28 Varian Associates, Inc. Method for doping semiconductor wafers by rapid thermal processing of solid planar diffusion sources
US4818327A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Wafer processing apparatus
US4826269A (en) * 1987-10-16 1989-05-02 Spectra Diode Laboratories, Inc. Diode laser arrangement forming bright image
US4891499A (en) * 1988-09-09 1990-01-02 Texas Instruments Incorporated Method and apparatus for real-time wafer temperature uniformity control and slip-free heating in lamp heated single-wafer rapid thermal processing systems
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
US4984902A (en) * 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5188458A (en) * 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5275629A (en) * 1991-01-17 1994-01-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device manufacturing apparatus
US5279973A (en) * 1990-10-16 1994-01-18 Kabushiki Kaisha Toshiba Rapid thermal annealing for semiconductor substrate by using incoherent light
US5282017A (en) * 1990-01-05 1994-01-25 Quantum Logic Corporation Reflectance probe
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5308161A (en) * 1993-02-11 1994-05-03 Quantum Logic Corporation Pyrometer apparatus for use in rapid thermal processing of semiconductor wafers
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
US5317429A (en) * 1990-11-28 1994-05-31 Fujitsu Limited Trilayer nematic liquid crystal optical switching device
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5399506A (en) * 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5399523A (en) * 1991-03-26 1995-03-21 Siemens Aktiengesellscaft Method for the rapid thermal processing of a semiconductor wafer by irradiation
US5407485A (en) * 1993-04-09 1995-04-18 F. T. L. Co., Ltd. Apparatus for producing semiconductor device and method for producing semiconductor device
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
US5593608A (en) * 1993-09-09 1997-01-14 Tokyo Electron Limited Temperature control method and apparatus for use in thermal processing equipment
US5597237A (en) * 1995-05-30 1997-01-28 Quantum Logic Corp Apparatus for measuring the emissivity of a semiconductor wafer
US5601366A (en) * 1994-10-25 1997-02-11 Texas Instruments Incorporated Method for temperature measurement in rapid thermal process systems
US5604592A (en) * 1994-09-19 1997-02-18 Textron Defense Systems, Division Of Avco Corporation Laser ultrasonics-based material analysis system and method using matched filter processing
US5603772A (en) * 1994-08-16 1997-02-18 Nec Corporation Furnace equipped with independently controllable heater elements for uniformly heating semiconductor wafers
US5608227A (en) * 1994-09-12 1997-03-04 Patent-Treuhand-Gesellschaft F. Elektrische Gluehlampen Mbh Mercury-vapor high-pressure short-arc discharge lamp, and method and apparatus for exposure of semiconductor wafers to radiation emitted from said lamp
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5710407A (en) * 1993-01-21 1998-01-20 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5727017A (en) * 1995-04-11 1998-03-10 Ast Electronik, Gmbh Method and apparatus for determining emissivity of semiconductor material
US5738440A (en) * 1994-12-23 1998-04-14 International Business Machines Corp. Combined emissivity and radiance measurement for the determination of the temperature of a radiant object
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
USRE36050E (en) * 1993-03-08 1999-01-19 Micron Technology, Inc. Method for repeatable temperature measurement using surface reflectivity
US5893952A (en) * 1996-07-11 1999-04-13 Lsi Logic Corporation Apparatus for rapid thermal processing of a wafer
US5898270A (en) * 1997-04-11 1999-04-27 Ilc Technology, Inc. Monocoque structure and large electrode beaded rob re-entrant seals for flashlamp-pumped solid-state laser flashlamps
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US6051483A (en) * 1996-11-12 2000-04-18 International Business Machines Corporation Formation of ultra-shallow semiconductor junction using microwave annealing
US6171641B1 (en) * 1989-12-11 2001-01-09 Hitachi, Ltd. Vacuum processing apparatus, and a film deposition apparatus and a film deposition method both using the vacuum processing apparatus
US6187616B1 (en) * 1998-02-13 2001-02-13 Seiko Epson Corporation Method for fabricating semiconductor device and heat treatment apparatus
US6214034B1 (en) * 1996-09-04 2001-04-10 Radiancy, Inc. Method of selective photothermolysis
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6348099B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6375348B1 (en) * 1999-03-29 2002-04-23 Eaton Corporation System and method for the real time determination of the in situ emissivity and temperature of a workpiece during processing
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6534752B2 (en) * 1999-05-03 2003-03-18 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6536131B2 (en) * 1996-07-15 2003-03-25 Semitool, Inc. Wafer handling system
US6541924B1 (en) * 2000-04-14 2003-04-01 Macquarie Research Ltd. Methods and systems for providing emission of incoherent radiation and uses therefor
US6702302B2 (en) * 1998-09-24 2004-03-09 Kla-Tencor Corporation Edge handling wafer chuck
US6717158B1 (en) * 1999-01-06 2004-04-06 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US20050018196A1 (en) * 2003-06-30 2005-01-27 Tatsufumi Kusuda Measuring method and measuring apparatus of optical energy absorption ratio, and thermal processing apparatus
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6855916B1 (en) * 2003-12-10 2005-02-15 Axcelis Technologies, Inc. Wafer temperature trajectory control method for high temperature ramp rate applications using dynamic predictive thermal modeling
US6859616B2 (en) * 2002-12-05 2005-02-22 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of heat treatment by light irradiation
US20050063448A1 (en) * 2003-09-18 2005-03-24 Dainippon Screen Mfg. Co., Ltd. Apparatus and method for thermal processing of substrate
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US6885815B2 (en) * 2002-07-17 2005-04-26 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus performing irradiating a substrate with light
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US7002363B2 (en) * 2001-11-02 2006-02-21 Formfactor, Inc. Method and system for compensating thermally induced motion of probe cards
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US20070069161A1 (en) * 2005-09-14 2007-03-29 Camm David M Repeatable heat-treating methods and apparatus

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102098A (en) * 1870-04-19 Improved french bedstead
US1587023A (en) 1922-02-17 1926-06-01 Mecky Company A Multiple-reflector single-unit combined toaster and cooker
NL113118C (en) 1954-05-18 1900-01-01
US3108713A (en) 1959-05-05 1963-10-29 Pneumafil Corp Dispensing equipment
NL133151C (en) 1959-05-28 1900-01-01
US3160517A (en) 1961-11-13 1964-12-08 Union Carbide Corp Method of depositing metals and metallic compounds throughout the pores of a porous body
US3188459A (en) 1962-11-02 1965-06-08 Northrop Corp Lamp holder
US3460510A (en) 1966-05-12 1969-08-12 Dow Corning Large volume semiconductor coating reactor
US3627590A (en) 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
US3692572A (en) 1969-08-12 1972-09-19 Wolfgang Strehlow Epitaxial film process and products thereof
US3623712A (en) 1969-10-15 1971-11-30 Applied Materials Tech Epitaxial radiation heated reactor and process
US3913872A (en) 1973-01-18 1975-10-21 Bell & Howell Co Light tunnel for uniformly illuminating an object
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1485908A (en) 1974-05-21 1977-09-14 Nath G Apparatus for applying light radiation
US4041278A (en) 1975-05-19 1977-08-09 General Electric Company Heating apparatus for temperature gradient zone melting
US4115163A (en) 1976-01-08 1978-09-19 Yulia Ivanovna Gorina Method of growing epitaxial semiconductor films utilizing radiant heating
US4224096A (en) 1976-03-25 1980-09-23 W. R. Grace & Co. Laser sealing of thermoplastic material
US4101759A (en) 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4097226A (en) 1976-10-26 1978-06-27 General Electric Company Furnace for practising temperature gradient zone melting
JPS54103174A (en) 1978-01-31 1979-08-14 Tokyo Shibaura Electric Co Cooking instrument
US4164643A (en) 1978-03-06 1979-08-14 Dewitt David P Energy-efficient bi-radiant oven system
FR2435818A1 (en) 1978-09-08 1980-04-04 Ibm France PROCESS FOR INCREASING THE INTERNAL TRAPPING EFFECT OF SEMICONDUCTOR BODIES
JPS55115327A (en) 1979-02-28 1980-09-05 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacturing method of semiconductor device
JPS5648128A (en) 1979-09-27 1981-05-01 Pioneer Electronic Corp Heating treatment
JPS56100412A (en) 1979-12-17 1981-08-12 Sony Corp Manufacture of semiconductor device
JPS56100426A (en) 1980-01-14 1981-08-12 Ushio Inc Device and method for annealing
US4308078A (en) 1980-06-06 1981-12-29 Cook Melvin S Method of producing single-crystal semiconductor films by laser treatment
JPS5780729A (en) 1980-11-10 1982-05-20 Tokyo Denki Daigaku Annealing device for semiconductor
JPS57208146A (en) 1981-06-17 1982-12-21 Nec Corp Forming method for insulating film to compound semiconductor
US4421048A (en) 1981-10-22 1983-12-20 The United States Of America As Represented By The Secretary Of The Navy Situ incineration/detoxification system for antifouling coatings
JPS5870536A (en) 1981-10-22 1983-04-27 Fujitsu Ltd Laser annealing method
JPS58106836A (en) 1981-12-18 1983-06-25 Hitachi Ltd Laser annealing device
JPS59928A (en) 1982-06-25 1984-01-06 Ushio Inc Photo heating device
EP0105230A3 (en) 1982-09-30 1986-01-15 General Electric Company Triggering and cooling apparatus for laser flashlamps
JPS5977289A (en) 1982-10-26 1984-05-02 ウシオ電機株式会社 Beam irradiating furnace
GB2136937A (en) 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
JPS59211221A (en) 1983-05-17 1984-11-30 Nippon Denso Co Ltd Heat treatment of ion implanted semiconductor
US4539431A (en) 1983-06-06 1985-09-03 Sera Solar Corporation Pulse anneal method for solar cell
US4550684A (en) 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4680447A (en) 1983-08-11 1987-07-14 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4698486A (en) 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPS60258928A (en) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド Device and method for heating semiconductor wafer
GB2199693B (en) 1986-12-02 1990-08-15 Noblelight Ltd Improvements in and relating to flash lamps
JP2605090B2 (en) 1988-03-28 1997-04-30 東京エレクトロン株式会社 Beam annealing equipment
JPH0262036A (en) * 1988-08-29 1990-03-01 Fujitsu Ltd Semiconductor device manufacturing apparatus
EP0383230B1 (en) 1989-02-14 1997-05-28 Seiko Epson Corporation Manufacturing Method of a Semiconductor Device
JP3190653B2 (en) 1989-05-09 2001-07-23 ソニー株式会社 Annealing method and annealing device
JPH04355911A (en) 1991-03-27 1992-12-09 Fujitsu Ltd Manufacturing device for semiconductor device
US5446825A (en) 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JP3466633B2 (en) * 1991-06-12 2003-11-17 ソニー株式会社 Annealing method for polycrystalline semiconductor layer
US5359693A (en) 1991-07-15 1994-10-25 Ast Elektronik Gmbh Method and apparatus for a rapid thermal processing of delicate components
US5336641A (en) 1992-03-17 1994-08-09 Aktis Corporation Rapid thermal annealing using thermally conductive overcoat
JPH07245274A (en) 1994-03-02 1995-09-19 Tokyo Electron Ltd Heat treatment device
DE4414391C2 (en) 1994-04-26 2001-02-01 Steag Rtp Systems Gmbh Method for wave vector selective pyrometry in rapid heating systems
US5561735A (en) * 1994-08-30 1996-10-01 Vortek Industries Ltd. Rapid thermal processing apparatus and method
JP3440579B2 (en) 1994-10-05 2003-08-25 ソニー株式会社 Heat treatment method
US5703436A (en) * 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
JP4026182B2 (en) 1995-06-26 2007-12-26 セイコーエプソン株式会社 Semiconductor device manufacturing method and electronic device manufacturing method
JP3348334B2 (en) * 1995-09-19 2002-11-20 ソニー株式会社 Method for manufacturing thin film semiconductor device
US5971565A (en) * 1995-10-20 1999-10-26 Regents Of The University Of California Lamp system with conditioned water coolant and diffuse reflector of polytetrafluorethylene(PTFE)
US5777437A (en) 1996-07-01 1998-07-07 Lumenx Technologies Inc. Annular chamber flashlamp including a surrounding, packed powder reflective material
WO1998028660A1 (en) * 1996-12-20 1998-07-02 Koninklijke Philips Electronics N.V. Furnace for rapid thermal processing
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5841110A (en) 1997-08-27 1998-11-24 Steag-Ast Gmbh Method and apparatus for improved temperature control in rapid thermal processing (RTP) systems
US6316786B1 (en) * 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6594446B2 (en) 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE24296E (en) * 1957-03-26 Apparatus for infra-red cooking
US2981819A (en) * 1961-04-25 Heater construction for kiln or other apparatus
US1759720A (en) * 1929-04-22 1930-05-20 George W Stitzer Headlight
US2341658A (en) * 1942-03-04 1944-02-15 Salani Ettore Projector
US2877341A (en) * 1955-06-28 1959-03-10 Harold E Edgerton Liquid cooled flash-producing apparatus
US3240915A (en) * 1962-09-19 1966-03-15 Fostoria Corp Infra-red heater
US3227065A (en) * 1963-06-07 1966-01-04 Alan L Litman Waterless egg cooker
US3239651A (en) * 1963-08-21 1966-03-08 Ekco Products Company Heating unit
US3502516A (en) * 1964-11-06 1970-03-24 Siemens Ag Method for producing pure semiconductor material for electronic purposes
US3366815A (en) * 1965-12-29 1968-01-30 Union Carbide Corp High pressure arc cooled by a thin film of liquid on the wall of the envelope
US3661637A (en) * 1969-01-02 1972-05-09 Siemens Ag Method for epitactic precipitation of silicon at low temperatures
US3651358A (en) * 1970-05-04 1972-03-21 Union Carbide Corp Method and apparatus for extending the useful life of an arc radiation source
US4027185A (en) * 1974-06-13 1977-05-31 Canadian Patents And Development Limited High intensity radiation source
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4081313A (en) * 1975-01-24 1978-03-28 Applied Materials, Inc. Process for preparing semiconductor wafers with substantially no crystallographic slip
US4005601A (en) * 1975-08-29 1977-02-01 Amac, Inc. Apparatus for detecting rail discontinuities
US4315130A (en) * 1978-03-03 1982-02-09 Hitachi, Ltd. Method of treating object by laser beam and apparatus therefor
US4325006A (en) * 1979-08-01 1982-04-13 Jersey Nuclear-Avco Isotopes, Inc. High pulse repetition rate coaxial flashlamp
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
US4331485A (en) * 1980-03-03 1982-05-25 Arnon Gat Method for heat treating semiconductor material using high intensity CW lamps
US4375993A (en) * 1980-04-09 1983-03-08 Fujitsu Limited Method of producing a semiconductor device by simultaneous multiple laser annealing
US4504323A (en) * 1980-09-12 1985-03-12 Ushio Denki Kabushiki Kaisha Method for annealing semiconductors with a planar source composed of flash discharge lamps
US4379727A (en) * 1981-07-08 1983-04-12 International Business Machines Corporation Method of laser annealing of subsurface ion implanted regions
US4431459A (en) * 1981-07-17 1984-02-14 National Semiconductor Corporation Fabrication of MOSFETs by laser annealing through anti-reflective coating
US4581520A (en) * 1982-09-07 1986-04-08 Vu Duy Phach Heat treatment machine for semiconductors
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4567352A (en) * 1983-03-29 1986-01-28 Ushio Denki Kabushiki Kaisha Flashlight-radiant apparatus
US4636969A (en) * 1983-08-15 1987-01-13 Shinagawa Refractories Co., Ltd. Apparatus for automatic measuring thermal dimensional change
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4649241A (en) * 1984-11-09 1987-03-10 Siemens-Allis, Inc. Solenoid actuated high speed, high current making switch with a movable contact ring
US4661177A (en) * 1985-10-08 1987-04-28 Varian Associates, Inc. Method for doping semiconductor wafers by rapid thermal processing of solid planar diffusion sources
US4818327A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Wafer processing apparatus
US4826269A (en) * 1987-10-16 1989-05-02 Spectra Diode Laboratories, Inc. Diode laser arrangement forming bright image
US5188458A (en) * 1988-04-27 1993-02-23 A G Processing Technologies, Inc. Pyrometer apparatus and method
US4981815A (en) * 1988-05-09 1991-01-01 Siemens Aktiengesellschaft Method for rapidly thermally processing a semiconductor wafer by irradiation using semicircular or parabolic reflectors
US4891499A (en) * 1988-09-09 1990-01-02 Texas Instruments Incorporated Method and apparatus for real-time wafer temperature uniformity control and slip-free heating in lamp heated single-wafer rapid thermal processing systems
US4984902A (en) * 1989-04-13 1991-01-15 Peak Systems, Inc. Apparatus and method for compensating for errors in temperature measurement of semiconductor wafers during rapid thermal processing
US5011794A (en) * 1989-05-01 1991-04-30 At&T Bell Laboratories Procedure for rapid thermal annealing of implanted semiconductors
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
US6171641B1 (en) * 1989-12-11 2001-01-09 Hitachi, Ltd. Vacuum processing apparatus, and a film deposition apparatus and a film deposition method both using the vacuum processing apparatus
US5282017A (en) * 1990-01-05 1994-01-25 Quantum Logic Corporation Reflectance probe
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5310260A (en) * 1990-04-10 1994-05-10 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5490728A (en) * 1990-04-10 1996-02-13 Luxtron Corporation Non-contact optical techniques for measuring surface conditions
US5279973A (en) * 1990-10-16 1994-01-18 Kabushiki Kaisha Toshiba Rapid thermal annealing for semiconductor substrate by using incoherent light
US5317429A (en) * 1990-11-28 1994-05-31 Fujitsu Limited Trilayer nematic liquid crystal optical switching device
US5293216A (en) * 1990-12-31 1994-03-08 Texas Instruments Incorporated Sensor for semiconductor device manufacturing process control
US5275629A (en) * 1991-01-17 1994-01-04 Mitsubishi Denki Kabushiki Kaisha Semiconductor device manufacturing apparatus
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5399523A (en) * 1991-03-26 1995-03-21 Siemens Aktiengesellscaft Method for the rapid thermal processing of a semiconductor wafer by irradiation
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5313044A (en) * 1992-04-28 1994-05-17 Duke University Method and apparatus for real-time wafer temperature and thin film growth measurement and control in a lamp-heated rapid thermal processor
US5399506A (en) * 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5710407A (en) * 1993-01-21 1998-01-20 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5308161A (en) * 1993-02-11 1994-05-03 Quantum Logic Corporation Pyrometer apparatus for use in rapid thermal processing of semiconductor wafers
USRE36050E (en) * 1993-03-08 1999-01-19 Micron Technology, Inc. Method for repeatable temperature measurement using surface reflectivity
US5305417A (en) * 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5407485A (en) * 1993-04-09 1995-04-18 F. T. L. Co., Ltd. Apparatus for producing semiconductor device and method for producing semiconductor device
US5501637A (en) * 1993-08-10 1996-03-26 Texas Instruments Incorporated Temperature sensor and method
US5593608A (en) * 1993-09-09 1997-01-14 Tokyo Electron Limited Temperature control method and apparatus for use in thermal processing equipment
US5858819A (en) * 1994-06-15 1999-01-12 Seiko Epson Corporation Fabrication method for a thin film semiconductor device, the thin film semiconductor device itself, liquid crystal display, and electronic device
US5603772A (en) * 1994-08-16 1997-02-18 Nec Corporation Furnace equipped with independently controllable heater elements for uniformly heating semiconductor wafers
US5608227A (en) * 1994-09-12 1997-03-04 Patent-Treuhand-Gesellschaft F. Elektrische Gluehlampen Mbh Mercury-vapor high-pressure short-arc discharge lamp, and method and apparatus for exposure of semiconductor wafers to radiation emitted from said lamp
US5604592A (en) * 1994-09-19 1997-02-18 Textron Defense Systems, Division Of Avco Corporation Laser ultrasonics-based material analysis system and method using matched filter processing
US5601366A (en) * 1994-10-25 1997-02-11 Texas Instruments Incorporated Method for temperature measurement in rapid thermal process systems
US5738440A (en) * 1994-12-23 1998-04-14 International Business Machines Corp. Combined emissivity and radiance measurement for the determination of the temperature of a radiant object
US5727017A (en) * 1995-04-11 1998-03-10 Ast Electronik, Gmbh Method and apparatus for determining emissivity of semiconductor material
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
US5597237A (en) * 1995-05-30 1997-01-28 Quantum Logic Corp Apparatus for measuring the emissivity of a semiconductor wafer
US5893952A (en) * 1996-07-11 1999-04-13 Lsi Logic Corporation Apparatus for rapid thermal processing of a wafer
US6536131B2 (en) * 1996-07-15 2003-03-25 Semitool, Inc. Wafer handling system
US6214034B1 (en) * 1996-09-04 2001-04-10 Radiancy, Inc. Method of selective photothermolysis
US6051483A (en) * 1996-11-12 2000-04-18 International Business Machines Corporation Formation of ultra-shallow semiconductor junction using microwave annealing
US6348099B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5898270A (en) * 1997-04-11 1999-04-27 Ilc Technology, Inc. Monocoque structure and large electrode beaded rob re-entrant seals for flashlamp-pumped solid-state laser flashlamps
US6187616B1 (en) * 1998-02-13 2001-02-13 Seiko Epson Corporation Method for fabricating semiconductor device and heat treatment apparatus
US6702302B2 (en) * 1998-09-24 2004-03-09 Kla-Tencor Corporation Edge handling wafer chuck
US6717158B1 (en) * 1999-01-06 2004-04-06 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6375348B1 (en) * 1999-03-29 2002-04-23 Eaton Corporation System and method for the real time determination of the in situ emissivity and temperature of a workpiece during processing
US6534752B2 (en) * 1999-05-03 2003-03-18 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US6349270B1 (en) * 1999-05-27 2002-02-19 Emcore Corporation Method and apparatus for measuring the temperature of objects on a fast moving holder
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6541924B1 (en) * 2000-04-14 2003-04-01 Macquarie Research Ltd. Methods and systems for providing emission of incoherent radiation and uses therefor
US6376806B2 (en) * 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US7002363B2 (en) * 2001-11-02 2006-02-21 Formfactor, Inc. Method and system for compensating thermally induced motion of probe cards
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US6998580B2 (en) * 2002-03-28 2006-02-14 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6885815B2 (en) * 2002-07-17 2005-04-26 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus performing irradiating a substrate with light
US6859616B2 (en) * 2002-12-05 2005-02-22 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of heat treatment by light irradiation
US20050018196A1 (en) * 2003-06-30 2005-01-27 Tatsufumi Kusuda Measuring method and measuring apparatus of optical energy absorption ratio, and thermal processing apparatus
US20050063448A1 (en) * 2003-09-18 2005-03-24 Dainippon Screen Mfg. Co., Ltd. Apparatus and method for thermal processing of substrate
US6855916B1 (en) * 2003-12-10 2005-02-15 Axcelis Technologies, Inc. Wafer temperature trajectory control method for high temperature ramp rate applications using dynamic predictive thermal modeling
US20070069161A1 (en) * 2005-09-14 2007-03-29 Camm David M Repeatable heat-treating methods and apparatus
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050063453A1 (en) * 2001-12-26 2005-03-24 Camm David Malcolm Temperature measurement and heat-treating metods and system
US20060096677A1 (en) * 2001-12-26 2006-05-11 Camm David M Temperature measurement and heat-treating methods
US8434341B2 (en) 2002-12-20 2013-05-07 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US20050133167A1 (en) * 2003-12-19 2005-06-23 Camm David M. Apparatuses and methods for suppressing thermally-induced motion of a workpiece
US20100276611A1 (en) * 2004-02-12 2010-11-04 Mattson Technology Canada, Inc. High-intensity electromagnetic radiation apparatus and methods
US8384274B2 (en) 2004-02-12 2013-02-26 Mattson Technology, Inc. High-intensity electromagnetic radiation apparatus and methods
US7781947B2 (en) 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
US20050179354A1 (en) * 2004-02-12 2005-08-18 Camm David M. High-intensity electromagnetic radiation apparatus and methods
US20060263540A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20070032082A1 (en) * 2005-08-08 2007-02-08 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US9482468B2 (en) 2005-09-14 2016-11-01 Mattson Technology, Inc. Repeatable heat-treating methods and apparatus
US20070069161A1 (en) * 2005-09-14 2007-03-29 Camm David M Repeatable heat-treating methods and apparatus
US20070218662A1 (en) * 2006-03-20 2007-09-20 Haowen Bu Antimony ion implantation for semiconductor components
US7795122B2 (en) * 2006-03-20 2010-09-14 Texas Instruments Incorporated Antimony ion implantation for semiconductor components
US8454356B2 (en) 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
US20080157452A1 (en) * 2006-11-15 2008-07-03 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US8693857B2 (en) 2007-05-01 2014-04-08 Mattson Technology, Inc. Irradiance pulse heat-treating methods and apparatus
US8005351B2 (en) 2007-05-01 2011-08-23 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US20080273867A1 (en) * 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US8050546B2 (en) * 2007-09-12 2011-11-01 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus heating substrate by irradiation with light
US20140212117A1 (en) * 2007-09-12 2014-07-31 Tatsufumi Kusuda Heat treatment apparatus heating substrate by irradiation with light
US20120008926A1 (en) * 2007-09-12 2012-01-12 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus heating substrate by irradiation with light
US20090067823A1 (en) * 2007-09-12 2009-03-12 Tatsufumi Kusuda Heat treatment apparatus heating substrate by irradiation with light
US9295107B2 (en) * 2007-09-12 2016-03-22 SCREEN Holdings Co., Ltd. Heat treatment apparatus heating substrate by irradiation with light
US8447177B2 (en) * 2007-09-12 2013-05-21 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus heating substrate by irradiation with light
US8367983B2 (en) 2008-04-09 2013-02-05 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US20090255921A1 (en) * 2008-04-09 2009-10-15 Applied Materials, Inc. Apparatus Including Heating Source Reflective Filter For Pyrometry
US9552989B2 (en) 2008-04-09 2017-01-24 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US8548311B2 (en) 2008-04-09 2013-10-01 Applied Materials, Inc. Apparatus and method for improved control of heating and cooling of substrates
US8283607B2 (en) 2008-04-09 2012-10-09 Applied Materials, Inc. Apparatus including heating source reflective filter for pyrometry
US20090289053A1 (en) * 2008-04-09 2009-11-26 Applied Materials, Inc. Apparatus Including Heating Source Reflective Filter for Pyrometry
US20100074604A1 (en) * 2008-04-09 2010-03-25 Applied Materials, Inc. Apparatus and Method for Improved Control of Heating and Cooling of Substrates
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US8785814B1 (en) * 2009-05-05 2014-07-22 Lsp Technologies, Inc. Optic protection via stagnant liquid film
US8765036B2 (en) 2010-05-25 2014-07-01 Mossey Creek Solar, LLC Method of producing a semiconductor
US8420515B2 (en) 2010-05-25 2013-04-16 Mossey Creek Solar, LLC Method of producing a solar cell
WO2013043625A1 (en) * 2010-05-25 2013-03-28 Mossey Creek Solar, LLC Method of producing a semiconductor
US20110295539A1 (en) * 2010-05-28 2011-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for measuring intra-die temperature
US9536762B2 (en) 2010-05-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for thermal mapping and thermal process control
US9279727B2 (en) 2010-10-15 2016-03-08 Mattson Technology, Inc. Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
US20120288261A1 (en) * 2011-05-13 2012-11-15 Kazuyuki Hashimoto Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US9920993B2 (en) * 2011-05-13 2018-03-20 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
WO2017116743A1 (en) * 2015-12-30 2017-07-06 Mattson Technology, Inc. Nitrogen injection for arc lamps
US10966286B2 (en) 2015-12-30 2021-03-30 Mattson Technology, Inc. Nitrogen injection for ARC lamps
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
US10056273B2 (en) 2016-09-16 2018-08-21 Canon Anelva Corporation Heating apparatus, substrate heating apparatus, and method of manufacturing semiconductor device
TWI649825B (en) * 2016-09-16 2019-02-01 佳能安內華股份有限公司 Heating device, substrate heating device, and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20030206732A1 (en) 2003-11-06
JP2010093282A (en) 2010-04-22
US6963692B2 (en) 2005-11-08
WO2002047143A1 (en) 2002-06-13
JP2004515085A (en) 2004-05-20
JP4944353B2 (en) 2012-05-30
US20020067918A1 (en) 2002-06-06
AU2001267174A1 (en) 2002-06-18
US6594446B2 (en) 2003-07-15
US6941063B2 (en) 2005-09-06
US20020102098A1 (en) 2002-08-01

Similar Documents

Publication Publication Date Title
US6941063B2 (en) Heat-treating methods and systems
US20210220949A1 (en) Pulse train annealing method and apparatus
JP7051965B2 (en) Preheating method for millisecond annealing system
CN107123597B (en) Pulse train annealing method and apparatus
TWI569347B (en) Pulse train annealing method and apparatus
US4698486A (en) Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JP6837871B2 (en) Heat treatment method
US6965092B2 (en) Ultra fast rapid thermal processing chamber and method of use
KR102126119B1 (en) Heat treatment method
JP2008042127A (en) Heat treatment apparatus, and susceptor for heat treatment
WO2002047123A1 (en) Heat-treating methods and systems
KR102093007B1 (en) Heat treatment apparatus
KR102182797B1 (en) Heat treatment method
JP2009038230A (en) Light radiation type heat treatment apparatus
JP2002324764A (en) Substrate heat treating apparatus
GB2406725A (en) Heat-treating methods and systems
JP2010073787A (en) Heat treatment apparatus
JP2003282470A (en) Heat-treatment apparatus for substrate
JP2023027614A (en) Thermal treatment device
JP2008186940A (en) Susceptor for heat treatment and heat treatment apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATTSON TECHNOLOGY CANADA, INC., CANADA

Free format text: CHANGE OF NAME;ASSIGNOR:VORTEK INDUSTRIES LTD.;REEL/FRAME:016410/0704

Effective date: 20041116

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION