US20050064701A1 - Formation of low resistance via contacts in interconnect structures - Google Patents

Formation of low resistance via contacts in interconnect structures Download PDF

Info

Publication number
US20050064701A1
US20050064701A1 US10/665,584 US66558403A US2005064701A1 US 20050064701 A1 US20050064701 A1 US 20050064701A1 US 66558403 A US66558403 A US 66558403A US 2005064701 A1 US2005064701 A1 US 2005064701A1
Authority
US
United States
Prior art keywords
substrate
conductive material
interconnect structure
low
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/665,584
Inventor
Timothy Dalton
Stephen Gates
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/665,584 priority Critical patent/US20050064701A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DALTON, TIMOTHY J., GATES, STEPHEN M.
Priority to TW093126849A priority patent/TWI339874B/en
Priority to CNB2004100771570A priority patent/CN100345277C/en
Priority to JP2004269168A priority patent/JP2005094014A/en
Publication of US20050064701A1 publication Critical patent/US20050064701A1/en
Priority to US11/182,445 priority patent/US7378350B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Definitions

  • the present invention generally relates to integrated circuits (ICs). More particularly, the present invention relates to interconnect structures, including multilevel interconnect structures fabricated by damascene methods in which the via contact resistance must be low.
  • the present invention describes various methods and tooling for making improved interconnect structures based on copper damascene wiring having a reduced via contact resistance and stable resistance both during IC operation and reliability stress of the IC device.
  • semiconductor devices include a plurality of circuits, which form an integrated circuit fabricated on a silicon single crystal substrate.
  • a complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires the formation of multi-level or multi-layered interconnection schemes, such as, dual damascene wiring structures based on copper. Copper based interconnects are desirable due to their efficacy in providing high speed signal transmission between large numbers of transistors on a complex semiconductor chip.
  • metal vias run perpendicular to the silicon substrate and metal lines run parallel to the silicon substrate. Further enhancement of the speed of signals and reduction of interaction of signals in adjacent copper lines (known as “cross-talk) is achieved in new IC product chips by surrounding the copper lines and vias in a low k or ultralow k dielectric.
  • interconnect structures formed on an integrated circuit chip includes at least about 2 to 10 wiring levels fabricated at a minimum lithographic feature size designated about 1 ⁇ (referred to as “thinwires”) and above these levels are about 2 to 4 wiring levels fabricated at a larger size (referred to as “fatwires”).
  • the thinwires are formed in a low dielectric constant (k) material having a dielectric constant between about 2 and about 3.5.
  • the via contact resistance is high in prior structures due to contamination at the via to line interface.
  • Contamination primarily includes oxygen and/or carbon and is in the form of copper oxide (Cu Oxide) or a carbon based residue (polymeric or amorphous carbon).
  • the carbon residue commonly contains H or F and other undesired elements may be present at the via to line interface.
  • a further problem during interconnect fabrication is that the shapes and dimensions of the etched via and trench openings are correct after etch, but the shapes and dimensions are distorted, enlarged, degraded or roughened during via cleaning.
  • This problem is most acute when low modulus ultralow k (ULK) dielectrics (k ⁇ about 2.7) are used and the via cleaning includes Ar+ bombardment.
  • the Ar+ ions enlarge the via dimensions and erode the bottom of the trenches and even cause roughening of the trench bottom. Pores in the ULK dielectric make this problem severe.
  • the above objects can be achieved by cleaning the via contact surfaces during fabrication of an interconnect structure.
  • the present invention provides a general method of making a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance.
  • the method includes the steps of:
  • the present invention further provides a method of making a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance.
  • the method includes the steps of:
  • the present invention still further provides a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance prepared by the above methods of the present invention.
  • the via contacts prepared by the methods of the present invention are very stable during thermal cycles and during operation of the semiconductor device. Further, the via contacts prepared by the methods described herein have a lower resistance than the vias described in the prior art.
  • the vias are surrounded by a liner (for example, see element 6 in FIG. 1 ) and the adhesion of the liner is stronger than it is in the vias described in the prior art.
  • the interconnect structures of the present invention are more reliable and more stable than the interconnect structures of the prior art, because stronger liner adhesion leads to more reliable and stable interconnect structures.
  • FIG. 1 is a schematic cross-sectional view of a completed interconnect level having a first layer having a set of metallic lines and vias therein and a diffusion barrier layer thereon.
  • FIG. 2 is a schematic cross-sectional view of the etched via and line openings before any metallization of the openings.
  • the present invention provides a non-destructive pre-clean process methods for liner/barrier deposition on low-k dielectrics.
  • an integrated circuit will have interconnect levels, each level including metallic lines and vias that are of a dual damascene (via plus next level conductor) wiring interconnect structure for use on the IC chip.
  • the metallic lines and vias are composed of the same or different conductive material. Suitable materials for use herein include, but are not limited to, W, Cu, Al, Ag, Au and alloys thereof and the like. A particularly preferred material is Cu.
  • the condensable cleaning agent can be a reducing agent; metal based reducing agent, metal hydride; mixed metal hydride such as, LiAIH, molecular source of fluorine or a source of hydrogen, or a source of both hydrogen and silicon.
  • Examples of the latter include, but are not limited to disilane; trisilane; tetrasilane and other condensable silanes.
  • Molecular sources of fluorine include metal fluorides, such as, AlF3, TiF4, WF6, TaF6 and include inorganic fluorine compounds, such as, SF6, XeF2 and a mixture thereof; organic fluorine sources such as hexafluoroproplyeneoxide, hexafluorobenzene, and include fluorinated higher silanes. Mixtures of the above compounds may be especially effective as the CCA.
  • the hydrides and hydrogen sources are effective to remove oxide and oxygen containing residues, while fluorine sources are used to remove carbon containing residues.
  • the activation step can be carried out by bombarding with He+ ions, H2+ ions and/or H+/H2+ mixtures.
  • the activation step can be carried out by irradiating with electron beam or irradiating with an ultraviolet (UV) radiation.
  • UV ultraviolet
  • the activation step can be carried out by lifting the substrate off the cold chuck with lift pins and thereafter heating the substrate with heating lamps.
  • the lifted substrate is heated to a temperature about 350° C. to about 400° C., more preferably, the lifted substrate is heated to a temperature about 200° C. to about 450° C.
  • FIG. 1 an example of dual damascene level is described in which a substrate 10 and an etch stop/barrier layer 2 are shown. On the etch stop/barrier is deposited the ILD 3 and an optional hardmask 4 is atop the ILD. It should be noted that the hardmask can be composed of a single or multiple layers to form a composite hardmask film.
  • a Cu conductor 5 is formed within the ILD, separated from the ILD by the liner/Cu diffusion barrier 6 .
  • a second etch stop/barrier layer 7 forms the “cap” on the Cu conductor.
  • Each of the metallic lines and vias 5 can optionally include a liner material 6 , which lines the metallic lines and vias.
  • Suitable materials that can be used as liners include, but are not limited to, TiN, TaN, Ta, WN, W, TaSiN, TiSiN, WCN, Ru and the like and mixtures thereof.
  • the liner can be a single layer or it can include multiple layers.
  • openings for defining lines and vias are formed utilizing conventional lithography (including applying a photoresist to a surface of the low-k organic dielectric layer) and etching.
  • the etching step includes a conventional dry etching, such as, reactive ion etching, ion beam etching or plasma etching.
  • the photoresist is then stripped from the layer utilizing conventional stripping processes.
  • a conductive material is deposited on the liner, if one is used, or on the exposed surfaces of the openings employing conventional deposition processes, such as, CVD, plasma-assisted CVD, sputtering, plating, evaporation or chemical solution deposition.
  • the conductive metal filled structure is then subjected to a conventional planarization process in which any residual conductive metal and/or liner outside the opening is substantially removed.
  • CMP may be used and after CMP the top horizontal portions of the metallic lines are approximately coplanar with the top surface of the first layer.
  • a diffusion barrier layer 7 can then be deposited as a continuous layer on the top surface thereof to complete the interconnect level.
  • Diffusion barrier layer 7 is composed of any insulating material that is capable of preventing one of the above mentioned conductive metals from diffusing into the first layers formed above this interconnect level.
  • the present invention which is directed to cleaning of the via openings including the via contact to Cu line, is described in reference to FIG. 2 .
  • dielectric layer 30 may be homogeneous, or it may be composed of multiple layers. If multiple layers are presented, the interface between the two layers does not have to be concurrent with the interface between the line opening 70 and the via opening 50 .
  • the dielectric may have k from 3.5 to 2, as described below.
  • a barrier/cap/etch stop layer 20 disposed atop a copper line below 100.
  • the dielectric layer 30 may include an optional hardmask layer 40 which may be composed of a single or multiple layers to form a composite hardmask film.
  • All of the above components are disposed on a substrate 110 .
  • Opening 90 is known as the via bottom, where Cu 101 is exposed.
  • the Cu surface may contain a Cu Oxide layer 120 due to Cu oxidation by the air, aqueous cleaning solution, partially oxygenated solvent cleaning solution, and other sources of oxygen such as the reactive feed gases used to form opening 90 in barrier/cap/etch stop layer 20 .
  • the Cu Oxide layer 120 may contain carbon and other elements. Also present within the via opening may be a variable thickness of carbon residue 140 , which may contain H, F and other elements, may be polymeric or may be an amorphous carbon type material.
  • the carbon residue 140 is commonly present at the via bottom although FIG. 2 shows the residue on the sidewalls.
  • the residue 140 is removed by H atoms and other active species using a reducing H2 plasma or an oxidizing plasma, in a first cleaning step.
  • Other common chemistries include one or more of the following gases: H2, O2, N2 and NH3.
  • the Ar+ ions employed in the prior art typically have kinetic energies from about 100 eV to about 1000 eV are used to remove the Cu Oxide 120 in a second cleaning step.
  • the ions cause damage to the exposed trench bottom 150 and to hardmask 40 , where the dielectric is directly exposed to Ar+ bombardment.
  • Cu Oxide layer During removal of the Cu Oxide layer, Cu atoms are sputtered from the via bottom onto the via sidewalls 160 and onto the trench floor, where Cu on the trench floor is shown as 155 .
  • the substrate 110 may be a semiconductor wafer or chip that can be composed of any silicon-containing semiconductor material, such as, for example, Si, SiGe, Si/SiGe, Si/SiO 2 /Si and the like.
  • the substrate may be doped with dopants either n- or p-type depending on the desired device to be fabricated.
  • the substrate may contain various isolation and/or device regions either formed in the substrate or on a surface thereof.
  • the substrate may also contain metallic pads on the surface thereof.
  • the substrate may be a circuit that includes CMOS devices therein.
  • Suitable dielectrics for layer 30 are porous or dense inorganic materials including, but not limited to, silicon-containing materials such as compositions formed from one or more of Si, C, O, F and H, e.g., FSG, C doped oxide, F doped oxide, alloys of Si, C, O and H and the like.
  • silicon-containing materials such as compositions formed from one or more of Si, C, O, F and H, e.g., FSG, C doped oxide, F doped oxide, alloys of Si, C, O and H and the like.
  • PE CVD materials of the composition Si, C, O, and H include, but are not limited to, Black Diamond from Applied Materials, Coral from Novellus Systems and Aurora from ASM., all have k approximately 3.0, and include the range 2.8 to 3.2.
  • SiCOH dielectrics containing porosity and having k from 2.7 down to 1.8 may be preferably used within this invention, including BDII and BDIII from Applied Materials, Aurora ULK and ELK from ASM, and other porous SiCOH films.
  • a variety of spin applied films having the composition Si, C, O, H, such as, methylsilsesquioxanes, siloxanes and 5109, 5117, 5525, 5530 from Japan Synthetic Rubber (JSR), and Dendriglass may also be used.
  • JSR Japan Synthetic Rubber
  • the materials known as Orion and other materials from Trikon may also be used.
  • first dielectric layer 30 on substrate 110 e.g., PE CVD or spin coating
  • PECVD from a cyclic precursor and a second hydrocarbon precursor is one preferred method to make SiCOH dielectrics with k between 2 and 3, as disclosed in U.S. Pat. Nos. 6,312,793; 6,441,491; and 6,479,110 B2, the contents of which are incorporated herein by reference.
  • the dielectric layer 30 may be a low-k organic materials such as the commercially available organic thermoset materials available from Dow Chemical Co. sold under the tradename SILKTM or polyarylene ethers and the like. Additionally, dielectric layer 30 may be porous-SiLKTM from Dow Chemical Company.
  • Each of the present methods for via cleaning begins by placing the substrate containing elements 100 - 160 (see above) on a cooled wafer chuck at a temperature about ⁇ 200 to about 25° C. and then a condensable cleaning agent (CCA) is added to the process chamber for a period from about 1 second to about 100 seconds, a time sufficient to condense from 0.1 to 100 monolayers of CCA.
  • CCA condensable cleaning agent
  • the condensable cleaning agent is selected from reducing agents, molecular sources of fluorine, hydrogen sources and a source of both hydrogen and silicon.
  • the reducing agents include metal based reducing agents, such as, metal hydride; mixed metal hydrides, such as, LiAlH.
  • Examples of the source of both hydrogen and silicon include, but are not limited to, disilane; trisilane; tetrasilane and other condensable silanes.
  • Molecular sources of fluorine include metal fluorides, such as, AlF3, TiF4, WF6, TaF6 and include inorganic fluorine compounds, such as, SF6, XeF2 and a mixture thereof; organic fluorine sources such as hexafluoroproplyeneoxide, hexafluorobenzene, and include fluorinated higher silanes.
  • Mixtures of the above compounds may be especially effective as the CCA.
  • the preferred CCA's include disilane, higher silanes such as trisilane, metal hydride compounds, metal fluoride compounds such as AlF3, TiF4, WF6, TaF6, inorganic fluorine compounds, such as, SF6 or XeF2, mixed fluorinated higher silanes, mixed metal hydrides and fluorides and the like.
  • Metal based reducing agents such as LiAlH, is also preferred.
  • the CCA is condensed on the cold wafer in the coating step, forming a thin layer in the via bottom (and roughly uniformly all across the wafer).
  • the CCA is activated in the activation step, the carbon-based contamination is converted to volatile organic compounds and the Cu Oxide is removed from the Cu surfaces.
  • the activation step is performed by He+ ion or He+ and H+/H2+ bombardment while the wafer remains cold and 1 process chamber is used.
  • He, and optionally H2 are flowed into the process chamber at flow rates from about 1 sccm to about 10000 sccm with a preferable flow rate range of about 100 to about 500 sccm for each gas species.
  • the activation step is performed by electron beam irradiation or UV irradiation while the wafer is cold and a single process chamber is used.
  • an electron energy from about 0.5 eV to about 100 keV, a dose of about 10 to about 1,000 micro Curies/cm 2 and a time of about 1 second to about 10,000 seconds are employed.
  • a typical condition for this treatment is an electron energy from about 1 to about 10 keV, a dose of about 50 to about 500 micro Curies/cm 2 .
  • the activation step is performed by heating the wafer and a single process chamber is used. Specifically, the wafer is lifted off the cold chuck using lift pins and then heating lamps are used to heat the lifted wafer to a temperature of about 350° C. to about 400° C. and preferably from about 200° C. to about 450° C.
  • the activation step is performed in a separate process module on a cluster tool.
  • First the substrate containing elements 100 to 160 is placed on a cooled wafer chuck at a temperature of ⁇ 200° C. to about 25° C. in the process chamber described in the first embodiment and then, a condensable cleaning agent (CCA) is added to the process chamber for a period sufficient to condense (from about 1 to about 100 seconds), which is a time sufficient to condense from about 0.1 to about 100 monolayers of CCA.
  • CCA condensable cleaning agent
  • the substrate is moved to a second process chamber wherein the substrate is irradiated with He+ ion or He+ and H+/H2+ ions, with electron beam radiation, with UV radiation, with thermal energy, or with another energy source.
  • the wafer may not be lifted off the chuck.
  • the chuck may be heated, for example, resistively, with a heating fluid, or with heating lamps, to the desired temperature.
  • the activation step is performed using a noble gas plasma and at least 1 grid is placed between the plasma and the substrate.
  • the grid is biased positive in order to repel positive ions, so that the substrate containing the condensed layer of CCA is activated with VUV light and electrons from the plasma.
  • a second grid is placed and biased negative to repel the electrons, so that only VUV light activates the CCA.

Abstract

A method of fabricating an interconnect structure including the steps of: forming a porous or dense low k dielectric layer on a substrate; forming single or dual damascene etched openings in the low k dielectric; placing the substrate in a process chamber on a cold chuck at a temperature about −200° C. to about 25° C.; adding to the process chamber a condensable cleaning agent (CCA) to condense a layer of CCA within the etched openings on the substrate; and activating at a temperature about −200° C. to about 25° C. Also provided is an interconnect structure having a substrate, a conductive material disposed on the substrate, a porous or dense low k dielectric layer disposed on the conductive material, wherein the low k dielectric layer has a single or dual damascene etched openings that expose a surface of the conductive material, and metallic lines and vias etched onto the low k dielectric layer; wherein the exposed conductive material has been treated with a CCA and activated in the cold to remove oxide, oxygen and carbon containing residues from the surface of the conductive material.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to integrated circuits (ICs). More particularly, the present invention relates to interconnect structures, including multilevel interconnect structures fabricated by damascene methods in which the via contact resistance must be low. The present invention describes various methods and tooling for making improved interconnect structures based on copper damascene wiring having a reduced via contact resistance and stable resistance both during IC operation and reliability stress of the IC device.
  • 2. Description of the Prior Art
  • Generally, semiconductor devices include a plurality of circuits, which form an integrated circuit fabricated on a silicon single crystal substrate. A complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires the formation of multi-level or multi-layered interconnection schemes, such as, dual damascene wiring structures based on copper. Copper based interconnects are desirable due to their efficacy in providing high speed signal transmission between large numbers of transistors on a complex semiconductor chip.
  • Within the interconnection structure, metal vias run perpendicular to the silicon substrate and metal lines run parallel to the silicon substrate. Further enhancement of the speed of signals and reduction of interaction of signals in adjacent copper lines (known as “cross-talk) is achieved in new IC product chips by surrounding the copper lines and vias in a low k or ultralow k dielectric.
  • Presently, interconnect structures formed on an integrated circuit chip includes at least about 2 to 10 wiring levels fabricated at a minimum lithographic feature size designated about 1× (referred to as “thinwires”) and above these levels are about 2 to 4 wiring levels fabricated at a larger size (referred to as “fatwires”). In one class of prior art structures, the thinwires are formed in a low dielectric constant (k) material having a dielectric constant between about 2 and about 3.5.
  • However, fabrication problems are associated with these prior art structures. For example, the via contact resistance is high in prior structures due to contamination at the via to line interface. Contamination primarily includes oxygen and/or carbon and is in the form of copper oxide (Cu Oxide) or a carbon based residue (polymeric or amorphous carbon). The carbon residue commonly contains H or F and other undesired elements may be present at the via to line interface.
  • Another problem associated with these prior art structures is poor adhesion at the via contact, which leads to increased via resistance after the structure is cycled in temperature or after long periods of field operation. The extreme case of increased via resistance is an open via with no contact to the line below, a fatal IC failure mode. Poor adhesion is also due to the same contamination described above.
  • A further problem during interconnect fabrication is that the shapes and dimensions of the etched via and trench openings are correct after etch, but the shapes and dimensions are distorted, enlarged, degraded or roughened during via cleaning. This problem is most acute when low modulus ultralow k (ULK) dielectrics (k<about 2.7) are used and the via cleaning includes Ar+ bombardment. The Ar+ ions enlarge the via dimensions and erode the bottom of the trenches and even cause roughening of the trench bottom. Pores in the ULK dielectric make this problem severe.
  • SUMMARY OF THE INVENTION
  • It is therefore an object of the present invention to provide methods of improved fabrication of BEOL interconnect structures of the dual or single damascene type, with low via contact resistance.
  • It is also an object of the present invention to provide methods of improved fabrication of BEOL interconnect structures of the dual or single damascene type, in which all the vias on the IC are very stable in resistance (the via resistance does not change or goes down) after thermal cycling of the structure.
  • It is a further object of the present invention to provide methods for improved fabrication of BEOL interconnect structures of the dual or single damascene type in which all the vias on the IC have improved adhesion.
  • It is a further object of the present invention to provide methods for improved fabrication of BEOL interconnect structures of the dual or single damascene type in which the shapes of the etched via and trench openings are not distorted or changed during via cleaning.
  • It is a further object of the present invention to provide methods for improved fabrication of BEOL interconnect structures of the dual or single damascene type in which the bottoms of the etched trench openings are smooth after via cleaning and the other surfaces of the vias and lines remain smooth.
  • In keeping with these and other objects of the present invention, provided here are improved methods to clean the via contacts.
  • The above objects can be achieved by cleaning the via contact surfaces during fabrication of an interconnect structure.
  • Accordingly, the present invention provides a general method of making a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance. The method includes the steps of:
      • a) forming a porous or dense low k dielectric layer on a substrate;
      • b) forming single or dual damascene etched openings in the low k dielectric;
      • c) placing the substrate in a process chamber on a cold chuck at a temperature about −200° C. to about 25° C.;
      • d) adding to the process chamber a condensable cleaning agent (CCA) to condense a layer of CCA within the etched openings on the substrate; and
      • e) performing an activation step while the wafer remains cold at a temperature of about −200° C. to about 25° C.
  • The present invention further provides a method of making a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance. The method includes the steps of:
      • a) forming a porous or dense low k dielectric layer on a substrate;
      • b) forming single or dual damascene etched openings in the low k dielectric;
      • c) placing the substrate in a first process chamber on a cold chuck at a temperature about −200° C. to about 25° C.;
      • d) adding to the first process chamber a condensable cleaning agent (CCA) to condense a layer of CCA within the etched openings on the substrate;
      • e) moving the substrate to a second process chamber on a cluster tool; and
      • f) performing an activation step in the second process chamber.
  • The present invention still further provides a BEOL interconnect structure including a porous or dense low k dielectric having low via contact resistance prepared by the above methods of the present invention.
  • The via contacts prepared by the methods of the present invention are very stable during thermal cycles and during operation of the semiconductor device. Further, the via contacts prepared by the methods described herein have a lower resistance than the vias described in the prior art. In addition, in the present invention, the vias are surrounded by a liner (for example, see element 6 in FIG. 1) and the adhesion of the liner is stronger than it is in the vias described in the prior art. As a result, the interconnect structures of the present invention are more reliable and more stable than the interconnect structures of the prior art, because stronger liner adhesion leads to more reliable and stable interconnect structures.
  • These and other advantages of the present invention would be better understood from the detailed description of the invention and the preferred embodiments with reference to the drawings that follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional view of a completed interconnect level having a first layer having a set of metallic lines and vias therein and a diffusion barrier layer thereon.
  • FIG. 2 is a schematic cross-sectional view of the etched via and line openings before any metallization of the openings.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention provides a non-destructive pre-clean process methods for liner/barrier deposition on low-k dielectrics.
  • In general, an integrated circuit will have interconnect levels, each level including metallic lines and vias that are of a dual damascene (via plus next level conductor) wiring interconnect structure for use on the IC chip. The metallic lines and vias are composed of the same or different conductive material. Suitable materials for use herein include, but are not limited to, W, Cu, Al, Ag, Au and alloys thereof and the like. A particularly preferred material is Cu.
  • The condensable cleaning agent (CCA) can be a reducing agent; metal based reducing agent, metal hydride; mixed metal hydride such as, LiAIH, molecular source of fluorine or a source of hydrogen, or a source of both hydrogen and silicon.
  • Examples of the latter include, but are not limited to disilane; trisilane; tetrasilane and other condensable silanes.
  • Molecular sources of fluorine include metal fluorides, such as, AlF3, TiF4, WF6, TaF6 and include inorganic fluorine compounds, such as, SF6, XeF2 and a mixture thereof; organic fluorine sources such as hexafluoroproplyeneoxide, hexafluorobenzene, and include fluorinated higher silanes. Mixtures of the above compounds may be especially effective as the CCA.
  • Generally, the hydrides and hydrogen sources are effective to remove oxide and oxygen containing residues, while fluorine sources are used to remove carbon containing residues. Preferably, the activation step can be carried out by bombarding with He+ ions, H2+ ions and/or H+/H2+ mixtures. Alternatively, the activation step can be carried out by irradiating with electron beam or irradiating with an ultraviolet (UV) radiation.
  • The activation step can be carried out by lifting the substrate off the cold chuck with lift pins and thereafter heating the substrate with heating lamps.
  • Preferably, the lifted substrate is heated to a temperature about 350° C. to about 400° C., more preferably, the lifted substrate is heated to a temperature about 200° C. to about 450° C.
  • Referring to FIG. 1, an example of dual damascene level is described in which a substrate 10 and an etch stop/barrier layer 2 are shown. On the etch stop/barrier is deposited the ILD 3 and an optional hardmask 4 is atop the ILD. It should be noted that the hardmask can be composed of a single or multiple layers to form a composite hardmask film. A Cu conductor 5 is formed within the ILD, separated from the ILD by the liner/Cu diffusion barrier 6.
  • A second etch stop/barrier layer 7 forms the “cap” on the Cu conductor. Each of the metallic lines and vias 5 can optionally include a liner material 6, which lines the metallic lines and vias.
  • Suitable materials that can be used as liners include, but are not limited to, TiN, TaN, Ta, WN, W, TaSiN, TiSiN, WCN, Ru and the like and mixtures thereof. The liner can be a single layer or it can include multiple layers.
  • Techniques and parameters for forming the metallic lines and vias are known in the art. Briefly, openings for defining lines and vias are formed utilizing conventional lithography (including applying a photoresist to a surface of the low-k organic dielectric layer) and etching. The etching step includes a conventional dry etching, such as, reactive ion etching, ion beam etching or plasma etching. The photoresist is then stripped from the layer utilizing conventional stripping processes.
  • Next, a conductive material is deposited on the liner, if one is used, or on the exposed surfaces of the openings employing conventional deposition processes, such as, CVD, plasma-assisted CVD, sputtering, plating, evaporation or chemical solution deposition. The conductive metal filled structure is then subjected to a conventional planarization process in which any residual conductive metal and/or liner outside the opening is substantially removed. For example, CMP may be used and after CMP the top horizontal portions of the metallic lines are approximately coplanar with the top surface of the first layer.
  • A diffusion barrier layer 7 can then be deposited as a continuous layer on the top surface thereof to complete the interconnect level. Diffusion barrier layer 7 is composed of any insulating material that is capable of preventing one of the above mentioned conductive metals from diffusing into the first layers formed above this interconnect level.
  • The present invention, which is directed to cleaning of the via openings including the via contact to Cu line, is described in reference to FIG. 2.
  • Referring to FIG. 2, a via opening 50 and a line opening 70 etched in a dielectric layer 30 are shown. It should be noted that dielectric layer 30 may be homogeneous, or it may be composed of multiple layers. If multiple layers are presented, the interface between the two layers does not have to be concurrent with the interface between the line opening 70 and the via opening 50.
  • The dielectric may have k from 3.5 to 2, as described below. Below the dielectric 30 is a barrier/cap/etch stop layer 20 disposed atop a copper line below 100. The dielectric layer 30 may include an optional hardmask layer 40 which may be composed of a single or multiple layers to form a composite hardmask film.
  • All of the above components are disposed on a substrate 110.
  • After formation (by dry etching) of the openings 50 and 70, attention is drawn to the bottom of the via opening, where the barrier/cap/etch stop layer 20 has an etched opening 90. Opening 90 is known as the via bottom, where Cu 101 is exposed. The Cu surface may contain a Cu Oxide layer 120 due to Cu oxidation by the air, aqueous cleaning solution, partially oxygenated solvent cleaning solution, and other sources of oxygen such as the reactive feed gases used to form opening 90 in barrier/cap/etch stop layer 20. The Cu Oxide layer 120 may contain carbon and other elements. Also present within the via opening may be a variable thickness of carbon residue 140, which may contain H, F and other elements, may be polymeric or may be an amorphous carbon type material.
  • The carbon residue 140 is commonly present at the via bottom although FIG. 2 shows the residue on the sidewalls.
  • Commonly in the prior art, the residue 140 is removed by H atoms and other active species using a reducing H2 plasma or an oxidizing plasma, in a first cleaning step. Other common chemistries include one or more of the following gases: H2, O2, N2 and NH3.
  • The Ar+ ions employed in the prior art typically have kinetic energies from about 100 eV to about 1000 eV are used to remove the Cu Oxide 120 in a second cleaning step. When Ar+ ions are used, the ions cause damage to the exposed trench bottom 150 and to hardmask 40, where the dielectric is directly exposed to Ar+ bombardment.
  • During removal of the Cu Oxide layer, Cu atoms are sputtered from the via bottom onto the via sidewalls 160 and onto the trench floor, where Cu on the trench floor is shown as 155.
  • The substrate 110 may be a semiconductor wafer or chip that can be composed of any silicon-containing semiconductor material, such as, for example, Si, SiGe, Si/SiGe, Si/SiO2/Si and the like. The substrate may be doped with dopants either n- or p-type depending on the desired device to be fabricated. The substrate may contain various isolation and/or device regions either formed in the substrate or on a surface thereof. The substrate may also contain metallic pads on the surface thereof. In addition to silicon-containing semiconductor materials, the substrate may be a circuit that includes CMOS devices therein.
  • Suitable dielectrics for layer 30 are porous or dense inorganic materials including, but not limited to, silicon-containing materials such as compositions formed from one or more of Si, C, O, F and H, e.g., FSG, C doped oxide, F doped oxide, alloys of Si, C, O and H and the like. Specific examples of PE CVD materials of the composition Si, C, O, and H include, but are not limited to, Black Diamond from Applied Materials, Coral from Novellus Systems and Aurora from ASM., all have k approximately 3.0, and include the range 2.8 to 3.2. Also, SiCOH dielectrics containing porosity and having k from 2.7 down to 1.8 may be preferably used within this invention, including BDII and BDIII from Applied Materials, Aurora ULK and ELK from ASM, and other porous SiCOH films. A variety of spin applied films having the composition Si, C, O, H, such as, methylsilsesquioxanes, siloxanes and 5109, 5117, 5525, 5530 from Japan Synthetic Rubber (JSR), and Dendriglass may also be used. The materials known as Orion and other materials from Trikon may also be used.
  • Techniques and parameters for forming first dielectric layer 30 on substrate 110 (e.g., PE CVD or spin coating) are within the purview of one skilled in the art. As is known in the art, PECVD from a cyclic precursor and a second hydrocarbon precursor is one preferred method to make SiCOH dielectrics with k between 2 and 3, as disclosed in U.S. Pat. Nos. 6,312,793; 6,441,491; and 6,479,110 B2, the contents of which are incorporated herein by reference.
  • Alternatively, the dielectric layer 30 may be a low-k organic materials such as the commercially available organic thermoset materials available from Dow Chemical Co. sold under the tradename SILK™ or polyarylene ethers and the like. Additionally, dielectric layer 30 may be porous-SiLK™ from Dow Chemical Company.
  • Each of the present methods for via cleaning begins by placing the substrate containing elements 100-160 (see above) on a cooled wafer chuck at a temperature about −200 to about 25° C. and then a condensable cleaning agent (CCA) is added to the process chamber for a period from about 1 second to about 100 seconds, a time sufficient to condense from 0.1 to 100 monolayers of CCA.
  • As mentioned above, the condensable cleaning agent (CCA) is selected from reducing agents, molecular sources of fluorine, hydrogen sources and a source of both hydrogen and silicon.
  • Examples of the reducing agents include metal based reducing agents, such as, metal hydride; mixed metal hydrides, such as, LiAlH.
  • Examples of the source of both hydrogen and silicon include, but are not limited to, disilane; trisilane; tetrasilane and other condensable silanes.
  • Molecular sources of fluorine include metal fluorides, such as, AlF3, TiF4, WF6, TaF6 and include inorganic fluorine compounds, such as, SF6, XeF2 and a mixture thereof; organic fluorine sources such as hexafluoroproplyeneoxide, hexafluorobenzene, and include fluorinated higher silanes.
  • Mixtures of the above compounds may be especially effective as the CCA.
  • The preferred CCA's include disilane, higher silanes such as trisilane, metal hydride compounds, metal fluoride compounds such as AlF3, TiF4, WF6, TaF6, inorganic fluorine compounds, such as, SF6 or XeF2, mixed fluorinated higher silanes, mixed metal hydrides and fluorides and the like. Metal based reducing agents such as LiAlH, is also preferred.
  • In the methods of the present invention, the CCA is condensed on the cold wafer in the coating step, forming a thin layer in the via bottom (and roughly uniformly all across the wafer). Next, the CCA is activated in the activation step, the carbon-based contamination is converted to volatile organic compounds and the Cu Oxide is removed from the Cu surfaces.
  • In one embodiment, the activation step is performed by He+ ion or He+ and H+/H2+ bombardment while the wafer remains cold and 1 process chamber is used. In the bombardment step, He, and optionally H2, are flowed into the process chamber at flow rates from about 1 sccm to about 10000 sccm with a preferable flow rate range of about 100 to about 500 sccm for each gas species.
  • In another embodiment, the activation step is performed by electron beam irradiation or UV irradiation while the wafer is cold and a single process chamber is used. In the electron beam treatment, an electron energy from about 0.5 eV to about 100 keV, a dose of about 10 to about 1,000 micro Curies/cm2 and a time of about 1 second to about 10,000 seconds are employed. A typical condition for this treatment is an electron energy from about 1 to about 10 keV, a dose of about 50 to about 500 micro Curies/cm2.
  • In still another embodiment, the activation step is performed by heating the wafer and a single process chamber is used. Specifically, the wafer is lifted off the cold chuck using lift pins and then heating lamps are used to heat the lifted wafer to a temperature of about 350° C. to about 400° C. and preferably from about 200° C. to about 450° C.
  • In yet another embodiment, the activation step is performed in a separate process module on a cluster tool. First the substrate containing elements 100 to 160 is placed on a cooled wafer chuck at a temperature of −200° C. to about 25° C. in the process chamber described in the first embodiment and then, a condensable cleaning agent (CCA) is added to the process chamber for a period sufficient to condense (from about 1 to about 100 seconds), which is a time sufficient to condense from about 0.1 to about 100 monolayers of CCA.
  • Thereafter, the substrate is moved to a second process chamber wherein the substrate is irradiated with He+ ion or He+ and H+/H2+ ions, with electron beam radiation, with UV radiation, with thermal energy, or with another energy source.
  • In the second chamber, the wafer may not be lifted off the chuck. The chuck may be heated, for example, resistively, with a heating fluid, or with heating lamps, to the desired temperature.
  • In another embodiment, the activation step is performed using a noble gas plasma and at least 1 grid is placed between the plasma and the substrate. The grid is biased positive in order to repel positive ions, so that the substrate containing the condensed layer of CCA is activated with VUV light and electrons from the plasma. Optionally, a second grid is placed and biased negative to repel the electrons, so that only VUV light activates the CCA.
  • While we have shown and described several embodiments in accordance with our invention, it is to be clearly understood that same are susceptible to numerous changes that would be apparent to one skilled in the art. Therefore, we do not wish to be limited to only what is shown or described but contemplate all changes and modifications that are within the scope of the appended claims.

Claims (9)

1-40. (canceled)
41. An interconnect structure comprising:
a substrate;
a conductive material disposed on said substrate;
a porous or dense low k dielectric layer disposed on said conductive material, wherein said low k dielectric layer has a single or dual damascene etched openings that expose a surface of said conductive material; and
metallic lines and vias etched onto said low k dielectric layer;
wherein said exposed surface of said conductive material in said etched openings has been treated with a condensable cleaning agent (CAA) and activated at a temperature about −200° C. to about 25° C. to remove oxide, oxygen and carbon containing residues from said surface of said conductive material.
42. The interconnect structure of claim 41, further comprising a liner material lining said metallic lines and vias.
43. The interconnect structure of claim 42, wherein said liner material is selected from the group consisting of: TiN, TaN, Ta, WN, W, TaSiN, TiSiN, WCN, Ru and a mixture thereof.
44. The interconnect structure of claim 41, wherein said porous or dense low k dielectric is selected from the group consisting of:
silicon-containing material formed from one or more of Si, C, O, F and H, PE CVD materials having a composition Si, C, O, and H, a fluorosilicate glass (FSG), C doped oxide, F doped oxide and alloys of Si, C, O and H.
45. The interconnect structure of claim 41, wherein said interconnect structure is placed in a first process chamber on a cold chuck to condense a layer of condensable cleaning agent within said etched openings on said substrate and thereafter activated in a second process chamber on a cluster tool.
46. The interconnect structure of claim 41, wherein said metallic lines and vias are filled with Cu.
47. The interconnect structure of claim 41, wherein said conductive material disposed on said substrate is selected from the group consisting of: W, Cu, Al, Ag, Au and alloys thereof.
48. The interconnect structure of claim 47, wherein said conductive material is Cu.
US10/665,584 2003-09-19 2003-09-19 Formation of low resistance via contacts in interconnect structures Abandoned US20050064701A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/665,584 US20050064701A1 (en) 2003-09-19 2003-09-19 Formation of low resistance via contacts in interconnect structures
TW093126849A TWI339874B (en) 2003-09-19 2004-09-06 Formation of low resistance via contacts in interconnect structures
CNB2004100771570A CN100345277C (en) 2003-09-19 2004-09-10 Formation of low resistance via contacts in interconnect structures
JP2004269168A JP2005094014A (en) 2003-09-19 2004-09-16 Formation of low-resistance via contact in interconnection structure
US11/182,445 US7378350B2 (en) 2003-09-19 2005-07-15 Formation of low resistance via contacts in interconnect structures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/665,584 US20050064701A1 (en) 2003-09-19 2003-09-19 Formation of low resistance via contacts in interconnect structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/182,445 Division US7378350B2 (en) 2003-09-19 2005-07-15 Formation of low resistance via contacts in interconnect structures

Publications (1)

Publication Number Publication Date
US20050064701A1 true US20050064701A1 (en) 2005-03-24

Family

ID=34312894

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/665,584 Abandoned US20050064701A1 (en) 2003-09-19 2003-09-19 Formation of low resistance via contacts in interconnect structures
US11/182,445 Expired - Fee Related US7378350B2 (en) 2003-09-19 2005-07-15 Formation of low resistance via contacts in interconnect structures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/182,445 Expired - Fee Related US7378350B2 (en) 2003-09-19 2005-07-15 Formation of low resistance via contacts in interconnect structures

Country Status (4)

Country Link
US (2) US20050064701A1 (en)
JP (1) JP2005094014A (en)
CN (1) CN100345277C (en)
TW (1) TWI339874B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050184397A1 (en) * 2004-02-19 2005-08-25 International Business Machines Corporation Structures and methods for intergration of ultralow-k dielectrics with improved reliability
US20060081986A1 (en) * 2004-10-14 2006-04-20 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060108320A1 (en) * 2004-11-22 2006-05-25 Lazovsky David E Molecular self-assembly in substrate processing
US20060189137A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US20070166992A1 (en) * 2006-01-18 2007-07-19 International Business Machines Corporation Method for fabricating last level copper-to-c4 connection with interfacial cap structure
US20070246792A1 (en) * 2006-04-25 2007-10-25 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US11171047B2 (en) 2019-06-28 2021-11-09 Applied Materials, Inc. Fluorine-doped nitride films for improved high-k reliability

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US20060251872A1 (en) * 2005-05-05 2006-11-09 Wang Jenn Y Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
TW201044462A (en) * 2009-01-22 2010-12-16 Tokyo Electron Ltd A method for manufacturing semiconductor devices
US8252699B2 (en) 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
JP6040544B2 (en) * 2012-03-22 2016-12-07 日本電気株式会社 Method for surface treatment of copper wiring and method for manufacturing functional element mounted thereon
CN104143523A (en) * 2013-05-06 2014-11-12 中芯国际集成电路制造(上海)有限公司 Manufacturing method for semiconductor device
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
CN104392959A (en) * 2014-11-28 2015-03-04 上海集成电路研发中心有限公司 Method for manufacturing dual damascene structure
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US20010045651A1 (en) * 2000-05-08 2001-11-29 Tatsuyuki Saito Semiconductor integrated circuit device and a method of manufacturing the same
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6794292B2 (en) * 2001-07-16 2004-09-21 United Microelectronics Corp. Extrusion-free wet cleaning process for copper-dual damascene structures
CN1207771C (en) * 2001-12-24 2005-06-22 联华电子股份有限公司 Double-insert process using oxidative wire layer as dielectric barrier
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6479110B2 (en) * 1999-05-26 2002-11-12 International Business Machines Corporation Multiphase low dielectric constant material and method of deposition
US20010045651A1 (en) * 2000-05-08 2001-11-29 Tatsuyuki Saito Semiconductor integrated circuit device and a method of manufacturing the same
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US20050184397A1 (en) * 2004-02-19 2005-08-25 International Business Machines Corporation Structures and methods for intergration of ultralow-k dielectrics with improved reliability
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060081986A1 (en) * 2004-10-14 2006-04-20 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20080220608A1 (en) * 2004-10-14 2008-09-11 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US7906428B2 (en) 2004-10-14 2011-03-15 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060108320A1 (en) * 2004-11-22 2006-05-25 Lazovsky David E Molecular self-assembly in substrate processing
US7309658B2 (en) * 2004-11-22 2007-12-18 Intermolecular, Inc. Molecular self-assembly in substrate processing
US20060189137A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US7915162B2 (en) 2005-02-24 2011-03-29 International Business Machines Corporation Method of forming damascene filament wires
US20070278624A1 (en) * 2005-02-24 2007-12-06 Anderson Brent A Damascene filament wire structure
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US20080096384A1 (en) * 2005-02-24 2008-04-24 Anderson Brent A Method of forming damascene filament wires
US20070166992A1 (en) * 2006-01-18 2007-07-19 International Business Machines Corporation Method for fabricating last level copper-to-c4 connection with interfacial cap structure
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US20080242082A1 (en) * 2006-04-25 2008-10-02 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
US20070246792A1 (en) * 2006-04-25 2007-10-25 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials
US8232195B2 (en) 2006-04-25 2012-07-31 International Business Machines Corporation Method for fabricating back end of the line structures with liner and seed materials
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US20100176514A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US11171047B2 (en) 2019-06-28 2021-11-09 Applied Materials, Inc. Fluorine-doped nitride films for improved high-k reliability

Also Published As

Publication number Publication date
JP2005094014A (en) 2005-04-07
CN100345277C (en) 2007-10-24
TW200512876A (en) 2005-04-01
TWI339874B (en) 2011-04-01
US7378350B2 (en) 2008-05-27
CN1624895A (en) 2005-06-08
US20050266681A1 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
KR100516337B1 (en) Semiconductor device and manufacturing method thereof
JP4328725B2 (en) Structure and method for integrating ultra-low dielectric constant (k) dielectrics with improved reliability
US7737555B2 (en) Semiconductor method having silicon-diffused metal wiring layer
US10854508B2 (en) Interconnection structure and manufacturing method thereof
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US6797633B2 (en) In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
JP2010517325A (en) Improved reliability of copper-based metallization structures in microstructured devices by using aluminum nitride
US6720247B2 (en) Pre-pattern surface modification for low-k dielectrics using A H2 plasma
US20080136037A1 (en) Method for manufacturing semiconductor device and semiconductor device
US20090176367A1 (en) OPTIMIZED SiCN CAPPING LAYER
US20140220777A1 (en) Processing system for combined metal deposition and reflow anneal for forming interconnect structures
US20050026422A1 (en) Method of forming an insulating structure having an insulating interlayer and a capping layer and method of forming a metal wiring structure using the same
US20060099802A1 (en) Diffusion barrier for damascene structures
US20040152336A1 (en) Semiconductor device and its manufacturing method
US20070155186A1 (en) OPTIMIZED SiCN CAPPING LAYER
US20120276737A1 (en) Post-etching treatment process for copper interconnecting wires
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
TW202348825A (en) Selective inhibition for selective metal deposition
JP2006147895A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DALTON, TIMOTHY J.;GATES, STEPHEN M.;REEL/FRAME:014551/0853

Effective date: 20030912

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910