US20050092437A1 - Plasma processing equipment - Google Patents

Plasma processing equipment Download PDF

Info

Publication number
US20050092437A1
US20050092437A1 US10/493,946 US49394604A US2005092437A1 US 20050092437 A1 US20050092437 A1 US 20050092437A1 US 49394604 A US49394604 A US 49394604A US 2005092437 A1 US2005092437 A1 US 2005092437A1
Authority
US
United States
Prior art keywords
plasma
microwave
processing apparatus
plasma gas
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/493,946
Inventor
Tadahiro Ohmi
Masaki Hirayama
Tetsuya Goto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OHIM TADAHIRO
Tokyo Electron Ltd
Original Assignee
OHIM TADAHIRO
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OHIM TADAHIRO, Tokyo Electron Ltd filed Critical OHIM TADAHIRO
Assigned to TOKYO ELECTRON LIMITED, OHIM, TADAHIRO reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GOTO, TETSUYA, HIRAYAMA, MASAKI, OHMI, TADAHIRO
Publication of US20050092437A1 publication Critical patent/US20050092437A1/en
Assigned to TOKYO ELECTRON LIMITED, TADAHIRO OHMI reassignment TOKYO ELECTRON LIMITED RE-RECORD TO CORRECT THE ASSIGNEE'S NAME ON A DOCUMENT PREVIOUSLY RECORDED AT REEL 015526, FRAME 0803. (ASSIGNMENT OF ASSIGNOR'S INTEREST) Assignors: GOTO, TETSUYA, HIRAYAMA, MASAKI, OHMI, TADAHIRO
Priority to US12/379,805 priority Critical patent/US20090229755A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention generally relates to plasma processing apparatuses and more particularly to a microwave plasma processing apparatus.
  • Plasma processing and plasma processing apparatus are indispensable technology for the fabrication of ultrafine semiconductor devices of recent years called deep submicron devices or deep sub-quarter micron devices having a gate length near 0.1 m or less or for the fabrication of high-resolution flat panel display devices including a liquid crystal display device.
  • a microwave plasma processing apparatus that uses high-density plasma excited by a microwave electric field without using a d.c. magnetic field.
  • a plasma processing apparatus having a construction in which a microwave is emitted into a processing vessel from a planar antenna (radial-line slot antenna) having a number of slots arranged to produce a uniform microwave.
  • plasma processing apparatus plasma is excited as a result of the microwave electric field causing ionization in the gas inside the evacuated vessel.
  • Japanese Laid-Open Patent Application 9-63793 Japanese Laid-Open Patent Application 9-63793.
  • the microwave plasma excited according to such a process it becomes possible to realize a high plasma density over a wide area underneath the antenna, and it becomes possible to conduct uniform plasma processing in short time. Further, because the electron density is low in the microwave plasma thus excited due to the use of microwave for the excitation of the plasma, it becomes possible to avoid damaging or metal contamination of the substrate to be processed. Further, because it is possible to excite uniform plasma over a large area substrate, the foregoing technology can easily attend to the fabrication of semiconductor devices that uses a large-diameter semiconductor substrate or production of large liquid crystal display devices.
  • FIGS. 1A and 1B show the construction of a conventional microwave plasma processing apparatus 100 that uses such a radial line slot antenna, wherein FIG. 1A is a cross-sectional view of the microwave plasma processing apparatus 100 , while FIG. 1B shows the construction of the radial line slot antenna.
  • the microwave plasma processing apparatus 100 has a processing chamber 101 evacuated from plural evacuation ports 116 , and a stage 115 that holds a substrate 114 to be processed is formed inside the processing chamber 101 .
  • a ring-shaped space 101 A around the stage 115 wherein the processing chamber 101 can be evacuated uniformly via the space 101 A and the evacuation ports 116 by forming the plural evacuation ports 116 in communication with the space 101 A with equal interval, in other words, in axial symmetry with regard to the substrate to be processed.
  • a shower plate 103 of low-loss dielectric material with a plate-like form wherein the shower plate 103 has a number of apertures 107 and is provided via a seal ring 109 as a part of the outer wall of the processing vessel 101 at a location corresponding to the substrate 114 to be processed on the stage 115 .
  • a cover plate 102 also of a low loss dielectric material is provided outside the shower plate 103 via another seal ring 108 .
  • a passage 104 of a plasma gas On the shower plate 103 , there is formed a passage 104 of a plasma gas on the top surface thereof, and each of the plural apertures 107 is formed in communication with the plasma gas passage 104 . Further, there is formed a supply passage 108 of the plasma gas inside the shower plate 103 in communication with a plasma gas supplying port 105 provided on the outer wall of the processing vessel 101 . Thereby, a plasma gas such as Ar or Kr is supplied to the plasma gas supplying port 105 , wherein the plasma gas thus supplied is further supplied to the apertures 107 from the supply passage 108 via the passage 104 . The plasma gas is then released into a space 101 B right underneath the shower plate 103 inside the processing vessel 101 from the apertures 107 with substantially uniform concentration.
  • a radial line slot antenna 110 having a radiation surface shown in FIG. 1B at the outer side of the cover plate 102 with a separation of 4-5 mm from the cover plate 102 .
  • the radial line slot antenna 110 is connected to an external microwave source (not shown) via a coaxial waveguide 110 A, and the microwave from the microwave source causes excitation of the plasma gas released into the foregoing succession 101 B. Further, the gap between the cover plate 102 and the radiation surface of the radial line slot antenna 110 is filled with the air.
  • the radial line slot antenna 110 is formed of a flat, disk-like antenna body 110 B connected to an external waveguide forming the coaxial waveguide 110 A, and a radiation plate 110 C is formed at the mouth of the antenna body 110 B, wherein the radiation plate 110 C is formed with a number of slots 110 a and a number of slots 110 b perpendicular to the slots 110 a. Further, there is interposed a retardation plate 110 D of a dielectric plate having a uniform thickness between the antenna body 110 B and the radiation plate 110 C.
  • the microwave-supplied from the coaxial waveguide 110 spreads as it travels between the disk-like antenna body 110 B and the radiation plate 110 C in the radial direction, wherein the retardation plate 110 D functions to compress the wavelength thereof.
  • the retardation plate 110 D functions to compress the wavelength thereof.
  • the high-density plasma thus formed has the feature of low electron temperature, and thus, there is caused no damaging in the substrate 114 to be processed. Further, there is caused no metal contamination originating from the sputtering of the chamber wall of the processing vessel 101 .
  • the conductive structure 111 is formed with a large number of nozzles 113 supplied with a processing gas from an external processing gas source (not shown) via a processing gas passage 112 formed in the processing vessel 101 , wherein each of the nozzles 113 releases the supplied processing gas to a space 101 C between the conductive structure 111 and the substrate 114 to be processed.
  • the conductive structure 111 functions as a processing gas supplying part.
  • the conductive structure 111 thus constituting the processing gas supplying part is formed with apertures between adjacent nozzles 113 and 113 with a size allowing efficient passage of the plasma formed in the space 101 B into the space 101 C as a result of diffusion.
  • the processing gas is released into the space 101 C from the processing gas supplying part 111 via the nozzles 113 , the released processing gas undergoes excitation in the processing space 101 B by the high-density plasma and there is conducted a uniform plasma processing on the substrate 114 to be processed, efficiently and at high speed, without damaging the substrate and the device structure on the substrate and without contaminating the substrate.
  • the microwave emitted from the radial line slot antenna 110 is blocked by the process gas supplying part 111 formed of a conductor, and thus, there is no risk that the substrate 114 to be processed is damaged.
  • the plasma is actually excited in the present apparatus 10 , there is a possibility that the plasma is also excited in the plasma gas passage 104 and further in the apertures 107 depending on the condition of the substrate processing.
  • the microwave power is consumed and the plasma density in the space 101 B is decreased.
  • Another and more specific object of the present invention is to excite high-density plasma in a desired space with excellent uniformity, without causing plasma excitation in a space in the path for introducing a plasma gas.
  • Another object of the present invention is to provide a plasma processing apparatus, comprising:
  • the plasma excitation is prevented by using a plasma gas pressure condition set such that there is caused no plasma excitation.
  • a mechanism that supplies the plasma gas via pores of a porous medium is employed.
  • FIGS. 1A and 1B are diagrams showing the construction of a conventional microwave plasma processing apparatus that uses a radial line slot antenna
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus according to a first embodiment of the present invention
  • FIG. 3 is a diagram showing the condition for causing excitation of microwave plasma with regard to the microwave electric field and the pressure of Ar used for the plasma gas;
  • FIGS. 4A and 4B are diagrams showing the construction of a processing gas supplying structure according to a second embodiment of the present invention.
  • FIGS. 5A and 5B are diagrams showing the construction of a plasma processing apparatus according to a third embodiment of the present invention.
  • FIGS. 6A and 6B are diagrams showing the construction of a plasma processing apparatus according to a fourth embodiment of the present invention.
  • FIGS. 7A and 7B are diagrams showing the construction of a plasma processing apparatus according to a fifth embodiment of the present invention.
  • FIGS. 8A and 8B are diagrams showing the construction of a plasma processing apparatus according to a sixth embodiment of the present invention.
  • FIGS. 2A and 2B show the construction of a microwave plasma processing apparatus 200 according to a first embodiment of the present invention, wherein those parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • the shower plate 103 of the foregoing microwave plasma processing apparatus 103 is replaced with a disk-like shower plate of a porous medium such as a porous ceramic material formed for example by Al 2 O 3 sintered at an ordinary pressure.
  • the shower plate 202 is formed with a passage 202 of the plasma gas on the top surface thereof, wherein the plasma gas of Ar or Kr supplied to the plasma gas supplying port 105 is passed through the plasma gas passage 202 and supplied to the space 101 B right underneath the shower plate uniformly through the pores in the porous medium that constitutes the shower plate 202 .
  • FIG. 3 shows the region in which excitation of microwave plasma occurs for the case in which the strength of the microwave electric field and the pressure of Ar used for the plasma excitation gas are changed.
  • the frequency of the microwave is set to 2.45 G.
  • region A is the region in which plasma excitation takes place.
  • excitation of the microwave plasma takes place at the microwave electric field strength and the Ar pressure of the region A.
  • microwave plasma there occurs ignition of microwave plasma at the microwave strength of about 0.3 W/cm 2 in the case the pressure is set to about 1 Torr.
  • the microwave plasma is excited with a near-minimum microwave strength.
  • the pressure is increased or decreased from 1 Torr, on the other hand, the microwave strength necessary for causing plasma excitation increases, and there appears a condition in which plasma is less easily excited.
  • plasma excitation in the plasma gas passage 202 is prevented by setting the pressure of the plasma gas passage to about 6.67 kPa-13.3 kPa (about 50 Torr-100 Torr).
  • the space 101 B used for the plasma excitation space and the plasma gas passage 202 which serves for the plasma gas feeding path, are isolated form each other by the shower plate 201 formed of the porous medium.
  • the plasma gas is supplied from the plasma gas passage 202 to the foregoing space 101 B through the pores of the porous medium forming the shower plate 201 .
  • there exist no sufficiently large space in the pores for causing plasma excitation there occurs no excitation of plasma in such pores. More specifically, even when there is caused acceleration of electrons in the pores by the microwave, the electrons collide with the outer wall of the pores before it is accelerated to the degree for causing plasma excitation.
  • the present apparatus 200 there is caused no plasma excitation inside the shower plate 201 ,.which serves for the plasma gas inlet continuous to the space 101 B, and it becomes possible to excite high-density plasma uniformly in the space 101 B.
  • FIGS. 4A and 4B show the construction of a microwave plasma processing apparatus 200 A according to a second embodiment of the present invention, wherein those parts explained previously are designated with the same reference numerals and the description thereof will be omitted.
  • the lower shower plate 111 is removed in the microwave plasma processing apparatus 200 A of the present embodiment. Because the lower shower plate 111 is omitted, the apparatus cannot carry out film formation process or etching process by supplying a processing gas separately to the plasma gas. On the other hand, the apparatus can form an oxide film, nitride film or oxynitride film on the surface of the substrate to be processed, by supplying an oxidation gas or nitridation gas from the shower plate 201 together with a plasma gas.
  • FIGS. 5A and 5B show the construction of a microwave plasma processing apparatus 10 according to a third embodiment of the present invention.
  • the microwave plasma processing apparatus 10 includes a processing vessel 11 and a stage 13 provided in the processing vessel 11 , wherein the stage 13 is formed by hot isotropic pressing process of AlN or Al 2 O 3 and holds the substrate 12 to be processed by an electrostatic chuck.
  • the processing vessel 11 there are formed at least two, preferably three or more evacuation ports 11 a in a space 11 A surrounding the stage 13 with a uniform interval, and hence in axial symmetry with regard to the substrate 12 to be processed on the stage 13 .
  • the processing vessel 11 is evacuated by a vacuum pump via such evacuation ports 11 a for reducing the pressure therein.
  • the processing vessel 11 is formed of an austenite stainless steel containing Al and a passivation film of aluminum oxide is formed on the inner wall surface thereof by an oxidation processing. Further,.there is formed a disk-like shower plate 14 of a porous medium, such as Al 2 O 3 sintered at ordinary temperature in the form of porous ceramic material, in a part of the outer wall of the processing vessel corresponding to the substrate 12 to be processed, wherein the shower plate 14 forms a part of the outer wall.
  • a porous medium such as Al 2 O 3 sintered at ordinary temperature in the form of porous ceramic material
  • the shower plate 14 is mounted on the processing vessel 11 via a seal ring 11 s, wherein there is provided a cover plate 15 of dense Al 2 O 3 formed by HIP processing on the shower plate 14 .
  • the Al 2 O 3 cover plate 15 thus formed by the HIP process is formed by using Y 2 O 3 as a sintering additive and has the porosity of 0.03% or less. This means that the Al 2 O 3 cover plate 15 is substantially free from pores or pinholes. Further, the Al 2 O 3 cover plate 15 has a very large thermal conductivity for a ceramic, which reaches the value of 30 W/mK.
  • the shower plate 14 is formed, in the side thereof that makes contact with the cover plate 15 , with a depressed plasma gas passage 14 A for causing to flow the plasma gas, wherein the foregoing plasma gas passage 14 A is connected to a plasma gas inlet 21 A formed in the upper part of the shower plate as will be described.
  • the shower plate 14 is supported by projections 11 b formed on the inner wall of the processing vessel 11 , wherein the part of the projection 11 b supporting the shower plate 14 is formed to have a rounded surface for suppressing anomalous electric discharge.
  • the plasma gas such as Ar or Kr supplied to the plasma gas inlet 21 A is supplied to the space 11 B right underneath the shower plate uniformly through the pores of the porous medium forming the shower plate 14 , after passing through the plasma gas passage 14 A inside the shower plate 14 . Further, there is inserted a seal ring 15 s in the part where the plasma gas inlet 21 A and the cover plate 15 engage with each other for confinement of the plasma gas.
  • a radial line slot antenna 20 is provided on the cover plate 15 , wherein the radial line stop antenna 20 includes a disk-shaped slot plate 16 contacting with the cover plate 15 and formed with numerous slots 16 a and 16 b shown in FIG. 5B , a disk-like antenna body 17 holding the slot plate 16 , and a retardation plate 18 of a low-loss dielectric material such as Al 2 O 3 , Si 3 N 4 , SiON, SiO 2 or the like sandwiched between the slot plate 16 and the antenna body 17 . Further, a plasma gas/microwave inlet part 21 is formed on the upper part of the radial line slot antenna 20 .
  • the foregoing plasma gas/microwave inlet 21 part includes a part 21 C connected to the antenna body 17 with circular or rectangular cross-section and forming therein a microwave inlet passage, a microwave inlet part 21 B of rectangular or circular cross-section, and a plasma gas inlet passage 21 A having a generally cylindrical form.
  • a plasma gas such as Ar or Kr is supplied to the plasma gas inlet passage 21 A.
  • the radial line slot antenna 20 is mounted on the processing vessel 11 via a seal ring 11 u, and a microwave of 2.45 GHz or 8.3 GHz frequency is supplied to the radial line slot antenna from an external microwave source (not shown) connected to the microwave inlet part 21 B of the plasma gas/microwave inlet part 21 .
  • the microwave thus supplied is emitted into the processing vessel 11 through the cover plate 15 and the shower plate 14 after emitted from the slots 16 a and 16 b on the slot plate 16 and excites plasma in the plasma gas supplied from the shower plate 14 in the space 11 B right underneath the shower plate 14 .
  • the cover plate 15 and the shower plate 14 are formed of Al 2 O 3 and serves for an efficient microwave window.
  • the pressure of the plasma gas is maintained to about 6.67 kPa-13.3 kPa (about 50-100 Torr) in the plasma gas passage 14 A for avoiding plasma excitation in the plasma gas passage 14 A.
  • the foregoing space 11 B serving for the plasma excitation space is isolated from the plasma gas passage 14 A acting as the passage for supplying the plasma gas, by the shower plate 14 of the porous medium.
  • the plasma gas is supplied from the plasma gas passage to the space 11 B through the pores in the shower plate 14 . Because there is no sufficient space for plasma excitation in the pores, there is caused no plasma excitation.
  • such a gap includes not only the slots 16 a and 16 b formed in the slot plate 16 but also other gaps formed by various reasons. It should be noted that such a gap is sealed by a seal ring 11 u provided between the radial line slot antenna 20 and the processing vessel 11 .
  • the gap between the slot plate 16 and the cover plate 15 by filling the gap between the slot plate 16 and the cover plate 15 with an inert gas of low molecular weight via the evacuation port 11 G and the groove 11 g, it is possible to facilitate heat transfer from the cover plate 15 to the slot plate 16 .
  • an inert gas it is preferable to use He having a large thermal conductivity and large ionization energy.
  • the pressure it is preferable to set the pressure to about 0.8 atmosphere.
  • a valve 11 V is connected to the evacuation port 11 G for evacuation of the groove 11 g and for filling the groove 11 g with the inert gas.
  • the waveguide 21 C of the gas/plasma inlet 21 is connected to the disk-shaped antenna body 17 , and the plasma gas inlet 21 A extends through the opening 18 A formed in the retardation plate 18 and the opening 16 c formed in the slot plate 16 and is connected to the cover plate opening 15 A.
  • the microwave supplied to the microwave inlet part 21 B is emitted from the slots 16 a and 16 b as it is propagating in the radial direction between the antenna body 17 and the slot plate 16 after passing through the waveguide 21 C.
  • FIG. 5B shows the slots 16 a and 16 b formed on the slot plate 16 .
  • the slots 16 a are arranged in a concentric relationship, and in correspondence to each of the slots 16 a, there is formed a slot 16 b perpendicularly thereto, such that the slots 16 b are formed also in a concentric relationship.
  • the slots 16 a and 16 b are formed with an interval corresponding to the wavelength of the microwave compressed by the retardation plate 18 in the radial direction of the slot plate 16 , and as a result, the microwave is emitted from the slot plate 16 generally in the form of plane wave. Because the slots 16 a and 16 b are formed in a mutually perpendicular relationship, the microwave thus emitted form a circular polarization containing two, mutually perpendicular polarization components.
  • a cooling block 19 formed with a cooling water passage 19 A is formed on the antenna body 17 .
  • the cooling water passage 19 A is formed in a spiral form on the cooling block 19 , and cooling water, preferably the one in which oxidation-reduction potential is controlled by eliminating dissolved oxygen by means of bubbling of an H 2 gas, is passed through the cooling water passage 19 A.
  • a process gas supplying structure 31 having a lattice-shaped process gas passage in the processing vessel 11 between the shower plate 14 and the substrate 12 to be processed on the stage 13 , wherein the process gas supplying structure 31 is supplied with a processing gas from a processing gas inlet port 11 r provided on the outer wall of the processing vessel and releases the same from a number of processing gas nozzle apertures 31 A.
  • a desired uniform substrate processing is achieved in the space 11 C between the processing gas supplying structure 31 and the substrate 12 to be processed.
  • a substrate processing includes plasma oxidation processing, plasma nitridation processing, plasma oxynitridation processing, plasma CVD processing, and the like.
  • a fluorocarbon gas such as C 4 F 8 , C 5 F 8 , C 4 F 6 , and the like or an etching gas containing F or Cl from the processing gas supplying structure 31 and further by applying a high-frequency voltage to the stage 13 A from a high-frequency source 13 A, it becomes possible to conduct a reactive ion etching process on the substrate 12 to be processed.
  • microwave plasma processing apparatus 10 of the present embodiment deposition of reaction byproducts on the inner wall surface of the processing vessel is avoided by heating the outer wall of the processing vessel 11 to the temperature of about 150° C., and continuous and stable operation becomes possible by conducting a dry cleaning process once in a day or so.
  • FIGS. 6A and 6B show an example of a microwave plasma processing apparatus 10 A according to a fourth embodiment of the present invention, wherein those parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • a plasma gas passage 40 A in the form of a depression as the passage of the plasma gas, such that the plasma gas passage 40 A communicates each of the apertures 40 B.
  • each of the apertures 40 B is inserted with a plasma gas inlet component 41 of a porous medium such as a porous ceramic of Al 2 O 3 sintered at ordinary pressure.
  • the plasma gas of Ar or Kr is supplied to the foregoing space 11 B generally uniformly via the pores of the porous medium in the plasma gas inlet component 41 , after passing through the plasma gas passage 40 A.
  • FIGS. 7A and 7B an example of the microwave plasma processing apparatus 10 B according to a fifth embodiment of the present invention is shown in FIGS. 7A and 7B , wherein those parts in the drawings corresponding to the parts described previously are designated by the same reference numerals and the description thereof will be omitted.
  • the lower shower plate 31 is removed in the microwave plasma processing apparatus 10 b of the present embodiment. Further, the entire surface of the projections 11 b supporting the shower plate 14 is provided with a rounded surface.
  • the plasma processing apparatus 10 B of such a construction cannot achieve film formation or etching by supplying a processing gas separately to the plasma gas because of elimination of the lower shower plate 31 , it is possible to form an oxide film, a nitride film or an oxynitride film on the surface of the substrate to be processed by supplying an oxidizing gas or nitriding gas from the shower plate 14 together with the plasma gas.
  • FIGS. 8A and 8B show an example of a microwave plasma processing apparatus 10 C according to a sixth embodiment of the present invention, wherein those parts in the drawings corresponding to the parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • a plasma gas of Ar or Kr is supplied to the processing vessel 11 with the microwave plasma processing apparatus 10 C of the present embodiment by way of the shower plate 40 of dense Al 2 O 3 formed by a HIP process, the shower plate 40 being formed with at least one aperture 40 B, and the plasma gas inlet component 41 of a porous medium inserted into the aperture 40 B such as a porous ceramic material of sintered Al 2 O 3 , similarly to the case of the microwave plasma processing apparatus 10 C explained previously.
  • the lower shower plate 31 is eliminated similarly to the case of foregoing apparatus 10 B, and the entire surface of the projection 11 b holding the shower plate 14 is formed with a rounded surface.
  • the plasma processing apparatus 10 B of such a construction cannot achieve film formation or etching by supplying a processing gas separately to the plasma gas because of elimination of the lower shower plate 31 , it is possible to form an oxide film, a nitride film or an oxynitride film on the surface of the substrate to be processed by supplying an oxidizing gas or nitriding gas from the shower plate 14 together with the plasma gas.
  • porous ceramic material of Al 2 O 3 sintered at ordinary pressure As an example of the porous medium, it should be noted that the present invention is not limited to this material.
  • the present invention it becomes possible to excite high-density and uniform plasma in a desired plasma excitation space while suppressing plasma excitation in a plasma gas inlet passage, by separating the space for plasma excitation and the plasma gas inlet passage for exciting plasma by a porous medium such as a porous ceramic material in a plasma processing apparatus for processing a substrate.

Abstract

A plasma processing apparatus comprises a processing vessel defined by an outer wall and provided with a stage that holds a substrate to be processed thereon, an evacuation system coupled to the processing vessel, a microwave window provided on the processing vessel as a part of the outer wall so as to face the substrate to be processed on the stage, a plasma gas supplying part supplying a plasma gas to the processing vessel, and a microwave antenna provided on the processing vessel in correspondence to the microwave. The plasma gas supplying part includes a porous medium and the plasma gas supplying part supplies the plasma gas through the porous medium.

Description

    TECHNICAL FIELD
  • The present invention generally relates to plasma processing apparatuses and more particularly to a microwave plasma processing apparatus.
  • Plasma processing and plasma processing apparatus are indispensable technology for the fabrication of ultrafine semiconductor devices of recent years called deep submicron devices or deep sub-quarter micron devices having a gate length near 0.1 m or less or for the fabrication of high-resolution flat panel display devices including a liquid crystal display device.
  • Various methods are used conventionally for exciting plasma in plasma processing apparatuses for use for production of semiconductor devices and liquid crystal display devices. Among others, a parallel-plate type processing apparatus using high-frequency excited plasma or induction-coupled type plasma processing apparatus are used generally. However, these conventional plasma processing apparatuses have a drawback in that plasma formation is not uniform and the region of high electron density is limited. Thus, with such a conventional plasma processing apparatus, it is difficult to achieve a uniform processing over the entire surface of the substrate to be processed with large processing rate and hence with large throughput. It should be noted that this problem becomes particularly serious when processing a large diameter substrate. Further, these conventional plasma processing apparatuses suffer from some inherent problems, associated with its high electron temperature, in that damages are tend to be caused in the semiconductor devices formed on the substrate to be processed. Further, there is caused severe metal contamination caused by sputtering of the processing vessel wall. Thus, with such conventional plasma processing apparatuses, it is becoming more difficult to satisfy the stringent demands for further miniaturization of the semiconductor devices or liquid crystal display devices and further improvement of productivity.
  • Meanwhile, there has been proposed conventionally a microwave plasma processing apparatus that uses high-density plasma excited by a microwave electric field without using a d.c. magnetic field. For example, there is proposed a plasma processing apparatus having a construction in which a microwave is emitted into a processing vessel from a planar antenna (radial-line slot antenna) having a number of slots arranged to produce a uniform microwave. According to this plasma processing apparatus, plasma is excited as a result of the microwave electric field causing ionization in the gas inside the evacuated vessel. Reference should be made to Japanese Laid-Open Patent Application 9-63793. In the microwave plasma excited according to such a process, it becomes possible to realize a high plasma density over a wide area underneath the antenna, and it becomes possible to conduct uniform plasma processing in short time. Further, because the electron density is low in the microwave plasma thus excited due to the use of microwave for the excitation of the plasma, it becomes possible to avoid damaging or metal contamination of the substrate to be processed. Further, because it is possible to excite uniform plasma over a large area substrate, the foregoing technology can easily attend to the fabrication of semiconductor devices that uses a large-diameter semiconductor substrate or production of large liquid crystal display devices.
  • BACKGROUND ART
  • FIGS. 1A and 1B show the construction of a conventional microwave plasma processing apparatus 100 that uses such a radial line slot antenna, wherein FIG. 1A is a cross-sectional view of the microwave plasma processing apparatus 100, while FIG. 1B shows the construction of the radial line slot antenna.
  • Referring to FIG. 1A, the microwave plasma processing apparatus 100 has a processing chamber 101 evacuated from plural evacuation ports 116, and a stage 115 that holds a substrate 114 to be processed is formed inside the processing chamber 101. In order to realize uniform evacuation of the processing chamber 101, there is formed a ring-shaped space 101A around the stage 115, wherein the processing chamber 101 can be evacuated uniformly via the space 101A and the evacuation ports 116 by forming the plural evacuation ports 116 in communication with the space 101A with equal interval, in other words, in axial symmetry with regard to the substrate to be processed.
  • On the processing chamber 101, there is formed a shower plate 103 of low-loss dielectric material with a plate-like form, wherein the shower plate 103 has a number of apertures 107 and is provided via a seal ring 109 as a part of the outer wall of the processing vessel 101 at a location corresponding to the substrate 114 to be processed on the stage 115. Further, a cover plate 102 also of a low loss dielectric material is provided outside the shower plate 103 via another seal ring 108.
  • On the shower plate 103, there is formed a passage 104 of a plasma gas on the top surface thereof, and each of the plural apertures 107 is formed in communication with the plasma gas passage 104. Further, there is formed a supply passage 108 of the plasma gas inside the shower plate 103 in communication with a plasma gas supplying port 105 provided on the outer wall of the processing vessel 101. Thereby, a plasma gas such as Ar or Kr is supplied to the plasma gas supplying port 105, wherein the plasma gas thus supplied is further supplied to the apertures 107 from the supply passage 108 via the passage 104. The plasma gas is then released into a space 101B right underneath the shower plate 103 inside the processing vessel 101 from the apertures 107 with substantially uniform concentration.
  • On the processing vessel 101, there is provided a radial line slot antenna 110 having a radiation surface shown in FIG. 1B at the outer side of the cover plate 102 with a separation of 4-5 mm from the cover plate 102. The radial line slot antenna 110 is connected to an external microwave source (not shown) via a coaxial waveguide 110A, and the microwave from the microwave source causes excitation of the plasma gas released into the foregoing spate 101B. Further, the gap between the cover plate 102 and the radiation surface of the radial line slot antenna 110 is filled with the air.
  • The radial line slot antenna 110 is formed of a flat, disk-like antenna body 110B connected to an external waveguide forming the coaxial waveguide 110A, and a radiation plate 110C is formed at the mouth of the antenna body 110B, wherein the radiation plate 110C is formed with a number of slots 110 a and a number of slots 110 b perpendicular to the slots 110 a. Further, there is interposed a retardation plate 110D of a dielectric plate having a uniform thickness between the antenna body 110B and the radiation plate 110C.
  • In the radial line slot antenna 110 of such a construction, the microwave-supplied from the coaxial waveguide 110 spreads as it travels between the disk-like antenna body 110B and the radiation plate 110C in the radial direction, wherein the retardation plate 110D functions to compress the wavelength thereof. Thus, by forming the slots 110 a and 110 b concentrically in correspondence to the wavelength of the microwave traveling in the radial direction in a mutually perpendicular relationship, it becomes possible to emit a plane wave having a circular polarization in the direction substantially perpendicular to the radiation plate 110C.
  • By using such a radial line slot antenna 110, uniform high-density plasma is formed in the space 101B right underneath the shower plate 103. The high-density plasma thus formed has the feature of low electron temperature, and thus, there is caused no damaging in the substrate 114 to be processed. Further, there is caused no metal contamination originating from the sputtering of the chamber wall of the processing vessel 101.
  • In the plasma processing apparatus 100 of FIG. 1, there is further formed with a conductive structure 111 inside the processing vessel 101 between the shower plate 103 and the substrate 114 to be processed, wherein the conductive structure 111 is formed with a large number of nozzles 113 supplied with a processing gas from an external processing gas source (not shown) via a processing gas passage 112 formed in the processing vessel 101, wherein each of the nozzles 113 releases the supplied processing gas to a space 101C between the conductive structure 111 and the substrate 114 to be processed. Thus, the conductive structure 111 functions as a processing gas supplying part. The conductive structure 111 thus constituting the processing gas supplying part is formed with apertures between adjacent nozzles 113 and 113 with a size allowing efficient passage of the plasma formed in the space 101B into the space 101C as a result of diffusion.
  • Thus, in the case the processing gas is released into the space 101C from the processing gas supplying part 111 via the nozzles 113, the released processing gas undergoes excitation in the processing space 101B by the high-density plasma and there is conducted a uniform plasma processing on the substrate 114 to be processed, efficiently and at high speed, without damaging the substrate and the device structure on the substrate and without contaminating the substrate. On the other hand, the microwave emitted from the radial line slot antenna 110 is blocked by the process gas supplying part 111 formed of a conductor, and thus, there is no risk that the substrate 114 to be processed is damaged.
  • In the foregoing plasma processing apparatus 100 explained with reference to FIGS. 1A and 1B, it is important to excite the plasma uniformly with high density in the space 101B right underneath the shower plate 103. For this to be achieved, it is important to ensure that there occurs no plasma excitation in the spaces other than the space 101B, in which the plasma excitation occurs easily, such as the plasma gas passage 104 where the microwave electric field is strong and plasma is tend to be excited or in the foregoing apertures 107.
  • However, in the case the plasma is actually excited in the present apparatus 10, there is a possibility that the plasma is also excited in the plasma gas passage 104 and further in the apertures 107 depending on the condition of the substrate processing. Once the plasma is excited in the plasma passage 104 or the apertures 107, the microwave power is consumed and the plasma density in the space 101B is decreased. Further, there appears a difference in the plasma density between the region right underneath the apertures 107 and the region far from the apertures 107. Thereby, there arises the problem of non-uniformity in the plasma density over the entire space 101B, which serves for the plasma excitation space.
  • DISCLOSURE OF THE INVENTION
  • Accordingly, it is a general object of the present invention to provide a novel and useful plasma processing apparatus wherein the foregoing problems are eliminated.
  • Another and more specific object of the present invention is to excite high-density plasma in a desired space with excellent uniformity, without causing plasma excitation in a space in the path for introducing a plasma gas.
  • Another object of the present invention is to provide a plasma processing apparatus, comprising:
      • a processing vessel defined by an outer wall and provided with a stage for holding a substrate to be processed;
      • an evacuation system coupled to said processing vessel;
      • a microwave window provided on said processing vessel as a part of said outer wall so as to face said substrate to be processed on said stage;
      • a plasma gas supplying part supplying a plasma gas into said processing vessel; and
      • a microwave antenna provided on said processing vessel in correspondence to said microwave,
      • said plasma gas supplying part including a porous medium, said plasma gas supplying part supplying said plasma gas to said processing vessel via said porous medium.
  • According to the present invention, following measures have been taken in the plasma processing apparatus processing a substrate in the purpose of preventing excitation of plasma except for the plasma excitation space used for plasma excitation. In the plasma gas passage, more specifically, the plasma excitation is prevented by using a plasma gas pressure condition set such that there is caused no plasma excitation. For the shower plate from which the plasma gas is radiated, on the other hand, a mechanism that supplies the plasma gas via pores of a porous medium is employed. When the plasma gas is thus supplied via the narrow space of the pores, the electrons accelerated by the microwave collide with the inner wall of the space defining the pore, and the acceleration necessary for causing plasma excitation is not attained for the electrons. With this, the plasma excitation is prevented. As a result, it becomes possible to cause high-density and uniform plasma excitation in a desired plasma excitation space.
  • Other objects and further features of the present invention will become apparent from the following detailed description of the present invention made hereinafter with reference to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are diagrams showing the construction of a conventional microwave plasma processing apparatus that uses a radial line slot antenna;
  • FIGS. 2A and 2B are diagrams showing the construction of a microwave plasma processing apparatus according to a first embodiment of the present invention;
  • FIG. 3 is a diagram showing the condition for causing excitation of microwave plasma with regard to the microwave electric field and the pressure of Ar used for the plasma gas;
  • FIGS. 4A and 4B are diagrams showing the construction of a processing gas supplying structure according to a second embodiment of the present invention;
  • FIGS. 5A and 5B are diagrams showing the construction of a plasma processing apparatus according to a third embodiment of the present invention;
  • FIGS. 6A and 6B are diagrams showing the construction of a plasma processing apparatus according to a fourth embodiment of the present invention;
  • FIGS. 7A and 7B are diagrams showing the construction of a plasma processing apparatus according to a fifth embodiment of the present invention;
  • FIGS. 8A and 8B are diagrams showing the construction of a plasma processing apparatus according to a sixth embodiment of the present invention.
  • BEST MODE FOR IMPLEMENTING THE INVENTION FIRST EMBODIMENT
  • FIGS. 2A and 2B show the construction of a microwave plasma processing apparatus 200 according to a first embodiment of the present invention, wherein those parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 2A, the shower plate 103 of the foregoing microwave plasma processing apparatus 103 is replaced with a disk-like shower plate of a porous medium such as a porous ceramic material formed for example by Al2O3 sintered at an ordinary pressure. The shower plate 202 is formed with a passage 202 of the plasma gas on the top surface thereof, wherein the plasma gas of Ar or Kr supplied to the plasma gas supplying port 105 is passed through the plasma gas passage 202 and supplied to the space 101B right underneath the shower plate uniformly through the pores in the porous medium that constitutes the shower plate 202.
  • As noted before, there is induced a strong microwave electric field in the plasma gas passage 202, and thus, there is a tendency that plasma is excited in such a plasma gas passage 202. Thus, it is necessary to set the pressure of the plasma gas passage 202 to a pressure in which there occurs no excitation of the microwave plasma.
  • FIG. 3 shows the region in which excitation of microwave plasma occurs for the case in which the strength of the microwave electric field and the pressure of Ar used for the plasma excitation gas are changed. In the illustrated example, the frequency of the microwave is set to 2.45 G. In the drawing, it should be noted that the region designated as region A is the region in which plasma excitation takes place. Thus, excitation of the microwave plasma takes place at the microwave electric field strength and the Ar pressure of the region A.
  • Referring to FIG. 3, there occurs ignition of microwave plasma at the microwave strength of about 0.3 W/cm2 in the case the pressure is set to about 1 Torr. In this case, the microwave plasma is excited with a near-minimum microwave strength. When the pressure is increased or decreased from 1 Torr, on the other hand, the microwave strength necessary for causing plasma excitation increases, and there appears a condition in which plasma is less easily excited. In the present apparatus, plasma excitation in the plasma gas passage 202 is prevented by setting the pressure of the plasma gas passage to about 6.67 kPa-13.3 kPa (about 50 Torr-100 Torr).
  • Further, it should be noted that the space 101B used for the plasma excitation space and the plasma gas passage 202, which serves for the plasma gas feeding path, are isolated form each other by the shower plate 201 formed of the porous medium. Thus, the plasma gas is supplied from the plasma gas passage 202 to the foregoing space 101B through the pores of the porous medium forming the shower plate 201. As there exist no sufficiently large space in the pores for causing plasma excitation, there occurs no excitation of plasma in such pores. More specifically, even when there is caused acceleration of electrons in the pores by the microwave, the electrons collide with the outer wall of the pores before it is accelerated to the degree for causing plasma excitation.
  • Thus, in the present apparatus 200, there is caused no plasma excitation inside the shower plate 201,.which serves for the plasma gas inlet continuous to the space 101B, and it becomes possible to excite high-density plasma uniformly in the space 101B.
  • SECOND EMBODIMENT
  • FIGS. 4A and 4B show the construction of a microwave plasma processing apparatus 200A according to a second embodiment of the present invention, wherein those parts explained previously are designated with the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 4A, it can be seen that the lower shower plate 111 is removed in the microwave plasma processing apparatus 200A of the present embodiment. Because the lower shower plate 111 is omitted, the apparatus cannot carry out film formation process or etching process by supplying a processing gas separately to the plasma gas. On the other hand, the apparatus can form an oxide film, nitride film or oxynitride film on the surface of the substrate to be processed, by supplying an oxidation gas or nitridation gas from the shower plate 201 together with a plasma gas.
  • In the present embodiment, too, there occurs no plasma excitation inside the shower plate 201, and it becomes possible to excite high-density and uniform plasma in the space right underneath the shower plate.
  • THIRD EMBODIMENT
  • FIGS. 5A and 5B show the construction of a microwave plasma processing apparatus 10 according to a third embodiment of the present invention.
  • Referring to FIG. 5A, the microwave plasma processing apparatus 10 includes a processing vessel 11 and a stage 13 provided in the processing vessel 11, wherein the stage 13 is formed by hot isotropic pressing process of AlN or Al2O3 and holds the substrate 12 to be processed by an electrostatic chuck. In the processing vessel 11, there are formed at least two, preferably three or more evacuation ports 11 a in a space 11A surrounding the stage 13 with a uniform interval, and hence in axial symmetry with regard to the substrate 12 to be processed on the stage 13. Thereby, the processing vessel 11 is evacuated by a vacuum pump via such evacuation ports 11 a for reducing the pressure therein.
  • Preferably, the processing vessel 11 is formed of an austenite stainless steel containing Al and a passivation film of aluminum oxide is formed on the inner wall surface thereof by an oxidation processing. Further,.there is formed a disk-like shower plate 14 of a porous medium, such as Al2O3 sintered at ordinary temperature in the form of porous ceramic material, in a part of the outer wall of the processing vessel corresponding to the substrate 12 to be processed, wherein the shower plate 14 forms a part of the outer wall.
  • The shower plate 14 is mounted on the processing vessel 11 via a seal ring 11 s, wherein there is provided a cover plate 15 of dense Al2O3 formed by HIP processing on the shower plate 14. The Al2O3 cover plate 15 thus formed by the HIP process is formed by using Y2O3 as a sintering additive and has the porosity of 0.03% or less. This means that the Al2O3 cover plate 15 is substantially free from pores or pinholes. Further, the Al2O3 cover plate 15 has a very large thermal conductivity for a ceramic, which reaches the value of 30 W/mK. Further, as noted before, sealing of the processing vessel 11 to the environment is achieved by urging the seal ring 11 s to the cover plate 15, and thus, there is applied no load to the porous and fragile shower plate 14 in such a structure. The shower plate 14 is formed, in the side thereof that makes contact with the cover plate 15, with a depressed plasma gas passage 14A for causing to flow the plasma gas, wherein the foregoing plasma gas passage 14A is connected to a plasma gas inlet 21A formed in the upper part of the shower plate as will be described.
  • The shower plate 14 is supported by projections 11 b formed on the inner wall of the processing vessel 11, wherein the part of the projection 11 b supporting the shower plate 14 is formed to have a rounded surface for suppressing anomalous electric discharge.
  • Thus, the plasma gas such as Ar or Kr supplied to the plasma gas inlet 21A is supplied to the space 11B right underneath the shower plate uniformly through the pores of the porous medium forming the shower plate 14, after passing through the plasma gas passage 14A inside the shower plate 14. Further, there is inserted a seal ring 15 s in the part where the plasma gas inlet 21A and the cover plate 15 engage with each other for confinement of the plasma gas.
  • Further, a radial line slot antenna 20 is provided on the cover plate 15, wherein the radial line stop antenna 20 includes a disk-shaped slot plate 16 contacting with the cover plate 15 and formed with numerous slots 16 a and 16 b shown in FIG. 5B, a disk-like antenna body 17 holding the slot plate 16, and a retardation plate 18 of a low-loss dielectric material such as Al2O3, Si3N4, SiON, SiO2 or the like sandwiched between the slot plate 16 and the antenna body 17. Further, a plasma gas/microwave inlet part 21 is formed on the upper part of the radial line slot antenna 20. It should be noted that the foregoing plasma gas/microwave inlet 21 part includes a part 21C connected to the antenna body 17 with circular or rectangular cross-section and forming therein a microwave inlet passage, a microwave inlet part 21B of rectangular or circular cross-section, and a plasma gas inlet passage 21A having a generally cylindrical form. Thereby, a plasma gas such as Ar or Kr is supplied to the plasma gas inlet passage 21A. The radial line slot antenna 20 is mounted on the processing vessel 11 via a seal ring 11 u, and a microwave of 2.45 GHz or 8.3 GHz frequency is supplied to the radial line slot antenna from an external microwave source (not shown) connected to the microwave inlet part 21B of the plasma gas/microwave inlet part 21. The microwave thus supplied is emitted into the processing vessel 11 through the cover plate 15 and the shower plate 14 after emitted from the slots 16 a and 16 b on the slot plate 16 and excites plasma in the plasma gas supplied from the shower plate 14 in the space 11B right underneath the shower plate 14. Thereby, it should be noted that the cover plate 15 and the shower plate 14 are formed of Al2O3 and serves for an efficient microwave window. Thereby, the pressure of the plasma gas is maintained to about 6.67 kPa-13.3 kPa (about 50-100 Torr) in the plasma gas passage 14A for avoiding plasma excitation in the plasma gas passage 14A.
  • In this case, it should be noted that the foregoing space 11B serving for the plasma excitation space is isolated from the plasma gas passage 14A acting as the passage for supplying the plasma gas, by the shower plate 14 of the porous medium. As noted before, the plasma gas is supplied from the plasma gas passage to the space 11B through the pores in the shower plate 14. Because there is no sufficient space for plasma excitation in the pores, there is caused no plasma excitation.
  • Because there is caused no plasma excitation in the shower plate 14 serving for the plasma gas inlet passage to the space 11B also in the apparatus 10 of the present embodiment, it becomes possible to excite high-density and uniform plasma in the space 11B. In order to improve intimacy of the radial line slot antenna 20 to the cover plate 15, there is formed a ring-shaped groove 11 g on a part of the top surface of the processing vessel 11 that engages with the slot plate 16 in the microwave plasma processing apparatus 10 of the present embodiment. Thus, by evacuating such a groove 11 g via an evacuation port 11G communicating therewith, the pressure in the gap formed between the slot plate 16 and the cover plate 15 is reduced. With this, the radial line slot antenna 20 is urged firmly against the cover plate 15 by the atmospheric pressure. It should be noted that such a gap includes not only the slots 16 a and 16 b formed in the slot plate 16 but also other gaps formed by various reasons. It should be noted that such a gap is sealed by a seal ring 11 u provided between the radial line slot antenna 20 and the processing vessel 11.
  • Further, by filling the gap between the slot plate 16 and the cover plate 15 with an inert gas of low molecular weight via the evacuation port 11G and the groove 11 g, it is possible to facilitate heat transfer from the cover plate 15 to the slot plate 16. For such an inert gas, it is preferable to use He having a large thermal conductivity and large ionization energy. In the case of filling the gap with He, it is preferable to set the pressure to about 0.8 atmosphere. In the construction of FIG. 3, a valve 11V is connected to the evacuation port 11G for evacuation of the groove 11 g and for filling the groove 11 g with the inert gas.
  • The waveguide 21C of the gas/plasma inlet 21 is connected to the disk-shaped antenna body 17, and the plasma gas inlet 21A extends through the opening 18A formed in the retardation plate 18 and the opening 16 c formed in the slot plate 16 and is connected to the cover plate opening 15A. Thus, the microwave supplied to the microwave inlet part 21B is emitted from the slots 16 a and 16 b as it is propagating in the radial direction between the antenna body 17 and the slot plate 16 after passing through the waveguide 21C.
  • FIG. 5B shows the slots 16 a and 16 b formed on the slot plate 16.
  • Referring to FIG. 5B, the slots 16 a are arranged in a concentric relationship, and in correspondence to each of the slots 16 a, there is formed a slot 16 b perpendicularly thereto, such that the slots 16 b are formed also in a concentric relationship. The slots 16 a and 16 b are formed with an interval corresponding to the wavelength of the microwave compressed by the retardation plate 18 in the radial direction of the slot plate 16, and as a result, the microwave is emitted from the slot plate 16 generally in the form of plane wave. Because the slots 16 a and 16 b are formed in a mutually perpendicular relationship, the microwave thus emitted form a circular polarization containing two, mutually perpendicular polarization components.
  • At the center of the slot plate 16, there is formed an opening 16 c for insertion of the plasma gas passage 21A.
  • Further, in the plasma processing apparatus 10 of FIG. 5A, a cooling block 19 formed with a cooling water passage 19A is formed on the antenna body 17. Thus, by cooling the cooling block 19 by the cooling water inside the cooling water passage 19A, the heat accumulated in the shower plate 14 is absorbed via the radial line slot antenna 20. The cooling water passage 19A is formed in a spiral form on the cooling block 19, and cooling water, preferably the one in which oxidation-reduction potential is controlled by eliminating dissolved oxygen by means of bubbling of an H2 gas, is passed through the cooling water passage 19A.
  • Further, in the microwave plasma processing apparatus 10 of FIG. 5A, there is provided a process gas supplying structure 31 having a lattice-shaped process gas passage in the processing vessel 11 between the shower plate 14 and the substrate 12 to be processed on the stage 13, wherein the process gas supplying structure 31 is supplied with a processing gas from a processing gas inlet port 11 r provided on the outer wall of the processing vessel and releases the same from a number of processing gas nozzle apertures 31A. Thereby, a desired uniform substrate processing is achieved in the space 11C between the processing gas supplying structure 31 and the substrate 12 to be processed. It should be noted that such a substrate processing includes plasma oxidation processing, plasma nitridation processing, plasma oxynitridation processing, plasma CVD processing, and the like. Further, by supplying a fluorocarbon gas such as C4F8, C5F8, C4F6, and the like or an etching gas containing F or Cl from the processing gas supplying structure 31 and further by applying a high-frequency voltage to the stage 13A from a high-frequency source 13A, it becomes possible to conduct a reactive ion etching process on the substrate 12 to be processed.
  • According to the microwave plasma processing apparatus 10 of the present embodiment, deposition of reaction byproducts on the inner wall surface of the processing vessel is avoided by heating the outer wall of the processing vessel 11 to the temperature of about 150° C., and continuous and stable operation becomes possible by conducting a dry cleaning process once in a day or so.
  • FOURTH EMBODIMENT
  • FIGS. 6A and 6B show an example of a microwave plasma processing apparatus 10A according to a fourth embodiment of the present invention, wherein those parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 6A, there is provided a shower plate 40 of dense Al2O3 formed by an HIP process and having at least one or more apertures 40B in place of the shower plate 14 of porous medium used for the microwave plasma processing apparatus 10. Further, at the side of the shower plate 40 contacting the cover plate 15, there is formed a plasma gas passage 40A in the form of a depression as the passage of the plasma gas, such that the plasma gas passage 40A communicates each of the apertures 40B. Further, each of the apertures 40B is inserted with a plasma gas inlet component 41 of a porous medium such as a porous ceramic of Al2O3 sintered at ordinary pressure. Thereby, the plasma gas of Ar or Kr is supplied to the foregoing space 11B generally uniformly via the pores of the porous medium in the plasma gas inlet component 41, after passing through the plasma gas passage 40A.
  • In this case, too, there is caused no plasma excitation in the plasma gas passage 40A or plasma gas inlet component 41, similarly to the case of the microwave plasma processing apparatus 10. Thus, it becomes possible to excite high-density and uniform plasma in the space 11B.
  • FIFTH EMBODIMENT
  • Next, an example of the microwave plasma processing apparatus 10B according to a fifth embodiment of the present invention is shown in FIGS. 7A and 7B, wherein those parts in the drawings corresponding to the parts described previously are designated by the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 7A, it will be noted that the lower shower plate 31 is removed in the microwave plasma processing apparatus 10 b of the present embodiment. Further, the entire surface of the projections 11 b supporting the shower plate 14 is provided with a rounded surface.
  • Although the plasma processing apparatus 10B of such a construction cannot achieve film formation or etching by supplying a processing gas separately to the plasma gas because of elimination of the lower shower plate 31, it is possible to form an oxide film, a nitride film or an oxynitride film on the surface of the substrate to be processed by supplying an oxidizing gas or nitriding gas from the shower plate 14 together with the plasma gas.
  • In the present embodiment, too, there is caused no plasma excitation in the plasma gas passage 14A and inside the shower plate 14, and thus, it becomes possible to excite high-density and uniform plasma in the space right underneath the shower plate.
  • SIXTH EMBODIMENT
  • FIGS. 8A and 8B show an example of a microwave plasma processing apparatus 10C according to a sixth embodiment of the present invention, wherein those parts in the drawings corresponding to the parts explained previously are designated by the same reference numerals and the description thereof will be omitted.
  • Referring to FIG. 8A, a plasma gas of Ar or Kr is supplied to the processing vessel 11 with the microwave plasma processing apparatus 10C of the present embodiment by way of the shower plate 40 of dense Al2O3 formed by a HIP process, the shower plate 40 being formed with at least one aperture 40B, and the plasma gas inlet component 41 of a porous medium inserted into the aperture 40B such as a porous ceramic material of sintered Al2O3, similarly to the case of the microwave plasma processing apparatus 10C explained previously.
  • Further, the lower shower plate 31 is eliminated similarly to the case of foregoing apparatus 10B, and the entire surface of the projection 11b holding the shower plate 14 is formed with a rounded surface.
  • Although the plasma processing apparatus 10B of such a construction cannot achieve film formation or etching by supplying a processing gas separately to the plasma gas because of elimination of the lower shower plate 31, it is possible to form an oxide film, a nitride film or an oxynitride film on the surface of the substrate to be processed by supplying an oxidizing gas or nitriding gas from the shower plate 14 together with the plasma gas.
  • In the present embodiment, too, there is caused no plasma excitation in the plasma gas passage 40A or in the plasma gas inlet component 41, and thus, it becomes possible to excite high-density and uniform plasma in the space 11B.
  • Further, while the embodiments heretofore have been explained for the porous ceramic material of Al2O3 sintered at ordinary pressure as an example of the porous medium, it should be noted that the present invention is not limited to this material.
  • Industrial Applicability
  • According to the present invention, it becomes possible to excite high-density and uniform plasma in a desired plasma excitation space while suppressing plasma excitation in a plasma gas inlet passage, by separating the space for plasma excitation and the plasma gas inlet passage for exciting plasma by a porous medium such as a porous ceramic material in a plasma processing apparatus for processing a substrate.

Claims (10)

1. A plasma processing apparatus characterized by:
a processing vessel defined by an outer wall and provided with a stage that holds a substrate to be processed thereon;
an evacuation system coupled to said processing vessel;
a microwave window provided on said processing vessel as a part of said outer wall so as to face said substrate to be processed on said stage;
a plasma gas supplying part, said plasma gas supplying a plasma gas to said processing vessel; and
a microwave antenna provided on said processing vessel in correspondence to said microwave, said plasma gas supplying part including a porous medium, said plasma gas supplying part supplying said plasma gas through said porous medium.
2. The plasma processing apparatus as claimed in claim 1, characterized in that said microwave window comprises a cover place constituting a part of said processing vessel and a shower plate provided in intimate contact with said cover plate, said shower plate constituting said plasma gas supplying part.
3. The plasma processing apparatus as claimed in claim 2, characterized in that said shower plate is formed of a porous medium.
4. The plasma processing apparatus as claimed in claim 2, characterized in that said shower plate comprises a plasma gas passage and at least one plasma gas inlet communicating with said plasma gas passage, said plasma gas inlet comprises a porous medium.
5. The plasma processing apparatus as claimed in claim 1, characterized in that said porous medium comprises a sintered ceramic.
6. The plasma processing apparatus as claimed in claim 2, characterized in that said cover plate comprises a dense ceramic.
7. The plasma processing apparatus as claimed in claim 1, further comprising a processing gas supplying part between said substrate to be processed and said plasma gas supplying part.
8. The plasma processing apparatus as claimed in claim 7, wherein said process gas supplying part comprises a plasma passage that passes plasma, a processing gas passage connectable to a processing gas source, and plural nozzle apertures communicating with said processing gas passage.
9. The plasma processing apparatus as claimed in claim 1, further comprising a high frequency source connected to said stage.
10. The plasma processing apparatus as claimed in claim 1, wherein said microwave antenna comprises a radial line-slot antenna.
US10/493,946 2002-07-05 2003-07-03 Plasma processing equipment Abandoned US20050092437A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/379,805 US20090229755A1 (en) 2002-07-05 2009-03-02 Plasma processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002197227A JP4540926B2 (en) 2002-07-05 2002-07-05 Plasma processing equipment
JP2002-197227 2002-07-05
PCT/JP2003/008491 WO2004006319A1 (en) 2002-07-05 2003-07-03 Plasma processing equipment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/379,805 Division US20090229755A1 (en) 2002-07-05 2009-03-02 Plasma processing apparatus

Publications (1)

Publication Number Publication Date
US20050092437A1 true US20050092437A1 (en) 2005-05-05

Family

ID=30112393

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/493,946 Abandoned US20050092437A1 (en) 2002-07-05 2003-07-03 Plasma processing equipment
US12/379,805 Abandoned US20090229755A1 (en) 2002-07-05 2009-03-02 Plasma processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/379,805 Abandoned US20090229755A1 (en) 2002-07-05 2009-03-02 Plasma processing apparatus

Country Status (9)

Country Link
US (2) US20050092437A1 (en)
EP (1) EP1521297B1 (en)
JP (1) JP4540926B2 (en)
KR (1) KR100614065B1 (en)
CN (1) CN100405557C (en)
AU (1) AU2003281401A1 (en)
DE (1) DE60335951D1 (en)
TW (1) TWI239052B (en)
WO (1) WO2004006319A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070181531A1 (en) * 2006-02-06 2007-08-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US20100288439A1 (en) * 2007-09-06 2010-11-18 Tokyo Electron Limited Top plate and plasma process apparatus employing the same
US20140099734A1 (en) * 2012-10-04 2014-04-10 Tokyo Electron Limited Deposition method and deposition apparatus
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
JP2016184513A (en) * 2015-03-26 2016-10-20 京セラ株式会社 Window member for high frequency, member for semiconductor manufacturing apparatus, and member for flat panel display (fpd) manufacturing apparatus

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004039969A1 (en) * 2004-08-18 2006-02-23 Leybold Optics Gmbh Plasma source for depositing layers on materials, cleaning and etching has power supply connected to electromagnetic radiation generator under gas supply and plasma volume
JP4350695B2 (en) * 2004-12-01 2009-10-21 株式会社フューチャービジョン Processing equipment
JP2006244891A (en) * 2005-03-04 2006-09-14 Tokyo Electron Ltd Microwave plasma processing device
JP5082229B2 (en) 2005-11-29 2012-11-28 東京エレクトロン株式会社 Plasma processing equipment
JP5082459B2 (en) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 Plasma processing apparatus and top plate manufacturing method
KR100954128B1 (en) * 2006-01-20 2010-04-20 도쿄엘렉트론가부시키가이샤 Plasma processing equipment, top plate used in the plasma processing equipment, and manufacturing method of the top plate
KR100980529B1 (en) * 2006-03-27 2010-09-06 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
JP5004271B2 (en) * 2006-09-29 2012-08-22 東京エレクトロン株式会社 Microwave plasma processing apparatus, dielectric window manufacturing method, and microwave plasma processing method
KR101111207B1 (en) 2009-05-20 2012-02-20 주식회사 에이피시스 Apparatus for generating plasma
CN104357810A (en) * 2014-11-04 2015-02-18 大连理工常州研究院有限公司 Coaxial microwave plasma film-deposition equipment
CN108603291B (en) * 2016-02-12 2023-11-14 应用材料公司 Vacuum processing system and method thereof
US11776793B2 (en) * 2020-11-13 2023-10-03 Applied Materials, Inc. Plasma source with ceramic electrode plate
CN112663029B (en) * 2020-11-30 2021-10-19 上海征世科技股份有限公司 Microwave plasma chemical vapor deposition device and vacuum reaction chamber thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891118A (en) * 1987-11-25 1990-01-02 Fuji Electric Co., Ltd. Plasma processing apparatus
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5837093A (en) * 1992-01-17 1998-11-17 Kabushiki Kaisha Toshiba Apparatus for performing plain etching treatment
US6143128A (en) * 1997-01-31 2000-11-07 Tokyo Electron Limited Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6598610B2 (en) * 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5129359A (en) * 1988-11-15 1992-07-14 Canon Kabushiki Kaisha Microwave plasma CVD apparatus for the formation of functional deposited film with discharge space provided with gas feed device capable of applying bias voltage between the gas feed device and substrate
JPH06208952A (en) * 1993-01-11 1994-07-26 Fuji Electric Co Ltd Plasma cvd processing system
US5985089A (en) * 1995-05-25 1999-11-16 Tegal Corporation Plasma etch system
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH09129607A (en) * 1995-11-01 1997-05-16 Canon Inc Device and method of microwave plasma etching
JPH11186238A (en) * 1997-12-25 1999-07-09 Nec Corp Plasma processor
JPH11193466A (en) * 1997-12-26 1999-07-21 Canon Inc Plasma treating device and plasma treating method
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6622650B2 (en) * 1999-11-30 2003-09-23 Tokyo Electron Limited Plasma processing apparatus
US6847003B2 (en) * 2000-10-13 2005-01-25 Tokyo Electron Limited Plasma processing apparatus
JP2002299240A (en) * 2001-03-28 2002-10-11 Tadahiro Omi Plasma processor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891118A (en) * 1987-11-25 1990-01-02 Fuji Electric Co., Ltd. Plasma processing apparatus
US5837093A (en) * 1992-01-17 1998-11-17 Kabushiki Kaisha Toshiba Apparatus for performing plain etching treatment
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5567243A (en) * 1994-06-03 1996-10-22 Sony Corporation Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6357385B1 (en) * 1997-01-29 2002-03-19 Tadahiro Ohmi Plasma device
US6143128A (en) * 1997-01-31 2000-11-07 Tokyo Electron Limited Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6598610B2 (en) * 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130292047A1 (en) * 2006-01-20 2013-11-07 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US8925351B2 (en) * 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20070181531A1 (en) * 2006-02-06 2007-08-09 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US8372200B2 (en) * 2006-06-13 2013-02-12 Tokyo Electron Ltd. Shower plate, method for manufacturing the shower plate, plasma processing apparatus using the shower plate, plasma processing method and electronic device manufacturing method
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US8915999B2 (en) * 2006-10-23 2014-12-23 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US9767994B2 (en) 2006-10-23 2017-09-19 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20100288439A1 (en) * 2007-09-06 2010-11-18 Tokyo Electron Limited Top plate and plasma process apparatus employing the same
US20140099734A1 (en) * 2012-10-04 2014-04-10 Tokyo Electron Limited Deposition method and deposition apparatus
US9378942B2 (en) * 2012-10-04 2016-06-28 Tokyo Electron Limited Deposition method and deposition apparatus
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
JP2016184513A (en) * 2015-03-26 2016-10-20 京セラ株式会社 Window member for high frequency, member for semiconductor manufacturing apparatus, and member for flat panel display (fpd) manufacturing apparatus

Also Published As

Publication number Publication date
AU2003281401A1 (en) 2004-01-23
EP1521297A1 (en) 2005-04-06
EP1521297B1 (en) 2011-02-02
TW200414350A (en) 2004-08-01
KR20040045900A (en) 2004-06-02
TWI239052B (en) 2005-09-01
EP1521297A4 (en) 2006-06-07
DE60335951D1 (en) 2011-03-17
WO2004006319A1 (en) 2004-01-15
US20090229755A1 (en) 2009-09-17
CN100405557C (en) 2008-07-23
JP4540926B2 (en) 2010-09-08
KR100614065B1 (en) 2006-08-22
JP2004039972A (en) 2004-02-05
CN1533596A (en) 2004-09-29

Similar Documents

Publication Publication Date Title
US20090229755A1 (en) Plasma processing apparatus
US20040094094A1 (en) Plasma processing device
US7097735B2 (en) Plasma processing device
US7115184B2 (en) Plasma processing device
EP1376669B1 (en) Plasma processing device
US7083701B2 (en) Device and method for plasma processing, and slow-wave plate
US20060261037A1 (en) Substrate processing method and substrate processing apparatus
KR100501777B1 (en) Plasma processing device
JP4113895B2 (en) Plasma processing equipment
JP4689706B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: OHIM, TADAHIRO, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OHMI, TADAHIRO;HIRAYAMA, MASAKI;GOTO, TETSUYA;REEL/FRAME:015526/0803

Effective date: 20040419

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OHMI, TADAHIRO;HIRAYAMA, MASAKI;GOTO, TETSUYA;REEL/FRAME:015526/0803

Effective date: 20040419

AS Assignment

Owner name: TADAHIRO OHMI, JAPAN

Free format text: RE-RECORD TO CORRECT THE ASSIGNEE'S NAME ON A DOCUMENT PREVIOUSLY RECORDED AT REEL 015526, FRAME 0803. (ASSIGNMENT OF ASSIGNOR'S INTEREST);ASSIGNORS:OHMI, TADAHIRO;HIRAYAMA, MASAKI;GOTO, TETSUYA;REEL/FRAME:017131/0001

Effective date: 20040419

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: RE-RECORD TO CORRECT THE ASSIGNEE'S NAME ON A DOCUMENT PREVIOUSLY RECORDED AT REEL 015526, FRAME 0803. (ASSIGNMENT OF ASSIGNOR'S INTEREST);ASSIGNORS:OHMI, TADAHIRO;HIRAYAMA, MASAKI;GOTO, TETSUYA;REEL/FRAME:017131/0001

Effective date: 20040419

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION