US20050155823A1 - Substrate support mechanism for semiconductor processing system - Google Patents

Substrate support mechanism for semiconductor processing system Download PDF

Info

Publication number
US20050155823A1
US20050155823A1 US10/503,947 US50394704A US2005155823A1 US 20050155823 A1 US20050155823 A1 US 20050155823A1 US 50394704 A US50394704 A US 50394704A US 2005155823 A1 US2005155823 A1 US 2005155823A1
Authority
US
United States
Prior art keywords
supporting mechanism
mechanism according
holding portions
lifter pins
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/503,947
Inventor
Tsutomu Hiroki
Hiroaki Saeki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIROKI, TSUTOMU, SAEKI, HIROAKI
Publication of US20050155823A1 publication Critical patent/US20050155823A1/en
Priority to US11/774,335 priority Critical patent/US7857569B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • the present invention relates to a supporting mechanism used for transferring a target substrate, such as a semiconductor wafer, in cooperation with a transfer arm, in a semiconductor processing system.
  • semiconductor process used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • a wafer is subjected to various processes, such as film-formation, etching, oxidation, and diffusion. Owing to the demands of increased miniaturization and integration of semiconductor integrated circuits, the throughput and yield involving these processes need to be increased.
  • a semiconductor processing system of the so-called cluster tool type which has a plurality of process chambers for performing the same process, or a plurality of process chambers for performing different processes, connected to a common transfer chamber. With a system of this type, various steps can be performed in series, without exposing a wafer to air.
  • Jpn. Pat. Appln. KOKAI Publication Nos. 2000-208589 and 2000-299367 disclose a semiconductor processing system of the cluster tool type.
  • each transfer arm is arranged to extend, contract, and rotate or move horizontally.
  • the transfer arms sequentially transfer a wafer among them from a wafer cassette to processing apparatuses or vice versa.
  • transfer of a wafer between transfer arms is not directly performed therebetween, but via a supporting mechanism disposed therebetween, which can move the wafer up and down, or a buffer table having such a supporting mechanism.
  • the wafer is transferred onto the supporting mechanism or buffer table by one of the transfer arms, and is then transferred from the supporting mechanism or buffer table by the other of the transfer arms.
  • the transfer chamber may be provided with a supporting mechanism or buffer table as that described above.
  • Jpn. Pat. Appln. KOKAI Publication Nos. 4-69917, 9-223727, and 2001-176947 disclose a supporting mechanism or buffer table of this kind.
  • the supporting mechanisms disclosed in the publications mentioned above include two lifters for moving a wafer up and down, which are used at the same time to transfer a wafer. Accordingly, it is difficult to use the supporting mechanisms flexibly in light of the situation.
  • An object of the present invention is to provide a substrate supporting mechanism for a semiconductor processing system, which includes two holding portions alternatively usable in light of the situation, and has a compact structure.
  • a supporting mechanism used for transferring a target substrate in cooperation with a transfer arm, in a semiconductor processing system comprising:
  • FIG. 1 is a schematic plan view showing a semiconductor processing system including a substrate supporting mechanism according to a first embodiment of the present invention
  • FIG. 2 is a sectional view showing an intermediate chamber having a load-lock function and provided with the supporting mechanism shown in FIG. 1 ;
  • FIG. 3 is a perspective view of the supporting mechanism shown in FIG. 1 ;
  • FIG. 4 is a plan view of the supporting mechanism shown in FIG. 1 ;
  • FIG. 5 is an enlarged partial view showing the supporting structure of a reciprocation rod used in the supporting mechanism shown in FIG. 1 ;
  • FIG. 6 is a view schematically showing the placement of actuators used in a supporting mechanism according to a second embodiment of the present invention.
  • FIG. 7 is a plan view showing the placement of lifter pins used in the supporting mechanism shown in FIG. 6 ;
  • FIG. 8 is a plan view showing base frames used in a supporting mechanism according to a third embodiment of the present invention.
  • FIG. 9 is a perspective view showing a supporting mechanism according to a fourth embodiment of the present invention.
  • FIG. 10 is a plan view showing the supporting mechanism shown in FIG. 9 ;
  • FIG. 11 is a perspective view showing a supporting mechanism according to a fifth embodiment of the present invention.
  • FIG. 12 is a plan view showing the supporting mechanism shown in FIG. 11 ;
  • FIG. 13 is a perspective view showing a supporting mechanism according to a sixth embodiment of the present invention.
  • FIG. 14 is a plan view showing the supporting mechanism shown in FIG. 13 ;
  • FIG. 15 is a view showing a supporting mechanism according to a modification of the sixth embodiment.
  • FIG. 1 is a schematic plan view showing a semiconductor processing system including a substrate supporting mechanism according to a first embodiment of the present invention.
  • the processing system 2 includes a plurality of, e.g., four processing apparatuses 4 A, 4 B, 4 C, and 4 D, an almost hexagonal common transfer chamber 6 , first and second intermediate chambers (load-lock chambers) 8 A and 8 B, and a thin and long entrance transfer chamber 10 .
  • the intermediate chambers 8 A and 8 B are respectively provided with substrate supporting mechanisms 12 A and 12 B.
  • Each of the common transfer chamber 6 and first and second intermediate chambers 8 A and 8 B are formed of an airtight chamber, which can be vacuum exhausted.
  • the processing apparatuses 4 A to 4 D are respectively connected to four sides of the almost hexagonal common transfer chamber 6 , and the first and second intermediate chambers 8 A and 8 B are respectively connected to the other two sides thereof.
  • the processing system 2 has a structure of the cluster tool type in which the processing apparatuses and intermediate chambers are connected to and around the common transfer chamber 6 .
  • the entrance transfer chamber 10 is connected to the first and second intermediate chambers 8 A and 8 B in common.
  • the processing apparatuses 4 A to 4 D and first and second intermediate chambers 8 A and 8 B are connected to the common transfer chamber 6 respectively through gate valves G 1 to G 4 , and G 5 and G 6 , which are airtightly opened and closed.
  • the first and second intermediate chambers 8 A and 8 B are connected to the entrance transfer chamber 10 respectively through gate valves G 7 and G 8 , which are airtightly opened and closed.
  • the four processing apparatuses 4 A to 4 D are designed to perform the same process or different processes on a target substrate or semiconductor wafer in a vacuum atmosphere.
  • the common transfer chamber 6 is provided with first transfer means 14 disposed therein at a position to access the two intermediate chambers 8 A and 8 B and four processing apparatuses 4 A to 4 D.
  • the first transfer means 14 is formed of an articulated arm that can extend, contract, and rotate.
  • the first transfer means 14 has two picks 14 A and 14 B, which can independently extend and contract in opposite directions, so that it can handle two wafers at a time. Alternatively, the first transfer means 14 may have only one pick.
  • the entrance transfer chamber 10 has a long thin box-type configuration in which an inactive gas, such as N 2 gas, or clean air is circulated.
  • an inactive gas such as N 2 gas
  • One or more cassette tables e.g., three cassette tables 16 A, 16 B, and 16 C in this example, are disposed on one side of this laterally long box.
  • the cassette tables 16 A, 16 B, and 16 C are configured to place cassettes 18 A to 18 C thereon, respectively.
  • Each of the cassettes 18 A to 18 C is structured to store, e.g., 25 wafers W at most, at regular intervals in the vertical direction.
  • Each of the cassettes 18 A to 18 C has an airtight structure filled with, e.g., an N 2 gas atmosphere. Wafers are transferred into and from the entrance transfer chamber 10 through gate doors 20 A, 20 B, and 20 C disposed correspondingly to the cassette tables 16 A to 16 C.
  • the entrance transfer chamber 10 is provided with second transfer means 22 disposed therein, for transferring wafers W in its longitudinal direction.
  • the second transfer means 22 is supported on and slides along a guide rail 24 that extends in the longitudinal direction at the center of the entrance-transfer chamber 10 .
  • the guide rail 24 has an actuating mechanism, such as a linear motor, built therein. The linear motor moves the second transfer means 22 on the guide rail 24 in an X-direction.
  • An alignment device or orientor 26 for performing alignment of a wafer is disposed at one end of the entrance transfer chamber 10 .
  • the orientor 26 includes a rotary table 28 , which is rotated by a driving motor (not shown), along with a wafer W placed thereon.
  • An optical sensor 30 is disposed beside the rotary table 28 , for detecting the periphery of the wafer W. The optical sensor 30 is used to detect the direction and misalignment of the notch or orientation flat of the wafer W.
  • the second transfer means 22 has two transfer arms 32 and 34 formed of articulated arms and disposed on upper and lower sides.
  • the transfer arms 32 and 34 respectively have fork-like picks 32 A and 34 A at their distal ends, on each of which a wafer W is directly held.
  • Each of the transfer arms 32 and 34 can extend and contract in a radial direction R from the center.
  • the transfer arms 32 and 34 can be controlled to extend and contract independently of each other.
  • the transfer arms 32 and 34 can integratedly rotate in the angular direction ⁇ relative to a base 36 .
  • the supporting mechanisms 12 A and 12 B respectively disposed in the intermediate chambers 8 A and 8 B. Since the two supporting mechanisms 12 A and 12 B have completely the same structure, only one of them, e.g., the supporting mechanism 12 A will be exemplified.
  • FIG. 2 is a sectional view showing an intermediate chamber having a load-lock function and provided with the supporting mechanism shown in FIG. 1 .
  • FIGS. 3 and 4 are a perspective view and a plan view, respectively, of the supporting mechanism shown in FIG. 1 .
  • the supporting mechanism 12 A includes a plurality of, e.g., two in this example, base frames 38 and 40 , each of which is formed of a thin plate bar bent to form a numeral “7” shape, and made of, e.g., aluminum or ceramic.
  • the two base frames 38 and 40 are disposed not to overlap with each other in the plan view, so that they do not hit or interfere with each other when they are moved up and down.
  • the base frames 38 and 40 have extension portions 39 and 41 , which extend toward each other and mutually beyond their tips into cut spaces in the base frames.
  • Each of the base frames 38 and 40 is provided with a plurality of, e.g., three in this embodiment, lifter pins 38 A to 38 C or 40 A to 40 C standing upward therefrom.
  • the lifter pins 38 A to 38 C and 40 A to 40 C are made of ceramic, such as Al 2 O 3 .
  • Each group of lifter pins 38 A to 38 C and 40 A to 40 C supports a wafer W, while their top ends are in direct contact with the bottoms of the wafers.
  • each group of lifter pins 38 A to 38 C and 40 A to 40 C are disposed along one common circle 42 at regular intervals (intervals of about 120 degrees).
  • the number of lifter pins disposed on one base frame 38 or 40 needs to be at least three.
  • the two groups of the lifter pins 38 A to 38 C and 40 A to 40 C may be respectively disposed along different circles.
  • the two actuators 46 and 48 are disposed at the bottom plate 44 (see FIG. 2 ) of the intermediate chamber 8 A, and used for the two base frames 38 and 40 , respectively.
  • the actuators 46 and 48 include reciprocation rods 50 and 52 , which extend through holes 54 and 56 formed in the bottom plate 44 , and connected or fixed at the top to the bottoms of the base frames 38 and 40 , respectively.
  • the actuators 46 and 48 can move up and down the base frames 38 and 40 independently of each other.
  • the actuators 46 and 48 are set to give the same stroke of the vertical movement to the lifter pins 38 A to 38 C and lifter pins 40 A to 40 C. Furthermore, the uppermost and lowermost positions of the top ends of the lifter pins 38 A to 38 C are essentially the same as the uppermost and lowermost positions of the top ends of the lifter pins 40 A to 40 C. In other words, the lifter pins 38 A to 38 C and lifter pins 40 A to 40 C share essentially the same position where a wafer W is transferred to and from the first transfer means 14 or second transfer means 22 . However, even if the two members have different vertical strokes or different uppermost and lowermost positions, a wafer W can be transferred to and from the first and second transfer means 14 and 22 , using vertical movement of the first and second transfer means 14 and 22 .
  • bellows 58 and 60 each formed of, e.g., a longitudinally flexible metal accordion hose. More specifically, as shown in FIG. 5 , the reciprocation rods 50 and 52 are respectively inserted in the bellows 58 and 60 .
  • the upper ends of the bellows 58 and 60 are airtightly connected to the bottoms of the base frames 38 and 40 , respectively.
  • the lower ends of the bellows 58 and 60 are airtightly fixed to the bottom of the bottom plate 44 by screws 66 each through a seal member 62 and an attachment ring 64 .
  • a vertical movement controller 68 formed of, e.g., a microcomputer is used to control the operation of the actuators 46 and 48 .
  • Each of the intermediate chambers 8 A and 8 B has a port 70 for feeding an inactive gas, such as N2 gas, and an exhaust port 72 connected to a vacuum exhaust section (not shown), wherein the ports are formed in, e.g., the bottom of the chamber.
  • This arrangement is used to set the pressure inside the intermediate chambers 8 A and 8 B between a vacuum atmosphere and atmospheric pressure atmosphere, as needed.
  • an unprocessed wafer W is picked up from one of the cassettes placed on the three cassette tables 16 A to 16 C, e.g., the cassette 18 C placed on the cassette table 16 C.
  • one of the transfer arms of the second transfer means 22 e.g., the transfer arm 32 , is operated to pick up and hold the wafer W from the cassette 18 C by the pick 32 A.
  • the second transfer means 22 is moved in the X-direction to transfer the wafer W to the orientor 26 .
  • an unprocessed wafer W which has been aligned by the orientor 26 , is taken out of the rotary table 28 to make the rotary table 28 free.
  • the other transfer arm 34 which is unoccupied, is operated to pick up and hold, by the pick 34 A, the wafer W from the rotary table 28 .
  • the transfer arm 32 is operated to place the unprocessed wafer held on the pick 32 A onto the unoccupied rotary table 28 .
  • This wafer is subjected to alignment by the time when a next unprocessed wafer is transferred thereto.
  • the second transfer means 22 is moved in the X-direction to transfer the unprocessed wafer, which has been taken out of the rotary table 28 by the other transfer arm 34 , to one of the two intermediate chambers 8 A and 8 B, e.g., the intermediate chamber 8 A.
  • the gate valve G 7 is opened to open the intermediate chamber 8 A, which has been adjusted in pressure.
  • a processed wafer that has been subjected to a predetermined process such as a film-formation process or etching process, is waiting on one of the groups of the lifter pins, e.g., lifter pins 40 A to 40 C in the intermediate chamber 8 A.
  • the unoccupied transfer arm 32 is operated to pick up and hold, by the pick 32 A, the processed wafer W waiting on the lifter pins 40 A to 40 C.
  • the other transfer arm 34 is operated to place the unprocessed wafer held on the pick 34 A onto the other group of lifter pins 38 A to 38 C.
  • the processed wafer is returned back to the original cassette by the second transfer means 22 .
  • the gate valve G 7 is closed to make the intermediate chamber 8 A airtight. Then, the pressure in the intermediate chamber 8 A is adjusted by vacuum exhaust. Then, the gate valve G 5 is opened to cause the intermediate chamber 8 A to communicate with the common transfer chamber 6 , which has been set to have a vacuum atmosphere in advance. Then, the first transfer means 14 in the common transfer chamber 6 is operated to pick up the unprocessed wafer W. At this time, if a processed wafer is held on the first transfer means 14 , the processed wafer is replaced with the unprocessed wafer, using the two picks 14 A and 14 B of the first transfer means 14 .
  • the unprocessed wafer W is sequentially subjected to the necessary processes in the processing apparatuses 4 A to 4 D, for example.
  • the processed wafer W is returned back to the original cassette, through a route reverse to that described above.
  • the processed wafer W can be transferred through either one of the two intermediate chambers 8 A and 8 B.
  • the lifter pins 40 A to 40 C are used, which belong to a group other than the group used for holding the unprocessed wafer W.
  • the unprocessed wafer W is prevented, as far as possible, from being contaminated by contaminants, such as thin films.
  • Wafer transfer in the other intermediate chamber 8 B is performed in the same manner.
  • unprocessed wafers W are handled by a group of lifter pins different from a group used for handling processed wafers W, to prevent contamination of the wafers W.
  • the three lifter pins 38 A to 38 C disposed on the base frame 38 are exclusively used for supporting unprocessed wafers W, while the three lifter pins 40 A to 40 C disposed on the other base frame 40 are exclusively used for supporting processed wafers W.
  • the following explanation will take as an example a case where an unprocessed wafer W transferred in from the entrance transfer chamber 10 , and a processed wafer W is replaced with the unprocessed wafer W.
  • the lifter pins 40 A to 40 C of the base frame 40 supporting the processed wafer W are placed at an upper position, and the unoccupied lifter pins 38 A to 38 C of the other base frame 38 are placed at a lower position.
  • the unoccupied pick 32 A is moved in a horizontal direction into the intermediate chamber 8 A, and inserted into a position below the processed wafer W (between the wafer W and base frame 40 ).
  • the lifter pins 40 A to 40 C are moved down to transfer the processed wafer W onto the pick 32 A.
  • the pick 32 A is moved backward to retreat from the intermediate chamber 8 A.
  • the other pick 34 A holding the unprocessed wafer W is moved in a horizontal direction into the intermediate chamber 8 A.
  • the other base frame 38 at the lower position is moved up, so that the lifter pins 38 A to 38 C receive the unprocessed wafer W from below.
  • the unoccupied pick 34 A is moved backward to retreat from the intermediate chamber 8 A.
  • the processed wafer W is replaced with the unprocessed wafer W.
  • the same operation is performed when a wafer W is transferred between the common transfer chamber 6 and intermediate chamber 8 A.
  • the lifter pins 38 A to 38 C keep supporting the unprocessed wafer W until the wafer W is transferred onto the first transfer means 14 , after receiving the wafer W from the second transfer means 22 . During this time, the lifter pins 40 A to 40 C support no wafer W. On the other hand, the lifter pins 40 A to 40 C keep supporting the processed wafer W until the wafer W is transferred onto the second transfer means 22 , after receiving the wafer W from the first transfer means 14 . During this time, the lifter pins 38 A to 38 C support no wafer W. In summary, the lifter pins 38 A to 38 C and the lifter pins 40 A to 40 C alternatively support a wafer W. This matter is also common to the following embodiments. The two groups of the lifter pins 38 A to 38 C and lifter pins 40 A to 40 C can be arbitrarily set to be used either for unprocessed wafers W or for processed wafers W.
  • the first embodiment includes a plurality of, e.g., two, base frames 38 and 40 , each having a plurality of, e.g., three, lifter pins 38 A to 38 C or 40 A to 40 C disposed thereon.
  • One group of lifter pins 38 A to 38 C is exclusively used for transferring unprocessed wafers W
  • the other group of lifter pins 40 A to 40 C is exclusively used for transferring processed wafers W.
  • unprocessed wafers W are prevented from being contaminated by substances or particles derived from thin films.
  • the other base frame can keep operating to move up and down to transfer a wafer W, as described above. Accordingly, this improves the flexibility of usage.
  • the first embodiment includes the two base frames 38 and 40 , but may have a third base frame or more, which are disposed not to interfere with the two base frames 38 and 40 , and each provided with lifter pins, as described above.
  • the supporting mechanisms disclosed in the publication mentioned in “Background Art” include two lifters for moving a wafer up and down, and transfer a wafer, using the two lifters at the same time. Accordingly, it is difficult to use the supporting mechanisms flexibly in light of the situation. More specifically, for example, the supporting mechanism disclosed in Jpn. Pat. Appln. KOKAI Publication No. 9-223727 includes two sets of target substrate supporting means (support pins), which can be moved independently of each other. The two sets of supporting means support only one target substrate in cooperation with each other, or the two sets of supporting means simultaneously and respectively support an unprocessed target substrate and a processed target substrate.
  • the substrate supporting mechanism according to the first embodiment includes two (a plurality of) sets of target substrate supporting means (corresponding to the two base frames 38 and 40 in this embodiment), which are disposed not to interfere with each other, and support target substrates W at substantially the same horizontal coordinate position to transfer them to and from a transfer unit.
  • the two (a plurality of) sets of target substrate supporting means alternatively support a target substrate (only one set of supporting means supports one target substrate at a time). In other words, when one set of supporting means supports a target substrate, the other set of supporting means supports no target substrate. Accordingly, the supporting mechanism according to the first embodiment has a structure completely different from that of the prior art described above. This matter is also common to the following embodiments.
  • the first embodiment includes a plurality of, e.g., two, base frames each provided with a plurality of, e.g., three, lifter pins 38 A to 38 C or 40 A to 40 C. Instead, there may be lifter pins 38 A to 38 C and 40 A to 40 C respectively provided with actuators one by one.
  • FIG. 6 is a view schematically showing the placement of actuators used in a supporting mechanism according to a second embodiment of the present invention.
  • FIG. 7 is a plan view showing the placement of lifter pins used in the supporting mechanism shown in FIG. 6 .
  • the lifter pins 38 A to 38 C and 40 A to 40 C are divided into two groups, i.e., a first group of lifter pins 38 A to 38 C and a second group of lifter pins 40 A to 40 C.
  • the lifter pins are respectively provided with actuators 80 A to 80 C and 82 A to 82 C correspondingly disposed therebelow.
  • the actuators 80 A to 80 C and 82 A to 82 C are disposed along one circle, but FIG. 6 shows them on one plane, for the sake of comprehension. It may be arranged such that one group of actuators 80 A to 80 C are disposed on one circle, and the other group of actuators 82 A to 82 C are disposed along a different circle.
  • the lifter pins 38 A to 38 C and 40 A to 40 C are respectively connected to the tips of the reciprocation rods 84 A to 84 C and 86 A to 86 C of the actuators 80 A to 80 C and 82 A to 82 C.
  • the vertical movement of the actuators 80 A to 80 C and 82 A to 82 C are controlled by a vertical movement controller 68 .
  • Those portions of the intermediate chamber bottom plate 44 where the reciprocation rods 84 A to 84 C and 86 A to 86 C penetrate, are respectively provided with bellows 88 .
  • the actuators 80 A to 80 C connected to one group of lifter pins 38 A to 38 C are operated to perform synchronous vertical movement.
  • the actuators 82 A to 82 C connected to the other group of lifter pins 40 A to 40 C are operated to perform synchronous vertical movement.
  • the group of actuators 80 A to 80 C and the group of actuators 82 A to 82 C can be controlled independently of each other to perform vertical movement.
  • each group of lifter pins i.e., the group of lifter pins 38 A to 38 C or lifter pins 40 A to 40 C, are controlled to synchronously move up and down.
  • the second embodiment can provide the same operation and effect as those of the first embodiment described above.
  • one group of lifter pins 38 A to 38 C is exclusively used for transferring unprocessed wafers W
  • the other group of lifter pins 40 A to 40 C is exclusively used for transferring processed wafers W.
  • the first embodiment includes a plurality of, e.g., two, base frames each provided with a plurality of, e.g., three, lifter pins 38 A to 38 C or 40 A to 40 C.
  • base frames 38 and 40 may be provided with no lifter pins 38 A to 38 C and 40 A to 40 C, but configured to function as holder plates, each of which comes into direct contact with the bottom of a wafer W to support it by the top face.
  • FIG. 8 is a plan view showing base frames (holder plates) used in a supporting mechanism according to a third embodiment of the present invention.
  • the two base frames 38 and 40 are provided with no lifter pins thereon.
  • Each of the base frames 38 and 40 come into direct contact with the bottom of a wafer W to support the wafer W.
  • the two base frames 38 and 40 are arranged not to interfere with a pick, e.g., pick 32 A, during transfer of a wafer.
  • the base frames 38 and 40 shown in FIG. 8 has a smaller size than the base frames 38 and 40 of the first embodiment shown in FIG. 3 .
  • the third embodiment can also provide the same operation and effect as those of the first embodiment described above.
  • FIG. 9 is a perspective view showing a supporting mechanism according to a fourth embodiment of the present invention.
  • FIG. 10 is a plan view showing the supporting mechanism shown in FIG. 9 .
  • this supporting mechanism includes lifter pins 38 A and 40 A provided with an associated structure the same as that shown in FIGS. 6 and 7 .
  • the two lifter pins 38 A and 40 A are directly connected to the reciprocation rod 84 A of an actuator 80 A and the reciprocation rod 86 A of an actuator 82 A, respectively.
  • the supporting mechanism includes no other lifter pins 38 B, 38 C, 40 B, and 40 C (see FIG. 3 ), but includes base frames 38 and 40 the same as those of the third embodiment shown in FIG. 8 to compensate for the function of the omitted lifter pins.
  • each of the base frames 38 and 40 is arranged to directly support the bottom of a wafer.
  • the base frames 38 and 40 do not have a numeral “7” shape shown in FIG. 4 , but have, e.g., a “U” shape, formed by excluding the portion that supports the lifter pin 38 A or 40 A.
  • the lifter pin 38 A and base frame 38 form one group and are synchronously moved up and down.
  • the other lifter pins 40 A and base frame 40 form one group and are synchronously moved up and down.
  • the fourth embodiment can also provide the same operation and effect as those of the first embodiment described above.
  • FIG. 11 is a perspective view showing a supporting mechanism according to a fifth embodiment of the present invention.
  • FIG. 12 is a plan view showing the supporting mechanism shown in FIG. 11 .
  • a supporting mechanism according to any one of the first to fourth embodiments is disposed at the center of an intermediate chamber 8 A.
  • a pair of reciprocation rods 90 and 92 are disposed outside the vertical movement area where a wafer W is moved up and down by the supporting mechanism.
  • the reciprocation rods 90 and 92 are disposed at positions shifted by 90 degrees from gate valves G 5 and G 7 provided on opposite sides of the intermediate chamber 8 A, so that they do not interfere with a pick 14 A, 14 B, 32 A, or 34 A to be inserted when a wafer is transferred into and out of the intermediate chamber 8 A.
  • FIGS. 11 and 12 shows a case where the supporting mechanism according to the first embodiment is disposed at the center of the intermediate chamber 8 A.
  • the reciprocation rods 90 and 92 are respectively moved up and down by actuators (not shown) disposed therebelow, which perform synchronous vertical movement.
  • the reciprocation rods 90 and 92 are moved up and down by a stroke larger than that of the reciprocation rods 50 and 52 .
  • the reciprocation rods 90 and 92 may be connected to each other at the bottom, so that only one actuator suffices for them.
  • Support plates 98 and 100 made of, e.g., ceramic are respectively fixed to the tops of the reciprocation rods 90 and 92 , and horizontally extend toward the center of the intermediate chamber 8 A.
  • the support plates 98 and 100 have a “T” shape in this embodiment, with top faces to hold a wafer W transferred by the first and second transfer means 14 and 22 , while they are in direct contact with the bottom of the wafer W.
  • the other intermediate chamber 8 B is also provided with the same supporting mechanism as that disposed in the intermediate chamber 8 A, as described above.
  • the lifter pins 38 A to 38 C and 40 A to 40 C are operated, as in the first embodiment, while the pair of support plates 98 and 100 hold an unprocessed wafer W at a higher position, as shown in FIG. 11 , for example.
  • one wafer W is kept waiting at a higher position by the support plates 98 and 100 , while another wafer is transferred therebelow into and from the intermediate chamber.
  • the flexibility in handling target substrates improves.
  • FIG. 13 is a perspective view showing a supporting mechanism according to a sixth embodiment of the present invention.
  • FIG. 14 is a plan view showing the supporting mechanism shown in FIG. 13 .
  • a first base frame 38 is disposed above a second base frame 40 , so that they vertically overlap with each other.
  • the first base frame 38 is supported at the center by a first reciprocation rod, e.g., rod 50 .
  • the second base frame 40 is supported at the center by a second reciprocation rod, e.g., rod 52 .
  • the first and second reciprocation rods 50 and 52 form a coaxial structure, and can be moved up and down independently of each other.
  • the two rods 50 and 52 may be disposed in parallel with each other, in place of the coaxial structure.
  • the first reciprocation rod 50 is connected to a first actuator, e.g., actuator 46 (see FIG. 2 ) at the bottom.
  • the second reciprocation rod 52 is connected to a second actuator, e.g., actuator 48 (see FIG. 2 ) at the bottom.
  • a longitudinally flexible first bellows 110 is interposed between the first base frame 38 and second base frame 40 , and covers the first reciprocation rod 50 .
  • a longitudinally flexible second bellows 112 is interposed between the second base frame 40 and a transfer chamber bottom (not shown), and covers second reciprocation rod 52 .
  • the second base frame 40 is formed of an almost circular plate, which is provided with a plurality of, e.g., three in this example, lifter pins 40 A to 40 C standing on the periphery.
  • the top ends of the lifter pins 40 A to 40 C extend beyond the first base frame 38 , to support a wafer W thereon.
  • the lifter pins 40 A to 40 C are disposed on the periphery of the second base frame 40 at almost regular intervals in the angular direction.
  • the first base frame 38 has a deformed triangle shape, which is formed by curving the three sides of a regular triangle toward the center, so that it does not interfere with the three lifter pins 40 A to 40 C.
  • the first base frame 38 is configured to come into direct contact with a wafer W to hold it by the top face.
  • the top ends of the lifter pins 40 A to 40 C of the second base frame 40 are moved up beyond the first base frame 38 , when they support a wafer W.
  • the sixth embodiment can provide an operation similar to that of the first embodiment described above. Specifically, the top face of the first base frame 38 , and the lifter pins 40 A to 40 C of the second base frame 40 alternatively support a wafer W.
  • the base frames 38 and 40 can be smaller and reduce the occupied area in the plan view. As a consequence, a pick with a smaller tip opening angle can be used for transferring a wafer without interfering with the base frames 38 and 40 . Furthermore, during transfer of a wafer, the supporting mechanism can be easily controlled to perform vertical movement.
  • the sixth embodiment includes the first base frame 38 configured to support a wafer W by the top face. Instead, there may be a first base frame 38 provided with lifter pins.
  • FIG. 15 is a view showing a supporting mechanism according to a modification of the sixth embodiment.
  • the first base frame 38 is provided with a plurality of, e.g., three in this example, lifter pins 38 A to 38 C standing on the periphery.
  • the lifter pins 38 A to 38 C are configured to support a wafer W by the top ends.
  • a supporting mechanism is disposed in the intermediate chambers 8 A and 8 B, each formed of an airtight chamber that can be vacuum exhausted.
  • a supporting mechanism may be disposed in a free space within the entrance transfer chamber 10 or common transfer chamber 6 , so that it functions as a waiting position of a wafer.
  • the top face comes into direct contact with the bottom of the wafer W.
  • the top face may be provided with a plurality of projections having a height of about 1 mm and a diameter of about 5 mm, so that the projections come into contact with the bottom of a wafer to support it.
  • the top face may be provided with a recess to hold a wafer W therein.
  • the controller performs control such that one group of lifter pins or base frame supports unprocessed wafers W, while the other group of lifter pins or base frame supports processed wafers.
  • discriminatory use of a plurality of lifter pins or base frames may be determined, depending on the type of a process (film-formation, etching, etc) to be performed on wafers W, the temperature of wafers W (timing before or after heating or cooling of wafers W), or other conditions of wafers W, (also taking into consideration a case where a supporting mechanism according to each of the embodiments is used in a common transfer chamber 6 or the like).
  • the lifter pins or base frames are made of the same material.
  • the lifter pins or base frames may be respectively made of different materials (for example, a heat-resistant material, heat-conductive material, and so forth), depending on some conditions of wafers W to be supported.
  • controller may be arranged such that the respective numbers of wafers supported by the lifter pins or base frames become almost the same. This arrangement allows the time period between cleaning operations of wafer-contact portions to be increased, and also allows the service life of members, such as a bellows, of a supporting mechanism to be longer.
  • a supporting mechanism according to the present invention may also be provided with a mechanism for rotating a wafer W.
  • a semiconductor wafer is handled as a target substrate.
  • the present invention may also be applied to a glass substrate or LCD substrate.

Abstract

A supporting mechanism (12A) is used for transferring a target substrate (W) in cooperation with a transfer arm (32), in a semiconductor processing system. The supporting mechanism includes first and second holding portions (38A to 38C, 40A to 40C) each configured to be moved up and down and transfer a substrate to and from the transfer arm. The first and second holding portions are configured to be moved relative to each other in a vertical direction without spatially interfering with each other, and support substrates at substantially the same horizontal coordinate position. The supporting mechanism further includes first and second drives (46, 48) configured to move the first and second holding portions up and down, and a controller (68) configured to control the first and second drives. The controller is arranged to control the first and second drives to alternatively support a substrate by the first and second holding portions.

Description

    TECHNICAL FIELD
  • The present invention relates to a supporting mechanism used for transferring a target substrate, such as a semiconductor wafer, in cooperation with a transfer arm, in a semiconductor processing system. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • BACKGROUND ART
  • In the process of manufacturing semiconductor integrated circuits, a wafer is subjected to various processes, such as film-formation, etching, oxidation, and diffusion. Owing to the demands of increased miniaturization and integration of semiconductor integrated circuits, the throughput and yield involving these processes need to be increased. In light of this, there is a semiconductor processing system of the so-called cluster tool type, which has a plurality of process chambers for performing the same process, or a plurality of process chambers for performing different processes, connected to a common transfer chamber. With a system of this type, various steps can be performed in series, without exposing a wafer to air. For example, Jpn. Pat. Appln. KOKAI Publication Nos. 2000-208589 and 2000-299367 disclose a semiconductor processing system of the cluster tool type.
  • In a semiconductor processing system of the cluster tool type, there are a plurality of transfer arms for transferring a semiconductor wafer. Each transfer arm is arranged to extend, contract, and rotate or move horizontally. The transfer arms sequentially transfer a wafer among them from a wafer cassette to processing apparatuses or vice versa.
  • In general, transfer of a wafer between transfer arms is not directly performed therebetween, but via a supporting mechanism disposed therebetween, which can move the wafer up and down, or a buffer table having such a supporting mechanism. The wafer is transferred onto the supporting mechanism or buffer table by one of the transfer arms, and is then transferred from the supporting mechanism or buffer table by the other of the transfer arms.
  • Depending on the processing manner of a wafer, the wafer is temporarily kept waiting in a transfer chamber in the middle of transfer, and another wafer is transferred by priority. In this case, the transfer chamber may be provided with a supporting mechanism or buffer table as that described above. For example, Jpn. Pat. Appln. KOKAI Publication Nos. 4-69917, 9-223727, and 2001-176947 disclose a supporting mechanism or buffer table of this kind.
  • In handling semiconductor wafers for a film-formation process, for example, there is a case where processed wafers are accompanied by thin films sticking to the bottom, as well as the top as a matter of course. In this case, if lifter pins or the like come into direct contact with the bottom of wafers to handle them, unprocessed wafers are contaminated with film particles transferred from the lifter pins.
  • Furthermore, the supporting mechanisms disclosed in the publications mentioned above include two lifters for moving a wafer up and down, which are used at the same time to transfer a wafer. Accordingly, it is difficult to use the supporting mechanisms flexibly in light of the situation.
  • DISCLOSURE OF INVENTION
  • An object of the present invention is to provide a substrate supporting mechanism for a semiconductor processing system, which includes two holding portions alternatively usable in light of the situation, and has a compact structure.
  • According to an aspect of the present invention, there is provided a supporting mechanism used for transferring a target substrate in cooperation with a transfer arm, in a semiconductor processing system, the supporting mechanism comprising:
      • first and second holding portions each configured to be moved up and down and transfer a substrate to and from the transfer arm, the first and second holding portions being configured to be moved relative to each other in a vertical direction without spatially interfering with each other, and support substrates at substantially the same horizontal coordinate position;
      • first and second drives configured to move the first and second holding portions up and down; and
      • a controller configured to control the first and second drives, the controller being arranged to control the first and second drives to alternatively support a substrate by the first and second holding portions.
    BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic plan view showing a semiconductor processing system including a substrate supporting mechanism according to a first embodiment of the present invention;
  • FIG. 2 is a sectional view showing an intermediate chamber having a load-lock function and provided with the supporting mechanism shown in FIG. 1;
  • FIG. 3 is a perspective view of the supporting mechanism shown in FIG. 1;
  • FIG. 4 is a plan view of the supporting mechanism shown in FIG. 1;
  • FIG. 5 is an enlarged partial view showing the supporting structure of a reciprocation rod used in the supporting mechanism shown in FIG. 1;
  • FIG. 6 is a view schematically showing the placement of actuators used in a supporting mechanism according to a second embodiment of the present invention;
  • FIG. 7 is a plan view showing the placement of lifter pins used in the supporting mechanism shown in FIG. 6;
  • FIG. 8 is a plan view showing base frames used in a supporting mechanism according to a third embodiment of the present invention;
  • FIG. 9 is a perspective view showing a supporting mechanism according to a fourth embodiment of the present invention;
  • FIG. 10 is a plan view showing the supporting mechanism shown in FIG. 9;
  • FIG. 11 is a perspective view showing a supporting mechanism according to a fifth embodiment of the present invention;
  • FIG. 12 is a plan view showing the supporting mechanism shown in FIG. 11;
  • FIG. 13 is a perspective view showing a supporting mechanism according to a sixth embodiment of the present invention;
  • FIG. 14 is a plan view showing the supporting mechanism shown in FIG. 13; and
  • FIG. 15 is a view showing a supporting mechanism according to a modification of the sixth embodiment.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • Embodiments of the present invention will be described hereinafter with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numerals, and a repetitive description will be made only when necessary.
  • First Embodiment
  • FIG. 1 is a schematic plan view showing a semiconductor processing system including a substrate supporting mechanism according to a first embodiment of the present invention.
  • As shown in FIG. 1, the processing system 2 includes a plurality of, e.g., four processing apparatuses 4A, 4B, 4C, and 4D, an almost hexagonal common transfer chamber 6, first and second intermediate chambers (load-lock chambers) 8A and 8B, and a thin and long entrance transfer chamber 10. The intermediate chambers 8A and 8B are respectively provided with substrate supporting mechanisms 12A and 12B. Each of the common transfer chamber 6 and first and second intermediate chambers 8A and 8B are formed of an airtight chamber, which can be vacuum exhausted.
  • More specifically, the processing apparatuses 4A to 4D are respectively connected to four sides of the almost hexagonal common transfer chamber 6, and the first and second intermediate chambers 8A and 8B are respectively connected to the other two sides thereof. In other words, the processing system 2 has a structure of the cluster tool type in which the processing apparatuses and intermediate chambers are connected to and around the common transfer chamber 6. The entrance transfer chamber 10 is connected to the first and second intermediate chambers 8A and 8B in common. The processing apparatuses 4A to 4D and first and second intermediate chambers 8A and 8B are connected to the common transfer chamber 6 respectively through gate valves G1 to G4, and G5 and G6, which are airtightly opened and closed. The first and second intermediate chambers 8A and 8B are connected to the entrance transfer chamber 10 respectively through gate valves G7 and G8, which are airtightly opened and closed.
  • The four processing apparatuses 4A to 4D are designed to perform the same process or different processes on a target substrate or semiconductor wafer in a vacuum atmosphere. The common transfer chamber 6 is provided with first transfer means 14 disposed therein at a position to access the two intermediate chambers 8A and 8B and four processing apparatuses 4A to 4D. The first transfer means 14 is formed of an articulated arm that can extend, contract, and rotate. The first transfer means 14 has two picks 14A and 14B, which can independently extend and contract in opposite directions, so that it can handle two wafers at a time. Alternatively, the first transfer means 14 may have only one pick.
  • The entrance transfer chamber 10 has a long thin box-type configuration in which an inactive gas, such as N2 gas, or clean air is circulated. One or more cassette tables, e.g., three cassette tables 16A, 16B, and 16C in this example, are disposed on one side of this laterally long box. The cassette tables 16A, 16B, and 16C are configured to place cassettes 18A to 18C thereon, respectively.
  • Each of the cassettes 18A to 18C is structured to store, e.g., 25 wafers W at most, at regular intervals in the vertical direction. Each of the cassettes 18A to 18C has an airtight structure filled with, e.g., an N2 gas atmosphere. Wafers are transferred into and from the entrance transfer chamber 10 through gate doors 20A, 20B, and 20C disposed correspondingly to the cassette tables 16A to 16C.
  • The entrance transfer chamber 10 is provided with second transfer means 22 disposed therein, for transferring wafers W in its longitudinal direction. The second transfer means 22 is supported on and slides along a guide rail 24 that extends in the longitudinal direction at the center of the entrance-transfer chamber 10. The guide rail 24 has an actuating mechanism, such as a linear motor, built therein. The linear motor moves the second transfer means 22 on the guide rail 24 in an X-direction.
  • An alignment device or orientor 26 for performing alignment of a wafer is disposed at one end of the entrance transfer chamber 10. The orientor 26 includes a rotary table 28, which is rotated by a driving motor (not shown), along with a wafer W placed thereon. An optical sensor 30 is disposed beside the rotary table 28, for detecting the periphery of the wafer W. The optical sensor 30 is used to detect the direction and misalignment of the notch or orientation flat of the wafer W.
  • The second transfer means 22 has two transfer arms 32 and 34 formed of articulated arms and disposed on upper and lower sides. The transfer arms 32 and 34 respectively have fork- like picks 32A and 34A at their distal ends, on each of which a wafer W is directly held. Each of the transfer arms 32 and 34 can extend and contract in a radial direction R from the center. The transfer arms 32 and 34 can be controlled to extend and contract independently of each other. The transfer arms 32 and 34 can integratedly rotate in the angular direction θ relative to a base 36.
  • Next, an explanation will be given of the supporting mechanisms 12A and 12B respectively disposed in the intermediate chambers 8A and 8B. Since the two supporting mechanisms 12A and 12B have completely the same structure, only one of them, e.g., the supporting mechanism 12A will be exemplified.
  • FIG. 2 is a sectional view showing an intermediate chamber having a load-lock function and provided with the supporting mechanism shown in FIG. 1. FIGS. 3 and 4 are a perspective view and a plan view, respectively, of the supporting mechanism shown in FIG. 1.
  • As shown in FIGS. 2 to 4, the supporting mechanism 12A includes a plurality of, e.g., two in this example, base frames 38 and 40, each of which is formed of a thin plate bar bent to form a numeral “7” shape, and made of, e.g., aluminum or ceramic. The two base frames 38 and 40 are disposed not to overlap with each other in the plan view, so that they do not hit or interfere with each other when they are moved up and down. As shown in FIGS. 3 and 4, the base frames 38 and 40 have extension portions 39 and 41, which extend toward each other and mutually beyond their tips into cut spaces in the base frames.
  • Each of the base frames 38 and 40 is provided with a plurality of, e.g., three in this embodiment, lifter pins 38A to 38C or 40A to 40C standing upward therefrom. The lifter pins 38A to 38C and 40A to 40C are made of ceramic, such as Al2O3. Each group of lifter pins 38A to 38C and 40A to 40C supports a wafer W, while their top ends are in direct contact with the bottoms of the wafers.
  • As shown also in FIG. 4, each group of lifter pins 38A to 38C and 40A to 40C are disposed along one common circle 42 at regular intervals (intervals of about 120 degrees). The number of lifter pins disposed on one base frame 38 or 40 needs to be at least three. The two groups of the lifter pins 38A to 38C and 40A to 40C may be respectively disposed along different circles.
  • The two actuators 46 and 48 are disposed at the bottom plate 44 (see FIG. 2) of the intermediate chamber 8A, and used for the two base frames 38 and 40, respectively. The actuators 46 and 48 include reciprocation rods 50 and 52, which extend through holes 54 and 56 formed in the bottom plate 44, and connected or fixed at the top to the bottoms of the base frames 38 and 40, respectively. The actuators 46 and 48 can move up and down the base frames 38 and 40 independently of each other.
  • The actuators 46 and 48 are set to give the same stroke of the vertical movement to the lifter pins 38A to 38C and lifter pins 40A to 40C. Furthermore, the uppermost and lowermost positions of the top ends of the lifter pins 38A to 38C are essentially the same as the uppermost and lowermost positions of the top ends of the lifter pins 40A to 40C. In other words, the lifter pins 38A to 38C and lifter pins 40A to 40C share essentially the same position where a wafer W is transferred to and from the first transfer means 14 or second transfer means 22. However, even if the two members have different vertical strokes or different uppermost and lowermost positions, a wafer W can be transferred to and from the first and second transfer means 14 and 22, using vertical movement of the first and second transfer means 14 and 22.
  • Those portions of the bottom plate 44, where the reciprocation rods 50 and 52 penetrate, are respectively provided with bellows 58 and 60 each formed of, e.g., a longitudinally flexible metal accordion hose. More specifically, as shown in FIG. 5, the reciprocation rods 50 and 52 are respectively inserted in the bellows 58 and 60. The upper ends of the bellows 58 and 60 are airtightly connected to the bottoms of the base frames 38 and 40, respectively. The lower ends of the bellows 58 and 60 are airtightly fixed to the bottom of the bottom plate 44 by screws 66 each through a seal member 62 and an attachment ring 64.
  • The bellows 58 and 60 thus arranged maintain the interior of the intermediate chamber 8A airtight, while allowing the reciprocation rods 50 and 52 to be moved up and down. A vertical movement controller 68 formed of, e.g., a microcomputer is used to control the operation of the actuators 46 and 48.
  • Each of the intermediate chambers 8A and 8B has a port 70 for feeding an inactive gas, such as N2 gas, and an exhaust port 72 connected to a vacuum exhaust section (not shown), wherein the ports are formed in, e.g., the bottom of the chamber. This arrangement is used to set the pressure inside the intermediate chambers 8A and 8B between a vacuum atmosphere and atmospheric pressure atmosphere, as needed.
  • Next, an explanation will be given of an outline of the flow of a wafer W, in relation to the semiconductor processing system shown in FIG. 1.
  • First, an unprocessed wafer W is picked up from one of the cassettes placed on the three cassette tables 16A to 16C, e.g., the cassette 18C placed on the cassette table 16C. At this time, one of the transfer arms of the second transfer means 22, e.g., the transfer arm 32, is operated to pick up and hold the wafer W from the cassette 18C by the pick 32A. Then, the second transfer means 22 is moved in the X-direction to transfer the wafer W to the orientor 26.
  • Then, an unprocessed wafer W, which has been aligned by the orientor 26, is taken out of the rotary table 28 to make the rotary table 28 free. For this purpose, the other transfer arm 34, which is unoccupied, is operated to pick up and hold, by the pick 34A, the wafer W from the rotary table 28.
  • Then, the transfer arm 32 is operated to place the unprocessed wafer held on the pick 32A onto the unoccupied rotary table 28. This wafer is subjected to alignment by the time when a next unprocessed wafer is transferred thereto. Then, the second transfer means 22 is moved in the X-direction to transfer the unprocessed wafer, which has been taken out of the rotary table 28 by the other transfer arm 34, to one of the two intermediate chambers 8A and 8B, e.g., the intermediate chamber 8A.
  • Then, the gate valve G7 is opened to open the intermediate chamber 8A, which has been adjusted in pressure. At this time, a processed wafer that has been subjected to a predetermined process, such as a film-formation process or etching process, is waiting on one of the groups of the lifter pins, e.g., lifter pins 40A to 40C in the intermediate chamber 8A.
  • Then, the unoccupied transfer arm 32 is operated to pick up and hold, by the pick 32A, the processed wafer W waiting on the lifter pins 40A to 40C. Then, the other transfer arm 34 is operated to place the unprocessed wafer held on the pick 34A onto the other group of lifter pins 38A to 38C. On the other hand, the processed wafer is returned back to the original cassette by the second transfer means 22.
  • After the unprocessed wafer W is placed on the lifter pins 38A to 38C, the gate valve G7 is closed to make the intermediate chamber 8A airtight. Then, the pressure in the intermediate chamber 8A is adjusted by vacuum exhaust. Then, the gate valve G5 is opened to cause the intermediate chamber 8A to communicate with the common transfer chamber 6, which has been set to have a vacuum atmosphere in advance. Then, the first transfer means 14 in the common transfer chamber 6 is operated to pick up the unprocessed wafer W. At this time, if a processed wafer is held on the first transfer means 14, the processed wafer is replaced with the unprocessed wafer, using the two picks 14A and 14B of the first transfer means 14.
  • Then, the unprocessed wafer W is sequentially subjected to the necessary processes in the processing apparatuses 4A to 4D, for example. After the necessary processes are completed, the processed wafer W is returned back to the original cassette, through a route reverse to that described above. At this time, the processed wafer W can be transferred through either one of the two intermediate chambers 8A and 8B. When the processed wafer W is held in the intermediate chambers 8A and 8B, the lifter pins 40A to 40C are used, which belong to a group other than the group used for holding the unprocessed wafer W. As a consequence, the unprocessed wafer W is prevented, as far as possible, from being contaminated by contaminants, such as thin films.
  • Next, a detailed explanation will be given as to how a wafer W is transferred in the intermediate chamber 8A. Wafer transfer in the other intermediate chamber 8B is performed in the same manner.
  • In this embodiment, as described above, unprocessed wafers W are handled by a group of lifter pins different from a group used for handling processed wafers W, to prevent contamination of the wafers W. For example, the three lifter pins 38A to 38C disposed on the base frame 38 are exclusively used for supporting unprocessed wafers W, while the three lifter pins 40A to 40C disposed on the other base frame 40 are exclusively used for supporting processed wafers W. The following explanation will take as an example a case where an unprocessed wafer W transferred in from the entrance transfer chamber 10, and a processed wafer W is replaced with the unprocessed wafer W.
  • First, the lifter pins 40A to 40C of the base frame 40 supporting the processed wafer W are placed at an upper position, and the unoccupied lifter pins 38A to 38C of the other base frame 38 are placed at a lower position. In this sate, the unoccupied pick 32A is moved in a horizontal direction into the intermediate chamber 8A, and inserted into a position below the processed wafer W (between the wafer W and base frame 40). Then, the lifter pins 40A to 40C are moved down to transfer the processed wafer W onto the pick 32A. Then, the pick 32A is moved backward to retreat from the intermediate chamber 8A.
  • Then, the other pick 34A holding the unprocessed wafer W is moved in a horizontal direction into the intermediate chamber 8A. Then, the other base frame 38 at the lower position is moved up, so that the lifter pins 38A to 38C receive the unprocessed wafer W from below. Then, the unoccupied pick 34A is moved backward to retreat from the intermediate chamber 8A. As a consequence, the processed wafer W is replaced with the unprocessed wafer W. Basically the same operation is performed when a wafer W is transferred between the common transfer chamber 6 and intermediate chamber 8A.
  • In the operation described above, the lifter pins 38A to 38C keep supporting the unprocessed wafer W until the wafer W is transferred onto the first transfer means 14, after receiving the wafer W from the second transfer means 22. During this time, the lifter pins 40A to 40C support no wafer W. On the other hand, the lifter pins 40A to 40C keep supporting the processed wafer W until the wafer W is transferred onto the second transfer means 22, after receiving the wafer W from the first transfer means 14. During this time, the lifter pins 38A to 38C support no wafer W. In summary, the lifter pins 38A to 38C and the lifter pins 40A to 40C alternatively support a wafer W. This matter is also common to the following embodiments. The two groups of the lifter pins 38A to 38C and lifter pins 40A to 40C can be arbitrarily set to be used either for unprocessed wafers W or for processed wafers W.
  • The first embodiment includes a plurality of, e.g., two, base frames 38 and 40, each having a plurality of, e.g., three, lifter pins 38A to 38C or 40A to 40C disposed thereon. One group of lifter pins 38A to 38C is exclusively used for transferring unprocessed wafers W, and the other group of lifter pins 40A to 40C is exclusively used for transferring processed wafers W. As a consequence, unprocessed wafers W are prevented from being contaminated by substances or particles derived from thin films.
  • Furthermore, even if one of the base frames or actuators malfunctions, the other base frame can keep operating to move up and down to transfer a wafer W, as described above. Accordingly, this improves the flexibility of usage.
  • The first embodiment includes the two base frames 38 and 40, but may have a third base frame or more, which are disposed not to interfere with the two base frames 38 and 40, and each provided with lifter pins, as described above.
  • The supporting mechanisms disclosed in the publication mentioned in “Background Art” include two lifters for moving a wafer up and down, and transfer a wafer, using the two lifters at the same time. Accordingly, it is difficult to use the supporting mechanisms flexibly in light of the situation. More specifically, for example, the supporting mechanism disclosed in Jpn. Pat. Appln. KOKAI Publication No. 9-223727 includes two sets of target substrate supporting means (support pins), which can be moved independently of each other. The two sets of supporting means support only one target substrate in cooperation with each other, or the two sets of supporting means simultaneously and respectively support an unprocessed target substrate and a processed target substrate.
  • On the other hand, the substrate supporting mechanism according to the first embodiment includes two (a plurality of) sets of target substrate supporting means (corresponding to the two base frames 38 and 40 in this embodiment), which are disposed not to interfere with each other, and support target substrates W at substantially the same horizontal coordinate position to transfer them to and from a transfer unit. The two (a plurality of) sets of target substrate supporting means alternatively support a target substrate (only one set of supporting means supports one target substrate at a time). In other words, when one set of supporting means supports a target substrate, the other set of supporting means supports no target substrate. Accordingly, the supporting mechanism according to the first embodiment has a structure completely different from that of the prior art described above. This matter is also common to the following embodiments.
  • Second Embodiment
  • The first embodiment includes a plurality of, e.g., two, base frames each provided with a plurality of, e.g., three, lifter pins 38A to 38C or 40A to 40C. Instead, there may be lifter pins 38A to 38C and 40A to 40C respectively provided with actuators one by one. In such an aspect, FIG. 6 is a view schematically showing the placement of actuators used in a supporting mechanism according to a second embodiment of the present invention. FIG. 7 is a plan view showing the placement of lifter pins used in the supporting mechanism shown in FIG. 6.
  • As shown in FIG. 6, the lifter pins 38A to 38C and 40A to 40C are divided into two groups, i.e., a first group of lifter pins 38A to 38C and a second group of lifter pins 40A to 40C. The lifter pins are respectively provided with actuators 80A to 80C and 82A to 82C correspondingly disposed therebelow.
  • As shown in FIG. 7, the actuators 80A to 80C and 82A to 82C are disposed along one circle, but FIG. 6 shows them on one plane, for the sake of comprehension. It may be arranged such that one group of actuators 80A to 80C are disposed on one circle, and the other group of actuators 82A to 82C are disposed along a different circle. The lifter pins 38A to 38C and 40A to 40C are respectively connected to the tips of the reciprocation rods 84A to 84C and 86A to 86C of the actuators 80A to 80C and 82A to 82C. The vertical movement of the actuators 80A to 80C and 82A to 82C are controlled by a vertical movement controller 68. Those portions of the intermediate chamber bottom plate 44, where the reciprocation rods 84A to 84C and 86A to 86C penetrate, are respectively provided with bellows 88.
  • The actuators 80A to 80C connected to one group of lifter pins 38A to 38C are operated to perform synchronous vertical movement. Similarly, the actuators 82A to 82C connected to the other group of lifter pins 40A to 40C are operated to perform synchronous vertical movement. The group of actuators 80A to 80C and the group of actuators 82A to 82C can be controlled independently of each other to perform vertical movement.
  • Also in the second embodiment, each group of lifter pins, i.e., the group of lifter pins 38A to 38C or lifter pins 40A to 40C, are controlled to synchronously move up and down. As a consequence, the second embodiment can provide the same operation and effect as those of the first embodiment described above. For example, one group of lifter pins 38A to 38C is exclusively used for transferring unprocessed wafers W, and the other group of lifter pins 40A to 40C is exclusively used for transferring processed wafers W.
  • Third Embodiment
  • The first embodiment includes a plurality of, e.g., two, base frames each provided with a plurality of, e.g., three, lifter pins 38A to 38C or 40A to 40C. Instead, there may be base frames 38 and 40 provided with no lifter pins 38A to 38C and 40A to 40C, but configured to function as holder plates, each of which comes into direct contact with the bottom of a wafer W to support it by the top face. In such an aspect, FIG. 8 is a plan view showing base frames (holder plates) used in a supporting mechanism according to a third embodiment of the present invention.
  • As shown in FIG. 8, the two base frames 38 and 40 are provided with no lifter pins thereon. Each of the base frames 38 and 40 come into direct contact with the bottom of a wafer W to support the wafer W. It should be noted that the two base frames 38 and 40 are arranged not to interfere with a pick, e.g., pick 32A, during transfer of a wafer. For this reason, the base frames 38 and 40 shown in FIG. 8 has a smaller size than the base frames 38 and 40 of the first embodiment shown in FIG. 3. The third embodiment can also provide the same operation and effect as those of the first embodiment described above.
  • Fourth Embodiment
  • The second embodiment includes no base frames, but includes lifter pins directly connected to reciprocation rods. The third embodiment includes no lifter pins, but includes base frames configured to directly support a wafer. These features of them can be combined for use. In such an aspect, FIG. 9 is a perspective view showing a supporting mechanism according to a fourth embodiment of the present invention. FIG. 10 is a plan view showing the supporting mechanism shown in FIG. 9.
  • As shown in FIGS. 9 and 10, this supporting mechanism includes lifter pins 38A and 40A provided with an associated structure the same as that shown in FIGS. 6 and 7. Specifically, the two lifter pins 38A and 40A are directly connected to the reciprocation rod 84A of an actuator 80A and the reciprocation rod 86A of an actuator 82A, respectively. However, the supporting mechanism includes no other lifter pins 38B, 38C, 40B, and 40C (see FIG. 3), but includes base frames 38 and 40 the same as those of the third embodiment shown in FIG. 8 to compensate for the function of the omitted lifter pins. Specifically, each of the base frames 38 and 40 is arranged to directly support the bottom of a wafer. In this case, however, the base frames 38 and 40 do not have a numeral “7” shape shown in FIG. 4, but have, e.g., a “U” shape, formed by excluding the portion that supports the lifter pin 38A or 40A.
  • In this case, the lifter pin 38A and base frame 38 form one group and are synchronously moved up and down. Also, the other lifter pins 40A and base frame 40 form one group and are synchronously moved up and down. The fourth embodiment can also provide the same operation and effect as those of the first embodiment described above.
  • Fifth Embodiment
  • Each of the first to fourth embodiments cannot handle two wafers at a time, but handles always only one wafer. However, means for secondarily supporting a wafer may be disposed to handle a plurality of, e.g., two, wafers at a time. In such an aspect, FIG. 11 is a perspective view showing a supporting mechanism according to a fifth embodiment of the present invention. FIG. 12 is a plan view showing the supporting mechanism shown in FIG. 11.
  • As shown in FIGS. 11 and 12, a supporting mechanism according to any one of the first to fourth embodiments is disposed at the center of an intermediate chamber 8A. A pair of reciprocation rods 90 and 92 are disposed outside the vertical movement area where a wafer W is moved up and down by the supporting mechanism. The reciprocation rods 90 and 92 are disposed at positions shifted by 90 degrees from gate valves G5 and G7 provided on opposite sides of the intermediate chamber 8A, so that they do not interfere with a pick 14A, 14B, 32A, or 34A to be inserted when a wafer is transferred into and out of the intermediate chamber 8A. FIGS. 11 and 12 shows a case where the supporting mechanism according to the first embodiment is disposed at the center of the intermediate chamber 8A. Those portions of the bottom plate 44 of the intermediate chamber, where the reciprocation rods 90 and 92 penetrate, are respectively provided with bellows 94 and 96, which maintain the interior of the intermediate chamber airtight, while allowing the reciprocation rods 90 and 92 to be moved up and down.
  • The reciprocation rods 90 and 92 are respectively moved up and down by actuators (not shown) disposed therebelow, which perform synchronous vertical movement. The reciprocation rods 90 and 92 are moved up and down by a stroke larger than that of the reciprocation rods 50 and 52. The reciprocation rods 90 and 92 may be connected to each other at the bottom, so that only one actuator suffices for them.
  • Support plates 98 and 100 made of, e.g., ceramic are respectively fixed to the tops of the reciprocation rods 90 and 92, and horizontally extend toward the center of the intermediate chamber 8A. The support plates 98 and 100 have a “T” shape in this embodiment, with top faces to hold a wafer W transferred by the first and second transfer means 14 and 22, while they are in direct contact with the bottom of the wafer W. The other intermediate chamber 8B is also provided with the same supporting mechanism as that disposed in the intermediate chamber 8A, as described above.
  • According to the fifth embodiment having the arrangement described above, the lifter pins 38A to 38C and 40A to 40C are operated, as in the first embodiment, while the pair of support plates 98 and 100 hold an unprocessed wafer W at a higher position, as shown in FIG. 11, for example. In other words, one wafer W is kept waiting at a higher position by the support plates 98 and 100, while another wafer is transferred therebelow into and from the intermediate chamber. As a consequence, the flexibility in handling target substrates improves.
  • Sixth Embodiment
  • The embodiments described above include a plurality of, e.g., two, base frames 38 and 40, which are disposed not to overlap with each other in the plan view. Instead, there may be base frames 38 and 40 disposed to overlap with each other in the plan view, i.e., to be stacked one above the other, thereby reducing the occupied space in the plan view. In such an aspect, FIG. 13 is a perspective view showing a supporting mechanism according to a sixth embodiment of the present invention. FIG. 14 is a plan view showing the supporting mechanism shown in FIG. 13.
  • As shown in FIGS. 13 and 14, a first base frame 38 is disposed above a second base frame 40, so that they vertically overlap with each other. The first base frame 38 is supported at the center by a first reciprocation rod, e.g., rod 50. The second base frame 40 is supported at the center by a second reciprocation rod, e.g., rod 52. The first and second reciprocation rods 50 and 52 form a coaxial structure, and can be moved up and down independently of each other. The two rods 50 and 52 may be disposed in parallel with each other, in place of the coaxial structure.
  • The first reciprocation rod 50 is connected to a first actuator, e.g., actuator 46 (see FIG. 2) at the bottom. The second reciprocation rod 52 is connected to a second actuator, e.g., actuator 48 (see FIG. 2) at the bottom. A longitudinally flexible first bellows 110 is interposed between the first base frame 38 and second base frame 40, and covers the first reciprocation rod 50. A longitudinally flexible second bellows 112 is interposed between the second base frame 40 and a transfer chamber bottom (not shown), and covers second reciprocation rod 52.
  • The second base frame 40 is formed of an almost circular plate, which is provided with a plurality of, e.g., three in this example, lifter pins 40A to 40C standing on the periphery. The top ends of the lifter pins 40A to 40C extend beyond the first base frame 38, to support a wafer W thereon. The lifter pins 40A to 40C are disposed on the periphery of the second base frame 40 at almost regular intervals in the angular direction.
  • On the other hand, the first base frame 38 has a deformed triangle shape, which is formed by curving the three sides of a regular triangle toward the center, so that it does not interfere with the three lifter pins 40A to 40C. The first base frame 38 is configured to come into direct contact with a wafer W to hold it by the top face. In the sixth embodiment, the top ends of the lifter pins 40A to 40C of the second base frame 40 are moved up beyond the first base frame 38, when they support a wafer W.
  • The sixth embodiment can provide an operation similar to that of the first embodiment described above. Specifically, the top face of the first base frame 38, and the lifter pins 40A to 40C of the second base frame 40 alternatively support a wafer W.
  • Since the two base frames 38 and 40 are stacked one above the other, the base frames 38 and 40 can be smaller and reduce the occupied area in the plan view. As a consequence, a pick with a smaller tip opening angle can be used for transferring a wafer without interfering with the base frames 38 and 40. Furthermore, during transfer of a wafer, the supporting mechanism can be easily controlled to perform vertical movement.
  • The sixth embodiment includes the first base frame 38 configured to support a wafer W by the top face. Instead, there may be a first base frame 38 provided with lifter pins. In such an aspect, FIG. 15 is a view showing a supporting mechanism according to a modification of the sixth embodiment. As shown in FIG. 15, the first base frame 38 is provided with a plurality of, e.g., three in this example, lifter pins 38A to 38C standing on the periphery. The lifter pins 38A to 38C are configured to support a wafer W by the top ends.
  • In the embodiments described above, a supporting mechanism is disposed in the intermediate chambers 8A and 8B, each formed of an airtight chamber that can be vacuum exhausted. Instead, a supporting mechanism may be disposed in a free space within the entrance transfer chamber 10 or common transfer chamber 6, so that it functions as a waiting position of a wafer.
  • In the embodiments described above, where the base frames 38 and 40 or support plates 98 and 100 are configured to support a wafer W by the top face, the top face comes into direct contact with the bottom of the wafer W. Instead, the top face may be provided with a plurality of projections having a height of about 1 mm and a diameter of about 5 mm, so that the projections come into contact with the bottom of a wafer to support it. Alternatively, the top face may be provided with a recess to hold a wafer W therein.
  • In the embodiments described above, the controller performs control such that one group of lifter pins or base frame supports unprocessed wafers W, while the other group of lifter pins or base frame supports processed wafers. Instead, discriminatory use of a plurality of lifter pins or base frames may be determined, depending on the type of a process (film-formation, etching, etc) to be performed on wafers W, the temperature of wafers W (timing before or after heating or cooling of wafers W), or other conditions of wafers W, (also taking into consideration a case where a supporting mechanism according to each of the embodiments is used in a common transfer chamber 6 or the like).
  • In the embodiments described above, the lifter pins or base frames are made of the same material. Instead, the lifter pins or base frames may be respectively made of different materials (for example, a heat-resistant material, heat-conductive material, and so forth), depending on some conditions of wafers W to be supported.
  • Furthermore, the controller may be arranged such that the respective numbers of wafers supported by the lifter pins or base frames become almost the same. This arrangement allows the time period between cleaning operations of wafer-contact portions to be increased, and also allows the service life of members, such as a bellows, of a supporting mechanism to be longer. Incidentally, a supporting mechanism according to the present invention may also be provided with a mechanism for rotating a wafer W.
  • In the embodiments described above, a semiconductor wafer is handled as a target substrate. The present invention may also be applied to a glass substrate or LCD substrate.

Claims (19)

1. A supporting mechanism used for transferring a target substrate in cooperation with a transfer arm, in a semiconductor processing system, the supporting mechanism comprising:
first and second holding portions each configured to be moved up and down and transfer a substrate to and from the transfer arm, the first and second holding portions being configured to be moved relative to each other in a vertical direction without spatially interfering with each other, and support substrates at substantially the same horizontal coordinate position;
first and second drives configured to move the first and second holding portions up and down; and
a controller configured to control the first and second drives, the controller being arranged to control the first and second drives to alternatively support a substrate by the first and second holding portions.
2. The supporting mechanism according to claim 1, wherein each of the first and second holding portions comprises a plurality of lifter pins having top ends, on which a substrate is placed.
3. The supporting mechanism according to claim 1, wherein each of the first and second holding portions comprises a holder plate having a top face, on which a substrate is placed.
4. The supporting mechanism according to claim 1, wherein one of the first and second holding portions comprises a plurality of lifter pins having top ends, on which a substrate is placed, and the other of the first and second holding portions comprises a holder plate having a top face, on which a substrate is placed.
5. The supporting mechanism according to claim 1, wherein each of the first and second holding portions comprises a lifter pin and a holder plate, the lifter pin and the holder plate respectively having a top end and a top face, on which a substrate is placed.
6. The supporting mechanism according to claim 2, wherein each of the first and second holding portions comprises a base frame, and the plurality of lifter pins stand on the base frame.
7. The supporting mechanism according to claim 6, wherein the base frames of the first and second holding portions are disposed not to overlap with each other in plan view, and comprise extension portions extending toward each other and mutually beyond tips of the extension portions, and one of the lifter pins is supported near each of the tips of the extension portion.
8. The supporting mechanism according to claim 6, wherein the base frames of the first and second holding portions are stacked one above the other, and configured to be moved up and down by respective driving rods.
9. The supporting mechanism according to claim 3, wherein the holder plates comprise extension portions disposed not to overlap with each other in plan view, and extending toward each other and mutually beyond tips of the extension portions.
10. The supporting mechanism according to claim 4, wherein the plurality of lifter pins are disposed around a central axis of the holder plate.
11. The supporting mechanism according to claim 10, wherein the plurality of lifter pins are supported by a base frame disposed below the holder plate, and the holder plate and the base frame are configured to be moved up and down by respective driving rods.
12. The supporting mechanism according to claim 8, wherein the driving rods form a coaxial structure.
13. The supporting mechanism according to claim 2, wherein the plurality of lifter pins are configured to be respectively driven by actuators belonging to the first drive or the second drive, and the number of the actuators is the same as the number of lifter pins.
14. The supporting mechanism according to claim 2, wherein the plurality of lifter pins are substantially disposed on one circle at substantially regular intervals.
15. The supporting mechanism according to claim 1, further comprising a pair of auxiliary holding portions for holding a substrate, disposed at positions sandwiching the first and second holding portions and configured to be moved up and down, wherein a position where the pair of auxiliary holding portions hold a substrate is above a position where the first and second holding portions hold a substrate.
16. The supporting mechanism according to claim 1, wherein the first and second holding portions are disposed in an airtight chamber configured to be vacuum-exhausted, the first and second drives are disposed outside the airtight chamber and connected to the first and second holding portions respectively through driving rods, and portions of the airtight chamber, where the driving rods penetrate, are respectively provided with longitudinally flexible bellows, which maintain an interior of the airtight chamber airtight.
17. The supporting mechanism according to claim 1, wherein the controller controls the first and second drives such that the respective numbers of substrates supported by the first and second holding portions become almost the same.
18. The supporting mechanism according to claim 1, wherein the controller controls the first and second drives such that first-state substrates are respectively supported by the first holding portion, and second-state substrates are respectively supported by the second holding portion.
19. The supporting mechanism according to claim 11, wherein the driving rods form a coaxial structure.
US10/503,947 2002-02-25 2003-01-29 Substrate support mechanism for semiconductor processing system Abandoned US20050155823A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/774,335 US7857569B2 (en) 2002-02-25 2007-07-06 Semiconductor processing system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002047509A JP4244555B2 (en) 2002-02-25 2002-02-25 Support mechanism for workpiece
JP2002-47509 2002-02-25
PCT/JP2003/000845 WO2003071600A1 (en) 2002-02-25 2003-01-29 Substrate support mechanism in semiconductor processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/774,335 Division US7857569B2 (en) 2002-02-25 2007-07-06 Semiconductor processing system

Publications (1)

Publication Number Publication Date
US20050155823A1 true US20050155823A1 (en) 2005-07-21

Family

ID=27750698

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/503,947 Abandoned US20050155823A1 (en) 2002-02-25 2003-01-29 Substrate support mechanism for semiconductor processing system
US11/774,335 Expired - Fee Related US7857569B2 (en) 2002-02-25 2007-07-06 Semiconductor processing system

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/774,335 Expired - Fee Related US7857569B2 (en) 2002-02-25 2007-07-06 Semiconductor processing system

Country Status (7)

Country Link
US (2) US20050155823A1 (en)
EP (1) EP1482545A4 (en)
JP (1) JP4244555B2 (en)
KR (1) KR100598196B1 (en)
CN (1) CN1300834C (en)
TW (1) TWI246145B (en)
WO (1) WO2003071600A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060017893A1 (en) * 2004-07-22 2006-01-26 Asml Netherlands B.V. Lithographic apparatus
CN103250238A (en) * 2010-12-24 2013-08-14 川崎重工业株式会社 Transportation robot, substrate transportation method therefor, and substrate transportation relay apparatus
US20150348823A1 (en) * 2014-06-02 2015-12-03 Applied Materials, Inc. Lift pin assembly
US10157768B2 (en) * 2016-09-26 2018-12-18 Nuflare Technology, Inc. Substrate processing apparatus, transfer method, and susceptor
CN112639164A (en) * 2018-09-28 2021-04-09 应用材料公司 Coaxial lifting device with dynamic leveling
US20220106705A1 (en) * 2018-12-25 2022-04-07 Sumco Corporation Wafer transport device, vapor deposition device, wafer transport method, and method for manufacturing epitaxial silicon wafer
US11484971B2 (en) * 2018-07-25 2022-11-01 Japan Display Inc. Manufacturing device for mask unit
US11885022B2 (en) 2018-05-23 2024-01-30 Shin-Etsu Chemical Co., Ltd. Method of forming a film on a substrate by chemical vapor deposition

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005259870A (en) * 2004-03-10 2005-09-22 Nikon Corp Substrate retainer, stage device, exposing device and exposing method
JP4744175B2 (en) * 2005-03-31 2011-08-10 東京エレクトロン株式会社 Substrate processing equipment
US8353986B2 (en) 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
JP4440178B2 (en) * 2005-07-25 2010-03-24 東京エレクトロン株式会社 Substrate transfer device
JP4754304B2 (en) * 2005-09-02 2011-08-24 東京エレクトロン株式会社 Substrate processing apparatus, load lock chamber unit, and carrying-out method of transfer apparatus
KR100779027B1 (en) * 2006-05-25 2007-11-23 세크론 주식회사 Semiconductor chip lifting device
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
SG174093A1 (en) * 2006-08-22 2011-09-29 Nordson Corp Apparatus and methods for handling workpieces in a processing system
KR101226942B1 (en) 2007-11-13 2013-01-28 가부시키가이샤 아루박 Movable element and processing stage
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
JP5185054B2 (en) * 2008-10-10 2013-04-17 東京エレクトロン株式会社 Substrate transport method, control program, and storage medium
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
CN102148176B (en) * 2010-02-09 2013-02-06 北京北方微电子基地设备工艺研究中心有限责任公司 Lifting device and semiconductor device processing equipment with same
JP5141707B2 (en) * 2010-03-24 2013-02-13 株式会社安川電機 SUPPORT MECHANISM AND SUPPORT METHOD FOR PROCESSED BODY AND CONVEYING SYSTEM HAVING THE SAME
US8420554B2 (en) * 2010-05-03 2013-04-16 Memc Electronic Materials, Inc. Wafer support ring
US8657352B2 (en) 2011-04-11 2014-02-25 International Business Machines Corporation Robotic device for substrate transfer applications
JP5854741B2 (en) * 2011-10-04 2016-02-09 株式会社アルバック Substrate processing equipment
US8936293B2 (en) 2011-12-21 2015-01-20 International Business Machines Corporation Robotic device for substrate transfer applications
TWM431163U (en) * 2012-01-31 2012-06-11 Shengjia Prec Co Ltd Glass substrate transportation device
GB201202262D0 (en) 2012-02-09 2012-03-28 Aes Eng Ltd Mechanical seal faces synthetic diamond coating fixture
US9633883B2 (en) 2015-03-20 2017-04-25 Rohinni, LLC Apparatus for transfer of semiconductor devices
CN106356317A (en) * 2015-07-15 2017-01-25 英属开曼群岛商精曜有限公司 Picking and placing cavity
JP6564642B2 (en) 2015-07-23 2019-08-21 東京エレクトロン株式会社 Substrate transfer chamber, substrate processing system, and gas replacement method in substrate transfer chamber
JP6639175B2 (en) * 2015-09-29 2020-02-05 東京エレクトロン株式会社 Drying apparatus and drying method
JP6942121B2 (en) * 2015-10-15 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board carrier system
JP6650841B2 (en) * 2016-06-27 2020-02-19 東京エレクトロン株式会社 Substrate lifting mechanism, substrate mounting table and substrate processing device
CN106340478A (en) * 2016-10-09 2017-01-18 无锡宏纳科技有限公司 Support bench of wafer spray apparatus
US10141215B2 (en) 2016-11-03 2018-11-27 Rohinni, LLC Compliant needle for direct transfer of semiconductor devices
US10471545B2 (en) 2016-11-23 2019-11-12 Rohinni, LLC Top-side laser for direct transfer of semiconductor devices
US10504767B2 (en) 2016-11-23 2019-12-10 Rohinni, LLC Direct transfer apparatus for a pattern array of semiconductor device die
US10062588B2 (en) 2017-01-18 2018-08-28 Rohinni, LLC Flexible support substrate for transfer of semiconductor devices
KR102095984B1 (en) * 2018-02-23 2020-04-02 피에스케이홀딩스 (주) Apparatus and method for treating substrate
US10410905B1 (en) 2018-05-12 2019-09-10 Rohinni, LLC Method and apparatus for direct transfer of multiple semiconductor devices
US11094571B2 (en) 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
CN109343248A (en) * 2018-12-06 2019-02-15 深圳市华星光电半导体显示技术有限公司 Vacuum forming apparatus and its method for being detached from display panel
KR102188777B1 (en) * 2019-02-12 2020-12-09 세메스 주식회사 Substrate processing apparatus and substrate processing method
JP7285157B2 (en) 2019-07-26 2023-06-01 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE PROCESSING METHOD
JP7418241B2 (en) * 2020-02-27 2024-01-19 東京エレクトロン株式会社 Positioning device, processing system and positioning method
CN115074671A (en) * 2021-03-11 2022-09-20 鑫天虹(厦门)科技有限公司 Shielding mechanism and substrate processing chamber with same
JP2022147234A (en) * 2021-03-23 2022-10-06 株式会社Screenホールディングス Substrate processing device and substrate lifting device

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5823736A (en) * 1995-03-06 1998-10-20 Dainippon Screen Mfg. Co., Ltd. Substrate processing device and method for substrate from the substrate processing device
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
US5989346A (en) * 1995-12-12 1999-11-23 Tokyo Electron Limited Semiconductor processing apparatus
US6109677A (en) * 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
US6140256A (en) * 1995-11-28 2000-10-31 Tokyo Electron Limited Method and device for treating semiconductor with treating gas while substrate is heated
US6193807B1 (en) * 1997-05-15 2001-02-27 Tokyo Electron Limited Substrate conveying device and substrate conveying method
US6247579B1 (en) * 1999-01-18 2001-06-19 Tokyo Ohka Kogyo Co., Ltd Substrate transfer apparatus and method of substrate transfer
US6331095B1 (en) * 1998-04-04 2001-12-18 Tokyo Electron Limited Transportation system and processing apparatus employing the transportation system
US6403924B1 (en) * 1999-10-12 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of heat treatment and substrate processing apparatus
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
US6607380B1 (en) * 1999-05-14 2003-08-19 Canon Kabushiki Kaisha Substrate conveying system and device manufacturing method using the same
US6676761B2 (en) * 2000-07-20 2004-01-13 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6837672B1 (en) * 1997-05-15 2005-01-04 Tokyo Electron Limited Apparatus for and method of transferring substrates

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3260427B2 (en) * 1991-09-10 2002-02-25 東京エレクトロン株式会社 Vacuum processing apparatus and substrate transfer method in vacuum processing apparatus
JPH0469917A (en) 1990-07-10 1992-03-05 Nec Corp Multiple compartment type vacuum processor
KR0162102B1 (en) * 1991-05-29 1999-02-01 이노우에 아키라 Semiconductor manufacturing apparatus
JPH0687507A (en) 1992-09-09 1994-03-29 Nikon Corp Substrate carrying device
JP3174691B2 (en) * 1994-08-25 2001-06-11 大日本スクリーン製造株式会社 Board exchange device
JPH08203986A (en) 1995-01-20 1996-08-09 Ulvac Japan Ltd Vacuum plasma treatment equipment
JP3005461B2 (en) * 1995-11-24 2000-01-31 日本電気株式会社 Electrostatic chuck
JP3650495B2 (en) 1995-12-12 2005-05-18 東京エレクトロン株式会社 Semiconductor processing apparatus, substrate replacement mechanism and substrate replacement method thereof
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
JP3735175B2 (en) * 1997-03-04 2006-01-18 大日本スクリーン製造株式会社 Substrate processing equipment
JP4253365B2 (en) * 1997-10-17 2009-04-08 オリンパス株式会社 Wafer transfer device
JP2000208589A (en) 1998-11-09 2000-07-28 Tokyo Electron Ltd Apparatus for processing
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP2000299367A (en) 1999-04-15 2000-10-24 Tokyo Electron Ltd Processing apparatus and transfer method of article to be processed
KR100551806B1 (en) * 1999-09-06 2006-02-13 동경 엘렉트론 주식회사 Transfer apparatus and accommodating apparatus for semiconductor process, and semiconductor processing system
JP2001176947A (en) 1999-12-20 2001-06-29 Nikon Corp Substrate supporter and substrate processor
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
JP4470274B2 (en) 2000-04-26 2010-06-02 東京エレクトロン株式会社 Heat treatment equipment
EP1274121A1 (en) * 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Wafer chuck for supporting a semiconductor wafer
US7301623B1 (en) * 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5823736A (en) * 1995-03-06 1998-10-20 Dainippon Screen Mfg. Co., Ltd. Substrate processing device and method for substrate from the substrate processing device
US6140256A (en) * 1995-11-28 2000-10-31 Tokyo Electron Limited Method and device for treating semiconductor with treating gas while substrate is heated
US5989346A (en) * 1995-12-12 1999-11-23 Tokyo Electron Limited Semiconductor processing apparatus
US6837672B1 (en) * 1997-05-15 2005-01-04 Tokyo Electron Limited Apparatus for and method of transferring substrates
US6193807B1 (en) * 1997-05-15 2001-02-27 Tokyo Electron Limited Substrate conveying device and substrate conveying method
US6962477B2 (en) * 1997-05-15 2005-11-08 Tokyo Electron Limited Apparatus for and method of transferring substrates
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
US6331095B1 (en) * 1998-04-04 2001-12-18 Tokyo Electron Limited Transportation system and processing apparatus employing the transportation system
US6109677A (en) * 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
US6247579B1 (en) * 1999-01-18 2001-06-19 Tokyo Ohka Kogyo Co., Ltd Substrate transfer apparatus and method of substrate transfer
US6607380B1 (en) * 1999-05-14 2003-08-19 Canon Kabushiki Kaisha Substrate conveying system and device manufacturing method using the same
US6403924B1 (en) * 1999-10-12 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of heat treatment and substrate processing apparatus
US6676761B2 (en) * 2000-07-20 2004-01-13 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060017893A1 (en) * 2004-07-22 2006-01-26 Asml Netherlands B.V. Lithographic apparatus
US7161663B2 (en) * 2004-07-22 2007-01-09 Asml Netherlands B.V. Lithographic apparatus
CN103250238A (en) * 2010-12-24 2013-08-14 川崎重工业株式会社 Transportation robot, substrate transportation method therefor, and substrate transportation relay apparatus
US20140003890A1 (en) * 2010-12-24 2014-01-02 Kawasaki Jukogyo Kabushiki Kaisha Transfer robot, its substrate transfer method and substrate transfer relay device
US9368381B2 (en) * 2010-12-24 2016-06-14 Kawasaki Jukogyo Kabushiki Kaisha Transfer robot, its substrate transfer method and substrate transfer relay device
US20150348823A1 (en) * 2014-06-02 2015-12-03 Applied Materials, Inc. Lift pin assembly
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10157768B2 (en) * 2016-09-26 2018-12-18 Nuflare Technology, Inc. Substrate processing apparatus, transfer method, and susceptor
US11885022B2 (en) 2018-05-23 2024-01-30 Shin-Etsu Chemical Co., Ltd. Method of forming a film on a substrate by chemical vapor deposition
US11484971B2 (en) * 2018-07-25 2022-11-01 Japan Display Inc. Manufacturing device for mask unit
CN112639164A (en) * 2018-09-28 2021-04-09 应用材料公司 Coaxial lifting device with dynamic leveling
US20220106705A1 (en) * 2018-12-25 2022-04-07 Sumco Corporation Wafer transport device, vapor deposition device, wafer transport method, and method for manufacturing epitaxial silicon wafer

Also Published As

Publication number Publication date
CN1300834C (en) 2007-02-14
KR100598196B1 (en) 2006-07-07
TW200305965A (en) 2003-11-01
EP1482545A4 (en) 2009-08-26
US20080187416A1 (en) 2008-08-07
KR20040105729A (en) 2004-12-16
CN1639855A (en) 2005-07-13
JP4244555B2 (en) 2009-03-25
US7857569B2 (en) 2010-12-28
JP2003249536A (en) 2003-09-05
TWI246145B (en) 2005-12-21
WO2003071600A1 (en) 2003-08-28
EP1482545A1 (en) 2004-12-01

Similar Documents

Publication Publication Date Title
US7857569B2 (en) Semiconductor processing system
US7090741B2 (en) Semiconductor processing system
US8382088B2 (en) Substrate processing apparatus
US7628574B2 (en) Apparatus and method for processing substrates using one or more vacuum transfer chamber units
KR100940958B1 (en) Wafer transport apparatus
KR100310249B1 (en) Substrate Processing Equipment
JP3947761B2 (en) Substrate processing apparatus, substrate transfer machine, and substrate processing method
JP5503006B2 (en) Substrate processing system, transfer module, substrate processing method, and semiconductor device manufacturing method
JP2622046B2 (en) Substrate transfer device
US20130302115A1 (en) Vacuum processing apparatus
US20120201634A1 (en) Transfer device and semiconductor processing system
US20070107845A1 (en) Semiconductor processing system
KR20010023014A (en) Wafer handler for multi-station tool
US9355878B2 (en) Substrate processing apparatus
JP4645696B2 (en) Support mechanism and load lock chamber of workpiece
KR102267964B1 (en) Dodecagonal transfer chamber and processing system having same
JPH09104982A (en) Substrate treating device
JPH07335717A (en) Buffer device for treated article and treating device using this buffer device and its conveying method
CN113169107B (en) load lock chamber
JP7316121B2 (en) Substrate transfer device and substrate transfer method
JPH09107015A (en) Substrate treater
JP4356480B2 (en) Transport equipment and semiconductor manufacturing equipment
JP2003007794A (en) Substrate carrying mechanism and substrate treatment device
JP2003115523A (en) Method and apparatus for substrate treatment
JP2001267396A (en) Semiconductor manufacturing equipment

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HIROKI, TSUTOMU;SAEKI, HIROAKI;REEL/FRAME:015695/0182

Effective date: 20040723

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION