US20050156154A1 - Protecting Silicon Germanium Sidewall with Silicon for Strained Silicon/Silicon Germanium MOSFETs - Google Patents

Protecting Silicon Germanium Sidewall with Silicon for Strained Silicon/Silicon Germanium MOSFETs Download PDF

Info

Publication number
US20050156154A1
US20050156154A1 US10/707,840 US70784004A US2005156154A1 US 20050156154 A1 US20050156154 A1 US 20050156154A1 US 70784004 A US70784004 A US 70784004A US 2005156154 A1 US2005156154 A1 US 2005156154A1
Authority
US
United States
Prior art keywords
silicon
silicon layer
raised
semiconductor structure
drain region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/707,840
Other versions
US7202132B2 (en
Inventor
Huilong Zhu
Bruce Doris
Dan Mocuta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/707,840 priority Critical patent/US7202132B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DORIS, BRUCE B., MOCUTA, M. DAN, ZHU, HUILONG
Publication of US20050156154A1 publication Critical patent/US20050156154A1/en
Priority to US11/278,910 priority patent/US7498602B2/en
Application granted granted Critical
Publication of US7202132B2 publication Critical patent/US7202132B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Definitions

  • the invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a semiconductor device that includes strained silicon/silicon germanium field effect transistors with a protective silicon layer.
  • SOI Silicon On Insulator
  • RSD raised source/drain
  • selective epitaxial growth of silicon has been used to grow silicon on the source/drain and the top of poly gates, leaving no silicon on gate sidewall spacers.
  • epitaxial growth must take place at temperatures as high as 750° C., which may cause significant transient enhanced diffusion of dopants.
  • the unnecessary dopant redistribution in halo/extension regions degrades the performance of devices and short channel immunity.
  • SOI film it can be difficult to form epitaxial silicon without causing silicon agglomeration due to possible contamination of carbon in certain chemical vapor deposition tools.
  • Another technique involves SiGe selective epitaxial growth on source/drain regions.
  • this technique eliminates transient enhanced diffusion of dopants which may otherwise occur at high temperatures.
  • Ge degrades silicide contact formation and contact resistance due to its inherent function as a diffusion barrier.
  • the surface of SiGe may be capped with additional epitaxial silicon, using a high temperature process, which may cause transient enhanced diffusion.
  • the invention is directed to overcoming one or more of the problems as set forth above.
  • a method of fabricating a semiconductor structure entails forming a raised source region on a substrate. A raised drain region is also formed on the substrate. Next, a first silicon layer is formed over the raised source region and a second silicon layer is formed over the raised drain region.
  • the method includes forming sacrificial spacers along the sidewalls of the first silicon layer and the second silicon layer. After forming the spacers, third and fourth silicon layers are formed on the raised source and raised drain regions, respectively.
  • a semiconductor structure in a third aspect of the invention, includes a substrate; a raised source region on the substrate, a raised drain region on the substrate; a first silicon layer over the raised source region and a second silicon layer over the raised drain region.
  • the raised source and drain regions thus include one or more silicon layers on sidewalls of the source and drain regions.
  • the silicon prevents adverse effects of Ge during silicidation, including Ge out diffusion and silicide line breakage.
  • the Si also increases the active area.
  • FIG. 1 shows a first side cutaway view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention
  • FIG. 2 shows a top view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention
  • FIG. 3 shows a second side cutaway view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention
  • FIG. 4 shows cutaway view of an exemplary semiconductor structure with a raised source and drain and a protective silicon layer according to the principles of the invention.
  • FIG. 5 shows cutaway view of an exemplary semiconductor structure with a raised source and drain, a protective silicon layer and sacrificial spacers according to the principles of the invention.
  • the invention enables fabrication of raised Si/SiGe source and drain regions with epitaxially grown silicon on SiGe sidewalls.
  • the silicon prevents adverse effects of Ge during silicidation, including Ge out diffusion and silicide line breakage.
  • the Si also increases the active area.
  • the exemplary initial structure is comprised of an SOI substrate 105 having a patterned gate stack region 110 formed on the surface thereof.
  • the SOI substrate 105 may include a buried oxide layer 125 sandwiched between a top Si-containing layer 130 and a bottom Si-containing layer 140 .
  • the top Si-containing layer 130 is an area upon which devices may be formed.
  • the Si-containing layer 130 may be comprised of various semiconducting materials that include silicon, such as Si, SiGe, SiC, SiGeC, Si/Si, or Si/SiGe.
  • the SOI substrate 105 employed in the present invention may be fabricated using techniques well known to those skilled in the art.
  • the SOI substrate may be formed by a conventional bonding and cutting processes, or alternatively, a conventional separation by implantation of oxygen (SIMOX) process.
  • SIMOX separation by implantation of oxygen
  • the top Si-containing layer 130 may have a thickness of about 5 to 250 nm.
  • Isolation structures such as shallow-trench isolations (STIs) 180 and 185 , are also provided in the exemplary initial structure.
  • Techniques known in the art may be utilized to form STIs 180 and 185 .
  • a conventional technique entails patterning with a photoresist, etching the trench, chemical vapor deposition of oxide to fill the trench, and planarizing the surface such as by chemical mechanical polishing. Subsequently, the top surface of the STI form ations 180 and 185 may be etched down, as discussed more fully below.
  • the patterned gate stack region 110 may be formed atop the Si-containing layer using processing techniques well known in the art.
  • the patterned gate stack region 110 may be fabricated by first forming gate dielectric 125 on an exposed surface of the top Si-containing layer 130 .
  • the gate dielectric layer 125 may be formed by a conventional deposition process such as CVD or plasma-assisted CVD, or a thermal growing process such as oxidation, nitridation or oxynitridation.
  • the gate dielectric may include any device quality dielectric material such as an oxide, nitride, oxynitride or any combination and multilayer thereof. The thickness of the gate dielectric is not critical to the present invention.
  • the gate stack 110 is formed on the gate dielectric 125 utilizing a conventional deposition process such as CVD, plasma-assisted CVD or plating.
  • the gate stack 110 may include a gate material such as polysilicon, amorphous silicon or other materials suitable for MOSFET gate composition.
  • the gate material may be formed on the surface of gate dielectric 125 utilizing conventional deposition processes well known in the art such as, for example, CVD or plasma-assisted CVD.
  • An optional dielectric-capping layer (not shown) may be present atop the gate material.
  • the optional dielectric-capping layer may typically be comprised of an oxide, nitride or oxynitride and formed utilizing a conventional deposition process such as, for example, CVD or plasma-assisted CVD.
  • a conventional thermal growing process such as, for example, oxidation, may be used in forming an optional dielectric-capping layer.
  • the gate stack 110 and gate dielectric layer 125 are subjected to a conventional patterning process which includes lithography and etching steps.
  • the lithography step may entails applying a photoresist, exposing the photoresist to a pattern of radiation, and developing the pattern utilizing a conventional resist developer.
  • a conventional etching process such as reactive-ion etching, plasma etching, ion beam etching or laser ablation may be employed in transferring the pattern to the gate stack 110 and the gate dielectric 125 .
  • Spacers 115 and 120 are formed along gate sidewalls.
  • spacer material such as a nitride (e.g., Si 3 N 4 ) may be deposited in a conventional manner, such as by chemical vapor deposition (CVD) using a silane source.
  • CVD chemical vapor deposition
  • Other techniques which may be suitable for deposition of a nitride layer, include low-pressure CVD (LPCVD) and atmospheric pressure (CVD) (APCVD). Portions of the deposited nitride layer are subsequently etched away in a conventional manner to form the spacers 115 and 120 .
  • LPCVD low-pressure CVD
  • APCVD atmospheric pressure
  • exemplary source and drain regions 160 and 175 are comprised of SiGe layers 150 and 165 capped with strained silicon layers 155 and 170 .
  • the SiGe layers 150 and 165 may be selectively epitaxially grown in a conventional manner using ultrahigh-vacuum chemical vapor deposition (UHVCVD), molecular beam epitaxy (MBE), low pressure chemical vapor deposition (LPCVD), rapid thermal chemical vapor deposition (RTCVD), and low energy plasma enhanced chemical vapor deposition (LEPECVD).
  • UHVCVD ultrahigh-vacuum chemical vapor deposition
  • MBE molecular beam epitaxy
  • LPCVD low pressure chemical vapor deposition
  • RTCVD rapid thermal chemical vapor deposition
  • LEPECVD low energy plasma enhanced chemical vapor deposition
  • the SiGe layers 150 and 165 may be comprised, in whole or in part, of the top silicon-containing layer 130 of the SOI substrate 105 .
  • Silicon layers 155 and 170 may be formed on the SiGe layers 150 and 165 of the raised source and drain regions 160 and 175 , respectively, using a conventional selective epitaxial silicon formation technique. For example, molecular beam epitaxy (MBE) may be used to selectively grow device quality silicon. Because Si has a smaller lattice constant (i.e., atom spacing) than Ge, when Si 155 and 170 is grown on the SiGe layer 160 and 165 , the Si 155 and 170 is strained in tension. A suitable thickness for the strained Si layers 155 and 170 is below the critical thickness, which is the maximum thickness that strained Si can grow on the SiGe SiGe layers 150 and 165 without forming defects in the crystal structure (e.g., dislocations). By way of example but not limitation, the strained Si layer 155 may be approximately 5 to 100 nm thick.
  • FIG. 2 a top view of the exemplary initial structure is shown.
  • Raised drain 160 and source 175 regions are provided adjacent to nitride spacer sides 115 and 120 , respectively.
  • the nitride spacer 210 surrounds the sidewalls of the gate 110 .
  • the shallow trench isolation 220 including STI sides 180 and 185 , surround the active area.
  • the cutaway view represented in FIG. 1 is denoted by cutaway line A-A in FIG. 2 .
  • FIG. 3 a side view of a cutaway section represented by line B-B in FIG. 2 is shown.
  • STI oxide portions 230 and 240 are shown alongside the raised drain 160 comprised of SiGe layer 150 and strained Si layer 160 .
  • the top layer 130 of the exemplary SOI substrate may be comprised of the same material as the raised drain layer 150 , namely SiGe.
  • SiGe layers 150 and 165 may be comprised of the top layer of the exemplary SOI substrate.
  • STIs may be formed from the SOI substrate surface to the top of the buried oxide layer 135 .
  • the STI oxide may be etched to reveal the raised SiGe portions of raised source and drain regions, such as SiGe layer 150 in FIG. 3 . This approach for raised source and drain formation may obviate the need to form layer 150 separately by epitaxial growth as described above.
  • the raised drain 160 is protected with a silicon layer 400 , as shown in FIG. 4 .
  • silicon is selectively epitaxially grown on the SiGe sidewalls of the raised drain 160 , forming protective silicon sidewalls 410 and 420 .
  • the selective epitaxial process also results in growth of silicon on the strained silicon layer 155 , forming silicon cap 430 for the raised drain 160 .
  • the epitaxial silicon layer 400 may be formed using conventional selective epitaxial growing processes known in the art, such as molecular beam epitaxy.
  • a thick silicon layer 400 along the sidewalls 410 and 420 may run the risk of bridging, i.e., forming an undesired connection between features (such as source and gate or drain and gate) of the device or between features of adjacent devices.
  • sacrificial oxide spacers 505 and 525 may be formed after an initial layer of silicon 500 is selectively epitaxially formed on the raised drain 160 .
  • silicon may be selectively epitaxially grown on the SiGe sidewalls of the raised drain 160 , forming protective silicon sidewalls 510 and 520 .
  • the selective epitaxial process also results in growth of silicon 515 on the strained silicon layer 155 .
  • the epitaxial silicon layer 500 may be formed using conventional selective epitaxial growing processes known in the art, such as molecular beam epitaxy.
  • the spacers 505 and 525 may be formed along the Si coated SiGe sidewalls of the raised drain in a conventional manner, such as by oxide deposition, patterning and etching using processes known in the art. After formation of the spacers, an additional selective epitaxial growth step may be performed to complete formation of the raised drain. The oxide spacers 505 and 525 will prevent further formation of Si along the sidewalls during the additional selective epitaxial growth step. After completing the sadditional selective epitaxial growth step, the oxide spacers may be removed, such as by dry etching.
  • silicide contacts may be formed on the epitaxial silicon layer utilizing a conventional silicidation process.
  • the presence of Ge in the SiGe underlying the silicon layer 400 (or 500 ) will not interfere with, degrade or otherwise adversely impact the silicidation process.
  • FIG. 1 shows only one patterned gate stack region and corresponding raised source and drain regions
  • the invention applies equally as well when a plurality of patterned gate stacks and corresponding raised source and drain regions are formed on the SOI substrate.
  • the invention is not limited to the embodiment wherein a single patterned gate stack region is employed.

Abstract

Raised Si/SiGe source and drain regions include epitaxially grown silicon on SiGe sidewalls. The epi silicon prevents adverse effects of Ge during silicidation, including Ge out diffusion and silicide line breakage. The Si also increases the active area.

Description

    BACKGROUND OF INVENTION
  • The invention generally relates to a semiconductor device and method of manufacture and, more particularly, to a semiconductor device that includes strained silicon/silicon germanium field effect transistors with a protective silicon layer.
  • As silicon film thickness of Silicon On Insulator (SOI) is reduced, for high-performance CMOS fabrication, it becomes necessary to increase the thickness of source/drain regions above the SOI thickness. This results from the fact that, as the SOI film becomes thinner, a reduced amount of Si material is available from which to form silicide for source/drain contacts. Additionally, thinner source/drain regions can degrade on-current due to increased series resistance.
  • To maintain or reduce overall source/drain series resistance, including the silicide contact resistance, techniques have emerged to form raised source/drain (RSD) structures. For example, selective epitaxial growth of silicon has been used to grow silicon on the source/drain and the top of poly gates, leaving no silicon on gate sidewall spacers. One problem with this technique is that epitaxial growth must take place at temperatures as high as 750° C., which may cause significant transient enhanced diffusion of dopants. The unnecessary dopant redistribution in halo/extension regions degrades the performance of devices and short channel immunity. Moreover, with a very thin SOI film, it can be difficult to form epitaxial silicon without causing silicon agglomeration due to possible contamination of carbon in certain chemical vapor deposition tools.
  • Another technique involves SiGe selective epitaxial growth on source/drain regions. Advantageously, this technique eliminates transient enhanced diffusion of dopants which may otherwise occur at high temperatures. Unfortunately, however, Ge degrades silicide contact formation and contact resistance due to its inherent function as a diffusion barrier. To reduce such undesirable effects, the surface of SiGe may be capped with additional epitaxial silicon, using a high temperature process, which may cause transient enhanced diffusion.
  • Another problem with raised silicon/silicon germanium source and drain regions involves contact formation. High quality contacts to silicon-based field effect transistors are typically achieved through a silicide process, where a metal such as cobalt or titanium is alloyed with silicon to form the contact. However, this process is generally not as effective with semiconductor materials other than silicon. For example, a cobalt silicide contact formed to a source disposed in a strained Si layer and an underlying SiGe layer may form a high-resistivity compound in the SiGe layer, thereby compromising the functionality of the contact.
  • The invention is directed to overcoming one or more of the problems as set forth above.
  • SUMMARY OF INVENTION
  • In a first aspect of the invention, a method of fabricating a semiconductor structure is provided. The method entails forming a raised source region on a substrate. A raised drain region is also formed on the substrate. Next, a first silicon layer is formed over the raised source region and a second silicon layer is formed over the raised drain region.
  • In a second aspect of the invention, the method includes forming sacrificial spacers along the sidewalls of the first silicon layer and the second silicon layer. After forming the spacers, third and fourth silicon layers are formed on the raised source and raised drain regions, respectively.
  • In a third aspect of the invention, a semiconductor structure is provided. The semiconductor structure includes a substrate; a raised source region on the substrate, a raised drain region on the substrate; a first silicon layer over the raised source region and a second silicon layer over the raised drain region.
  • The raised source and drain regions thus include one or more silicon layers on sidewalls of the source and drain regions. The silicon prevents adverse effects of Ge during silicidation, including Ge out diffusion and silicide line breakage. The Si also increases the active area.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 shows a first side cutaway view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention;
  • FIG. 2 shows a top view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention;
  • FIG. 3 shows a second side cutaway view of an exemplary semiconductor structure with a raised source and drain according to the principles of the invention;
  • FIG. 4 shows cutaway view of an exemplary semiconductor structure with a raised source and drain and a protective silicon layer according to the principles of the invention; and
  • FIG. 5, shows cutaway view of an exemplary semiconductor structure with a raised source and drain, a protective silicon layer and sacrificial spacers according to the principles of the invention.
  • DETAILED DESCRIPTION
  • The invention enables fabrication of raised Si/SiGe source and drain regions with epitaxially grown silicon on SiGe sidewalls. The silicon prevents adverse effects of Ge during silicidation, including Ge out diffusion and silicide line breakage. The Si also increases the active area.
  • Referring to FIG. 1, an exemplary initial structure employed in the invention is shown. Specifically, the exemplary initial structure is comprised of an SOI substrate 105 having a patterned gate stack region 110 formed on the surface thereof. The SOI substrate 105 may include a buried oxide layer 125 sandwiched between a top Si-containing layer 130 and a bottom Si-containing layer 140. The top Si-containing layer 130 is an area upon which devices may be formed. The Si-containing layer 130 may be comprised of various semiconducting materials that include silicon, such as Si, SiGe, SiC, SiGeC, Si/Si, or Si/SiGe.
  • The SOI substrate 105 employed in the present invention may be fabricated using techniques well known to those skilled in the art. For example, the SOI substrate may be formed by a conventional bonding and cutting processes, or alternatively, a conventional separation by implantation of oxygen (SIMOX) process. While the thickness of the various layers is not critical to the present invention, the top Si-containing layer 130 may have a thickness of about 5 to 250 nm.
  • Isolation structures, such as shallow-trench isolations (STIs) 180 and 185, are also provided in the exemplary initial structure. Techniques known in the art may be utilized to form STIs 180 and 185. A conventional technique entails patterning with a photoresist, etching the trench, chemical vapor deposition of oxide to fill the trench, and planarizing the surface such as by chemical mechanical polishing. Subsequently, the top surface of the STI form ations 180 and 185 may be etched down, as discussed more fully below.
  • The patterned gate stack region 110 may be formed atop the Si-containing layer using processing techniques well known in the art. For example, the patterned gate stack region 110 may be fabricated by first forming gate dielectric 125 on an exposed surface of the top Si-containing layer 130. The gate dielectric layer 125 may be formed by a conventional deposition process such as CVD or plasma-assisted CVD, or a thermal growing process such as oxidation, nitridation or oxynitridation. The gate dielectric may include any device quality dielectric material such as an oxide, nitride, oxynitride or any combination and multilayer thereof. The thickness of the gate dielectric is not critical to the present invention.
  • The gate stack 110 is formed on the gate dielectric 125 utilizing a conventional deposition process such as CVD, plasma-assisted CVD or plating. The gate stack 110 may include a gate material such as polysilicon, amorphous silicon or other materials suitable for MOSFET gate composition. The gate material may be formed on the surface of gate dielectric 125 utilizing conventional deposition processes well known in the art such as, for example, CVD or plasma-assisted CVD. An optional dielectric-capping layer (not shown) may be present atop the gate material. When present, the optional dielectric-capping layer may typically be comprised of an oxide, nitride or oxynitride and formed utilizing a conventional deposition process such as, for example, CVD or plasma-assisted CVD. Alternatively, a conventional thermal growing process such as, for example, oxidation, may be used in forming an optional dielectric-capping layer.
  • Following formation of the gate stack 110 on the gate dielectric layer 125, the gate stack 110 and gate dielectric layer 125 are subjected to a conventional patterning process which includes lithography and etching steps. By way of example, the lithography step may entails applying a photoresist, exposing the photoresist to a pattern of radiation, and developing the pattern utilizing a conventional resist developer. Following the lithography step, a conventional etching process such as reactive-ion etching, plasma etching, ion beam etching or laser ablation may be employed in transferring the pattern to the gate stack 110 and the gate dielectric 125.
  • Spacers 115 and 120 are formed along gate sidewalls. For example, spacer material such as a nitride (e.g., Si3N4) may be deposited in a conventional manner, such as by chemical vapor deposition (CVD) using a silane source. Other techniques, which may be suitable for deposition of a nitride layer, include low-pressure CVD (LPCVD) and atmospheric pressure (CVD) (APCVD). Portions of the deposited nitride layer are subsequently etched away in a conventional manner to form the spacers 115 and 120.
  • After spacer formation, raised source and drain regions are formed in a conventional manner. As illustrated in FIG. 1, exemplary source and drain regions 160 and 175 are comprised of SiGe layers 150 and 165 capped with strained silicon layers 155 and 170. The SiGe layers 150 and 165 may be selectively epitaxially grown in a conventional manner using ultrahigh-vacuum chemical vapor deposition (UHVCVD), molecular beam epitaxy (MBE), low pressure chemical vapor deposition (LPCVD), rapid thermal chemical vapor deposition (RTCVD), and low energy plasma enhanced chemical vapor deposition (LEPECVD). Alternatively, the SiGe layers 150 and 165 may be comprised, in whole or in part, of the top silicon-containing layer 130 of the SOI substrate 105.
  • Silicon layers 155 and 170 may be formed on the SiGe layers 150 and 165 of the raised source and drain regions 160 and 175, respectively, using a conventional selective epitaxial silicon formation technique. For example, molecular beam epitaxy (MBE) may be used to selectively grow device quality silicon. Because Si has a smaller lattice constant (i.e., atom spacing) than Ge, when Si 155 and 170 is grown on the SiGe layer 160 and 165, the Si 155 and 170 is strained in tension. A suitable thickness for the strained Si layers 155 and 170 is below the critical thickness, which is the maximum thickness that strained Si can grow on the SiGe SiGe layers 150 and 165 without forming defects in the crystal structure (e.g., dislocations). By way of example but not limitation, the strained Si layer 155 may be approximately 5 to 100 nm thick.
  • Referring now to FIG. 2, a top view of the exemplary initial structure is shown. Raised drain 160 and source 175 regions are provided adjacent to nitride spacer sides 115 and 120, respectively. The nitride spacer 210 surrounds the sidewalls of the gate 110. The shallow trench isolation 220, including STI sides 180 and 185, surround the active area. The cutaway view represented in FIG. 1 is denoted by cutaway line A-A in FIG. 2.
  • Referring now to FIG. 3, a side view of a cutaway section represented by line B-B in FIG. 2 is shown. Those skilled in the art will appreciate that the structure and process steps described below for the raised drain region apply equally as well to the raised source region.
  • STI oxide portions 230 and 240 are shown alongside the raised drain 160 comprised of SiGe layer 150 and strained Si layer 160. The top layer 130 of the exemplary SOI substrate may be comprised of the same material as the raised drain layer 150, namely SiGe. Thus, referring again to FIG. 1, SiGe layers 150 and 165 may be comprised of the top layer of the exemplary SOI substrate. In such a case, STIs may be formed from the SOI substrate surface to the top of the buried oxide layer 135. Then, the STI oxide may be etched to reveal the raised SiGe portions of raised source and drain regions, such as SiGe layer 150 in FIG. 3. This approach for raised source and drain formation may obviate the need to form layer 150 separately by epitaxial growth as described above.
  • Next, the raised drain 160 is protected with a silicon layer 400, as shown in FIG. 4. In particular, silicon is selectively epitaxially grown on the SiGe sidewalls of the raised drain 160, forming protective silicon sidewalls 410 and 420. The selective epitaxial process also results in growth of silicon on the strained silicon layer 155, forming silicon cap 430 for the raised drain 160. The epitaxial silicon layer 400 may be formed using conventional selective epitaxial growing processes known in the art, such as molecular beam epitaxy.
  • In the event the width of the STI is small, a thick silicon layer 400 along the sidewalls 410 and 420 may run the risk of bridging, i.e., forming an undesired connection between features (such as source and gate or drain and gate) of the device or between features of adjacent devices. Referring now to FIG. 5, to limit the thickness of the Si sidewalls 510 and 520 and avoid or reduce the risk of bridging, sacrificial oxide spacers 505 and 525 may be formed after an initial layer of silicon 500 is selectively epitaxially formed on the raised drain 160. Thus, silicon may be selectively epitaxially grown on the SiGe sidewalls of the raised drain 160, forming protective silicon sidewalls 510 and 520. The selective epitaxial process also results in growth of silicon 515 on the strained silicon layer 155. The epitaxial silicon layer 500 may be formed using conventional selective epitaxial growing processes known in the art, such as molecular beam epitaxy.
  • The spacers 505 and 525 may be formed along the Si coated SiGe sidewalls of the raised drain in a conventional manner, such as by oxide deposition, patterning and etching using processes known in the art. After formation of the spacers, an additional selective epitaxial growth step may be performed to complete formation of the raised drain. The oxide spacers 505 and 525 will prevent further formation of Si along the sidewalls during the additional selective epitaxial growth step. After completing the sadditional selective epitaxial growth step, the oxide spacers may be removed, such as by dry etching.
  • Following formation of the epitaxial silicon sidewalls 410 and 420 (or 510 and 520) and cap 430 (or 515), silicide contacts may be formed on the epitaxial silicon layer utilizing a conventional silicidation process. The presence of Ge in the SiGe underlying the silicon layer 400 (or 500) will not interfere with, degrade or otherwise adversely impact the silicidation process.
  • Those skilled in the art will appreciate that although FIG. 1 shows only one patterned gate stack region and corresponding raised source and drain regions, the invention applies equally as well when a plurality of patterned gate stacks and corresponding raised source and drain regions are formed on the SOI substrate. Thus, the invention is not limited to the embodiment wherein a single patterned gate stack region is employed.
  • While the invention has been described in terms of exemplary embodiments, those skilled in the art will recognize that the invention can be practiced with modifications and in the spirit and scope of the appended claims.

Claims (28)

1. A method of fabricating a semiconductor structure, comprising the steps of:
forming a raised source region on a substrate;
forming a raised drain region on the substrate; and
forming a first silicon layer over the raised source region and a second silicon layer over the raised drain region.
2. A method according to claim 1, wherein the substrate includes a SiGe layer atop a buried oxide layer.
3. A method according to claim 1, further comprising a step of forming a gate stack on the substrate.
4. A method according to claim 3, further comprising a step of forming a trench isolation surrounding the gate stack, source region and drain region.
5. A method according to claim 1, further comprising a step of forming a first silicide contact on the first silicon layer.
6. A method according to claim 1, further comprising a step of forming a second silicide contact on the second silicon layer.
7. A method according to claim 1, wherein the first silicon layer is epitaxially formed silicon and the second silicon layer is epitaxially grown silicon.
8. A method according to claim 1, wherein the raised drain region is comprised of a strained silicon layer atop a SiGe layer.
9. A method according to claim 8, wherein the strained silicon layer is comprised of epitaxially grown silicon.
10. A method according to claim 1, wherein the raised source region is comprised of a strained silicon layer atop a SiGe layer.
11. A method according to claim 10, wherein the strained silicon layer is comprised of epitaxially grown silicon.
12. A method according to claim 1, wherein the first silicon layer formed over the raised source region and the second silicon layer over the raised drain region include cap portions and sidewall portions, the method further comprising a step of forming sacrificial spacers along the silicon sidewall portions.
13. A method according to claim 12, further comprising steps of:
forming a third silicon layer over the cap of the first silicon layer over the raised source region; and
forming a fourth silicon layer over the cap of the second silicon layer over the raised drain region.
14. A method according to claim 13, further comprising a step of removing the sacrificial spacers.
15. A method according to claim 14, wherein the step of removing the sacrificial spacers includes etching away the sacrificial spacers.
16. A semiconductor structure, comprising:
a substrate;
a raised source region on the substrate;
a raised drain region on the substrate; and
a first silicon layer over the raised source region and a second silicon layer over the raised drain region.
17. A semiconductor structure according to claim 16, wherein the substrate includes a SiGe layer atop a buried oxide layer.
18. A semiconductor structure according to claim 16, further comprising a gate stack on the substrate.
19. A semiconductor structure according to claim 18, further comprising a trench isolation surrounding the gate stack, source region and drain region.
20. A semiconductor structure according to claim 16, further comprising a a first silicide contact on the first silicon layer.
21. A semiconductor structure according to claim 16, further comprising a second silicide contact on the second silicon layer.
22. A semiconductor structure according to claim 16, wherein the first silicon layer is epitaxially formed silicon and the second silicon layer is epitaxially grown silicon.
23. A semiconductor structure according to claim 1, wherein the raised drain region is comprised of a strained silicon layer atop a SiGe layer.
24. A semiconductor structure according to claim 23, wherein the strained silicon layer is comprised of epitaxially grown silicon.
25. A semiconductor structure according to claim 1, wherein the raised source region and the raised drain region are comprised of a strained silicon layer atop a SiGe layer.
26. A semiconductor structure according to claim 1, wherein the first silicon layer formed over the raised source region and the second silicon layer over the raised drain region include cap portions and sidewall portions, the semiconductor structure further comprising sacrificial spacers along the silicon sidewall portions.
27. A semiconductor structure according to claim 26, further comprising:
a third silicon layer over the cap of the first silicon layer over the raised source region; and
a fourth silicon layer over the cap of the second silicon layer over the raised drain region.
28. A semiconductor structure according to claim 27, wherein the sacrificial spacers have been removed.
US10/707,840 2004-01-16 2004-01-16 Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs Expired - Fee Related US7202132B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/707,840 US7202132B2 (en) 2004-01-16 2004-01-16 Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US11/278,910 US7498602B2 (en) 2004-01-16 2006-04-06 Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/707,840 US7202132B2 (en) 2004-01-16 2004-01-16 Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/278,910 Division US7498602B2 (en) 2004-01-16 2006-04-06 Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets

Publications (2)

Publication Number Publication Date
US20050156154A1 true US20050156154A1 (en) 2005-07-21
US7202132B2 US7202132B2 (en) 2007-04-10

Family

ID=34749148

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/707,840 Expired - Fee Related US7202132B2 (en) 2004-01-16 2004-01-16 Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US11/278,910 Active 2024-12-01 US7498602B2 (en) 2004-01-16 2006-04-06 Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/278,910 Active 2024-12-01 US7498602B2 (en) 2004-01-16 2006-04-06 Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets

Country Status (1)

Country Link
US (2) US7202132B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060038200A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Transistors having reinforcement layer patterns and methods of forming the same
US20070196987A1 (en) * 2006-02-21 2007-08-23 Dureseti Chidambarrao Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US20080116517A1 (en) * 2006-11-20 2008-05-22 Anderson Brent A Dual stress device and method
US20090039389A1 (en) * 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7482672B2 (en) * 2006-06-30 2009-01-27 International Business Machines Corporation Semiconductor device structures for bipolar junction transistors
US20110101506A1 (en) * 2009-10-29 2011-05-05 International Business Machines Corporation Stress Memorization Technique Using Silicon Spacer
US8563386B2 (en) 2010-11-16 2013-10-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with bandgap material and method of manufacture thereof
US8975125B2 (en) 2013-03-14 2015-03-10 International Business Machines Corporation Formation of bulk SiGe fin with dielectric isolation by anodization
US9607989B2 (en) * 2014-12-04 2017-03-28 Globalfoundries Inc. Forming self-aligned NiSi placement with improved performance and yield
US9947755B2 (en) 2015-09-30 2018-04-17 International Business Machines Corporation III-V MOSFET with self-aligned diffusion barrier

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US5955770A (en) * 1994-10-31 1999-09-21 Stmicroelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
US5981356A (en) * 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6248637B1 (en) * 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
US6420766B1 (en) * 1997-07-17 2002-07-16 International Business Machines Corporation Transistor having raised source and drain
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (en) 1985-09-13 1991-02-14 Siemens Ag INTEGRATED BIPOLAR AND COMPLEMENTARY MOS TRANSISTORS ON A CIRCUIT CONTAINING A COMMON SUBSTRATE AND METHOD FOR THEIR PRODUCTION.
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (en) 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (en) 1998-03-02 2000-12-15 윤종용 Method of fabricating trench isolation in an integrated circuit
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (en) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (en) 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
KR100499159B1 (en) * 2003-02-28 2005-07-01 삼성전자주식회사 Semiconductor device having a recessed channel and method of manufacturing the same
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955770A (en) * 1994-10-31 1999-09-21 Stmicroelectronics, Inc. Method of forming raised source/drain regions in an integrated circuit
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6420766B1 (en) * 1997-07-17 2002-07-16 International Business Machines Corporation Transistor having raised source and drain
US5981356A (en) * 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6248637B1 (en) * 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7307274B2 (en) * 2004-08-20 2007-12-11 Samsung Electronics Co., Ltd. Transistors having reinforcement layer patterns and methods of forming the same
US20060038200A1 (en) * 2004-08-20 2006-02-23 Samsung Electronics Co., Ltd. Transistors having reinforcement layer patterns and methods of forming the same
US20070196987A1 (en) * 2006-02-21 2007-08-23 Dureseti Chidambarrao Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8168971B2 (en) 2006-02-21 2012-05-01 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8198682B2 (en) 2006-11-20 2012-06-12 International Business Machines Corporation Semiconductor structure having a gate electrode at least partially disposed in a trench formed at a bend in a semiconductor material
US20080116517A1 (en) * 2006-11-20 2008-05-22 Anderson Brent A Dual stress device and method
US10714616B2 (en) 2006-11-20 2020-07-14 Globalfoundries Inc. FINFET having a gate structure in a trench feature in a bent fin
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US20110057258A1 (en) * 2006-11-20 2011-03-10 International Business Machines Corporation Dual stress device and method
US9768304B2 (en) 2006-11-20 2017-09-19 Globalfoundries Inc. Method of fabricating a FINFET having a gate structure disposed at least partially at a bend region of the semiconductor fin
US7745847B2 (en) * 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US8076194B2 (en) 2007-08-09 2011-12-13 United Microelectronics Corp. Method of fabricating metal oxide semiconductor transistor
US20090039389A1 (en) * 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor

Also Published As

Publication number Publication date
US7498602B2 (en) 2009-03-03
US7202132B2 (en) 2007-04-10
US20060163608A1 (en) 2006-07-27

Similar Documents

Publication Publication Date Title
US7498602B2 (en) Protecting silicon germanium sidewall with silicon for strained silicon/silicon mosfets
US7687829B2 (en) Stressed field effect transistors on hybrid orientation substrate
US8076194B2 (en) Method of fabricating metal oxide semiconductor transistor
US7618856B2 (en) Method for fabricating strained-silicon CMOS transistors
US7226820B2 (en) Transistor fabrication using double etch/refill process
US7547641B2 (en) Super hybrid SOI CMOS devices
US7560326B2 (en) Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US7508053B2 (en) Semiconductor MOS transistor device and method for making the same
US7429752B2 (en) Method and structure for forming strained SI for CMOS devices
KR101600553B1 (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US7675055B2 (en) Strained complementary metal oxide semiconductor (CMOS) on rotated wafers and methods thereof
US20070267703A1 (en) Strained channel transistor and method of fabrication thereof
US20090096036A1 (en) Semiconductor device and method of manufacturing the same
US20070018252A1 (en) Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20080054347A1 (en) Composite stressors in MOS devices
US20090075441A1 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US20090184341A1 (en) Elimination of STI recess and facet growth in embedded silicon-germanium (eSiGe) module
US20080194070A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US11575022B2 (en) Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection
US7550356B2 (en) Method of fabricating strained-silicon transistors
US20090142892A1 (en) Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices
JP2003243532A (en) Complementary semiconductor device and manufacturing method thereof
US7183169B1 (en) Method and arrangement for reducing source/drain resistance with epitaxial growth

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHU, HUILONG;DORIS, BRUCE B.;MOCUTA, M. DAN;REEL/FRAME:014276/0521;SIGNING DATES FROM 20031218 TO 20031219

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20110410

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910