US20050179135A1 - Semiconductor device having porous structure - Google Patents

Semiconductor device having porous structure Download PDF

Info

Publication number
US20050179135A1
US20050179135A1 US11/105,250 US10525005A US2005179135A1 US 20050179135 A1 US20050179135 A1 US 20050179135A1 US 10525005 A US10525005 A US 10525005A US 2005179135 A1 US2005179135 A1 US 2005179135A1
Authority
US
United States
Prior art keywords
low
dielectric layer
layer
semiconductor device
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/105,250
Inventor
Devendra Kumar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to US11/105,250 priority Critical patent/US20050179135A1/en
Publication of US20050179135A1 publication Critical patent/US20050179135A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps

Definitions

  • This invention relates to a technology of manufacturing semiconductor devices having a porous structure and voids (air-gaps), and particularly relates to a technology that involve selectively etching a sacrificial film.
  • RC delays can be decreased by reducing wiring capacitance.
  • low dielectric constant materials such as fluorine-doped SiO 2 , porous SiO 2 , an organic film or a porous film, etc.
  • FSG fluorinated silicon glass
  • the low-k interlayer dielectrics (ILDs) for 65 nm or lower nodes require not only low k values but also superior mechanical properties, thermal stability, and applicability to integration processes with copper for Dual Damascene structures. These integration processes are disclosed in U.S. Pat. No. 6,440,838 and U.S. Pat. No. 6,440,861, for example. Further, it is preferable to adapt currently available materials, tools, and apparatuses to high volume manufacturing.
  • One approach to meet the above requirements is to create porosity in dielectric films deposited by SOD (spin-on-dielectrics) or CVD techniques.
  • the starting materials may be oxide based silica materials or organic polymers.
  • a sacrificial porogen material is used along with the main ILD material during film deposition steps. Using thermal or other techniques, the sacrificial material can be removed.
  • This approach has disadvantages:
  • the deposited dielectric layer has a very high porosity (e.g., >30%) with bimodal or trimodal pores with pore sizes ranging from 2 nm to 9 nm or higher.
  • mechanical properties of the layer are very low, particularly hardness and cohesive strength.
  • one of the starting dielectric material could be oxygen-doped silicon glass (OSG), such as AuroraTM low-k film (ASM Japan, Tokyo), that has a dielectric constant of 3.05 or less, and use of a suitable porogen/sacrificial material which is to be removed is required.
  • OSG oxygen-doped silicon glass
  • ASM Japan, Tokyo AuroraTM low-k film
  • ELK extreme low-k dielectric films with air gaps generated during integration steps.
  • the present invention can be adapted to SiCO-based films by plasma CVD such as PECVD (plasma enhanced CVD) including RPCVD (remote plasma CVD) or thermal CVD, or by sputtering or SOG (Spin on Glass).
  • PECVD plasma enhanced CVD
  • RPCVD remote plasma CVD
  • SOG SOG
  • an embodiment of the present invention provides a method for manufacturing a semiconductor device, comprising the steps of: (i) depositing a sacrificial layer on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form via holes (“vias”) and trenches therethrough; (v) prior or subsequent to step (iv), removing the portion for air gaps of the sacrificial layer; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate.
  • an ELK film having excellent mechanical properties and excellent applicability to the Dual Damascene process can effectively and easily be obtained.
  • an etch stop layer can be used before depositing a low-k film.
  • the purpose of the etch stop layer may have two folds; as a mechanical support and as a reference etch stop layer for stopping etch.
  • the present invention includes various embodiments.
  • the present invention includes, but is not limited to, the following embodiments:
  • step (iii) may comprise: (iiia) depositing a first low-dielectric layer over the substrate until the first low-dielectric layer and the portion for air gaps are of equal height; and (iiib) depositing a second low-dielectric layer on the first low-dielectric layer and the portion for air gaps.
  • the substrate may further include a cap layer on which the sacrificial layer deposits, wherein step (ii) further includes etching the cap layer. Further, the substrate may further include a wiring layer underneath the cap layer, wherein the wiring layer is connected to the copper.
  • the sacrificial layer may be made of an organic polymer, such as benzocyclobutene (BCB).
  • BCB benzocyclobutene
  • step (v) may be selective etching (or selective thermal removal) based on etching temperature (e.g., BCB's decomposition temperature is below 400° C.).
  • etching temperature e.g., BCB's decomposition temperature is below 400° C.
  • the etching temperature is 400° C. or lower, depending on the type of sacrificial layer and the type of dielectric layer.
  • the first low-dielectric layer may have a dielectric constant of 3.5 or less, preferably 3.0 or less, further preferably 2.5 or less
  • the last low-dielectric layer may have a dielectric constant of 4.0 or less, preferably 3.0 or less, further preferably 2.7 or less.
  • Such a low-dielectric layer is useful especially for 45 nm node device manufacturing.
  • These layers are porous, and for example, AuroraTM 2.7 low-k film (ASM Japan) may have a dielectric constant of approximately 3.05-2.7 and a porosity of approximately 6-7%, and AuroraTM 2.6-2.4 film (ASM Japan) may have a dielectric constant of approximately 2.6-2.4 and a porosity of approximately 15-16%.
  • AuroraTM low-k film with k 3.05-2.7 and AuroraTM ULK films with k 2.6-2.4 are compressive films deposited preferably by CVD methods.
  • the AuroraTM ULK films are deposited by a combination of suitable precursor such as DMDMOS with DVDMS or any other oxygen containing molecules, such as, oxygen, H 2 O 2 , THF, cyclic or linear alcohols, acids, ethers, and lactones.
  • the air gaps in the low-dielectric layer may be formed between adjacent vias to reduce the dielectric constant from a range of e.g., 2.4-2.9 without air-gaps (including 2.5, 2.6, 2.7, and 2.8) to e.g., 1.7-2.4 with air gaps (including 1.8, 1.9, 2.0, 2.1, 2.2, and 2.3).
  • the vias and the air gaps may be substantially of equal height.
  • the height of the portion for air gaps may be in the range of 1 nm to 50 nm.
  • the above method may be conducted using a plasma CVD chamber.
  • an embodiment of the present invention provides a semiconductor device having a porous structure comprising: (a) a substrate on which a wiring layer is formed; (b) a low-dielectric layer having a porosity of 5% to 30% (preferably 10-20%) and further having voids or air-gaps, said low-dielectric layer having vias and trenches formed therethrough; (c) a contact layer of copper with which the vias and trenches are filled, said contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer.
  • the air-gaps are simply voids and may be filled with air, although the type of fluid present in the air-gaps is not limited and may depend on the surrounding environment.
  • This aspect of the present invention includes, but are not limited to, the following embodiments:
  • the low-dielectric layer and the contact layer may be laminated multiple times.
  • the low-dielectric layer may include air gaps wherein the vias and the air gaps may be substantially of equal height.
  • the material of the low-dielectric layer may have a dielectric constant of 2.95 or less.
  • FIGS. 1 ( a ) to 1 ( f ) are cross sections of an embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • FIGS. 2 ( a ) to 2 ( f ) are cross sections of another embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • FIGS. 3 ( a ) to 3 ( g ) are cross sections of still another embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • the present invention provides a method for manufacturing a semiconductor device, which method can be performed using any suitable plasma CVD chambers which can be operated as one operation system.
  • This is an advantage of the present invention.
  • chambers specifically designed for respective steps can be used.
  • the method is suitable for any Damascene process, especially the Dual Damascene process which is described in U.S. Pat. No. 6,440,838 or U.S. Pat. No. 6,440,861, for example, the disclosure of which is incorporated herein by reference in its entirety.
  • An embodiment comprises the steps of: (i) depositing a sacrificial layer on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (Add the comment written above for etch stop layer option) (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form vias and trenches therethrough; (v) prior or subsequent to step (iv), removing the portion for air gaps of the sacrificial layer; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate.
  • the purpose of the etch stop layer may have two folds; as a mechanical support and as a reference etch stop layer for stopping etch.
  • Step (iii) may comprise multiple steps to form multiple low-k layers.
  • step (iii) includes: (iiia) depositing a first low-dielectric layer over the substrate until the first low-dielectric layer and the portion for air gaps are of equal height; and (iiib) depositing a second low-dielectric layer on the first low-dielectric layer and the portion for air gaps.
  • the number of layers may not be limited to two and can be more than two including 3, 4, and 5.
  • An etch stop layer can be formed between the first low-dielectric layer and the second low-dielectric layer.
  • the first low-dielectric layer may have a dielectric constant of 2.75 or less (in an embodiment in a range of 2.4 to 2.9), and the last low-dielectric layer may have a dielectric constant of 4 or less (including a range of 2.4 to 4).
  • the low-dielectric layer may be made of non-doped silicon glass (NSG), phosphate-doped silicon glass (PSG), boron phosphate-doped silicon glass (BPSG), fluorine-doped silicon glass (FSG), silicon-containing carbon compounds, OSG or CDO, organo silicon, or siloxan polymer, etc.
  • Siloxan polymers can effectively be used as disclosed in U.S. Pat. No.
  • the dielectric constant is measured prior to the formation of air gaps; i.e., the dielectric constant of the material itself in the form of a film formed on a substrate.
  • the low-dielectric layer can be composed of a single layer which may be made of any of the foregoing.
  • the thickness of the dielectric layer(s) in total may be in the range of 1 nm to 1000 nm (preferably 1 nm to 500 nm).
  • the first layer may have a thickness of 1 nm to 10 nm, whereas the second layer may have a thickness of 1 nm to 300 nm.
  • the low-dielectric layer including air-gaps or voids may have a dielectric constant of 2.4 or less, preferably 2.2 or less (including a range of 1.7 to 2.5).
  • the sacrificial layer may be made of any suitable material having property allowing selective etching. That is, the sacrificial layer is selectively etched while the low-dielectric layer and other non-sacrificial layers are substantially not etched.
  • the material is CVD deposited so that semiconductor devices can be manufactured using a single operation system which may comprise multiple process chambers.
  • the material may be hydrocarbon or an organic polymer such as benzocyclobutene (BCB), aromatic or aliphatic hydrocarbons with or without any oxygen or nitrogen attached thereto.
  • BCB benzocyclobutene
  • the formation of the sacrificial layer may also be conducted based on the foregoing U.S. patents.
  • BCB polyadamentane
  • polyhydrocarbons polyethers, polylactones, and the like
  • BCB spin-on method
  • step (v) may be selective etching based on etching temperature at which the sacrificial layer material is selectively decomposed thermally.
  • the etching temperature i.e., the material's thermal decomposition temperature
  • the etching temperature is 400° C. or lower (in an embodiment in a range of 150° C. to 425° C.), depending on a combination of the type of sacrificial layer and the type of dielectric layer.
  • a hydrocarbon polymer such as BCB is decomposed at a temperature of 400° C. or lower because the compound has alkylene linkages which are very prone to thermal decomposition at a temperature of approximately 375° C..
  • the sacrificial layer material is decomposed, it may become in gaseous form at the decomposition temperature, so that the material can penetrate through the porous dielectric layer, accomplishing removal of the sacrificial material.
  • the material of the sacrificial layer may be subjected to selective thermal treatment and other etching treatment with an etching gas such as fluorine-containing hydrocarbon, oxidative and nitrogen containing hydrocarbon at a pressure of 100 to 1000 Pa, for example.
  • the thickness of the sacrificial layer may be in the range of 1 nm to 1000 nm (preferably 1 nm to 100 nm).
  • the layer is subjected to etching except for a portion for forming air gaps or voids in the low-dielectric layer.
  • This etching can be achieved by current AuroraTM low-k film established chemistries, such as, combinations of Ar/O 2 /CF 4 /CH 2 F 2 for SiO 2 and SiC, Ar/O 2 /C 4 F 8 /N 2 /CH 2 F 2 for AuroraTM trenches and vias, Ar/O 2 /CF 4 /CH 2 CF 2 for barrier dielectric layers, for example.
  • the dielectric layer has a porosity of 5-30% (including a range of 10% to 20%) so that the sacrificial layer material in gaseous form can easily be removed through the pores without an opening purposely formed in an etch stop layer or cap film layer. If the porosity is too high, the mechanical strength may suffer.
  • the portion for air-gaps is formed in a pattern.
  • the pattern is composed of aligned small pieces (projections) to form an air-gap structure in the low-dielectric layer.
  • the shape of each projection for an air gap or a void may vary, and the cross-section may be a circle, oval, triangle, rectangle, or the like.
  • each projection may be 0.5 nm to 500 m (including a range of 1 nm to 200 nm) and 0.5 nm to 1000 nm (including a range of 0.5 nm to 500 nm), respectively.
  • the pattern is configured to position the projections so that vias can be formed between the projections.
  • the vias to be formed and the air gaps may be substantially of equal height.
  • the distribution of air-gaps is such that the dielectric constant of the dielectric layer is reduced from a range of 2.4-2.9 to a range of 1.7-2.4, for example, or reduced by 0.4-0.7.
  • the dielectric constant of the dielectric layer may be as high as 3.0-3.5 and reduced to 2.5-3.0, for example.
  • the substrate may further include a cap layer on which the sacrificial layer deposits, wherein step (ii) described above further includes etching the cap layer. Further, the substrate may further includes a wiring layer underneath the cap layer, wherein the wiring layer is connected to the copper. These layers can be formed by any suitable methods.
  • the cap layer may be either of polysilicon (polycrystal silicon), amorphous silicon, SiN, SiON, SiO, an organic film or a porous film, which has a thickness of 1 nm to 100 nm.
  • a method of manufacturing a semiconductor device comprises forming an interlayer insulator in the form of a porous structure with air-gaps.
  • an embodiment of the present invention provides a semiconductor device having a porous structure comprising: (a) a substrate on which a wiring layer is formed; (b) a low-dielectric layer having a porosity of 5% to 30% (including 6-25%) and having air-gaps or voids, said low-dielectric layer having vias and trenches formed therethrough; (c) a contact layer of copper with which the vias and trenches are filled, said contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer.
  • FIGS. 1 ( a ) to ( f ) are cross sections illustrating a method for forming a porous structure with air-gaps in a semiconductor device.
  • a wiring layer 2 is formed on a semiconductor substrate 1 (made of copper, for example)
  • the wiring layer 2 can be formed by ECD/ECMD copper deposition using existing tool and process technology followed by CMP using existing tools and process technologies.
  • the wiring layer 2 can also be formed by MOCVD copper deposition, followed by CMP polishing.
  • a cap film layer 3 (made of SiC, SiN, SiCN, SiCO, for example) is formed by PECVD or SOD deposition using existing tools and process technology at a thickness of 5 nm to 100 nm.
  • a sacrificial layer 4 (made of BCB, for example) can then be formed thereon by PECVD or SOD using any suitable methods including existing tools and process technology.
  • the sacrificial layer 4 is patterned and etched except for portions (projections) where air gaps are to be formed.
  • the projection is cylindrical and has a height of 1 nm to 200 nm, a diameter of 25 nm to 65 nm, and the distance between the adjacent projections is 50 nm to 500 nm.
  • This process can be conducted by plasma etching. As a result, only the portions 5 for air gaps are left on the cap film layer 3 .
  • the height of the portions 5 is to be equal to the height of via holes 8 .
  • a low-dielectric layer 6 (made of SiCO or FSG or oxide films, for example) is formed so that the projections 5 are entirely covered with the low-dielectric layer 6 and further a layer where trenches 7 are to be formed is formed.
  • the low-dielectric layer 6 may have a dielectric constant of 2.4 to 4, hardness of 1 GPa to 6 GPa, modulus of 4 GPa to 30 GPa, and stress is preferably compressive.
  • the top of the layer is polished or etched to level the top surface.
  • the low-dielectric layer is required to be stable as compared with the sacrificial layer with respect to, e.g., resistance against an etching fluid and/or thermal resistance so that the sacrificial layer can effectively be removed without the occurrence of unwanted etching to the low-dielectric layer.
  • via holes 8 and trenches 7 are formed in the low-dielectric layer 6 .
  • the holes can be formed by plasma etching.
  • the trenches can be formed by plasma etching.
  • the projections 5 are etched and removed to form air gaps or voids 9 by thermal treatment at 150° C. to 425° C..
  • the low-dielectric layer 6 has mechanical and thermal stability so that only the projections 5 can be selectively etched.
  • the projections 5 are decomposed at a temperature of 150° C. to 425° C., for example, and using an etching gas (e.g., hydrogen, and fluorine compound), the air gaps 9 can be cleaned.
  • the stable temperature of the low-dielectric layer may be 430° C. to 475° C. higher than the melting temperature of the sacrificial layer. In order to remove the melt projections from the low-dielectric layer 6 .
  • An AuroraTM low-k film (ASM Japan) has a homogeneous porosity of approximately 7% and AuroraTM 2.4 has a porosity of approximately 17%. These porosity values are enough to remove the decomposed BCB gases. As a result, the dielectric constant of the low-dielectric layer 6 is reduced.
  • the vias and the trenches are filled with copper 10 for connection. Any suitable method for this step can be employed.
  • the step indicated in FIG. 1 ( d ) and the step indicated in FIG. 1 ( e ) can be conducted in the reversed sequence as shown in FIG. 2 ( a ) through FIG. 2 ( f ).
  • the steps indicated in FIGS. 2 ( a ), 2 ( b ), 2 ( c ), and 2 ( f ) correspond to those indicated in FIGS. 1 ( a ), 1 ( b ), 1 ( c ), and 1 ( f ), respectively.
  • the steps indicated in FIGS. 1 ( d ) and 1 ( e ) are reversed as in FIGS. 2 ( e ) and 2 ( d ).
  • FIGS. 3 ( a ) through 3 ( g ) show another embodiment.
  • a first low-dielectric layer used for forming vias is different from a second low-dielectric layer used for forming trenches.
  • the second low-dielectric layer has a lower dielectric constant than the first low-dielectric layer in order to reduce inter or intra line capacitance.
  • the air gaps are formed in the layer wherein the vias are formed, although the layer continues and deposits until the thickness of the layer is sufficient for forming the trenches.
  • the air gaps can be formed in the layer wherein the trenches are formed, or through the layer, wherein the height of the air gaps is greater than the height of the vias.
  • the height of the air gaps may not be greater than the height of the vias (although the height of the air gaps can be lower than the height of the vias).
  • a first low-dielectric layer 20 is formed, followed by polishing or etching the top surface to level the surface.
  • the first low-dielectric layer can be made of AuroraTM ULK (ultra low k) film and may have a dielectric constant of 2.4 to 2.7 (including a range of 2.4 to 2.6). Further, the layer may have good mechanical properties, e.g., a hardness of 1 GPa to 1.2 GPa.
  • the first low-dielectric layer can be the same as or different from the low-dielectric layer used in FIG. 1 or 2 .
  • a second low-dielectric layer 21 is deposited on top of the first low-dielectric layer 20 .
  • the second low-dielectric layer 21 is different from the first low-dielectric layer, and may have a lower dielectric constant than the first low-dielectric layer as desired for devices.
  • the stack dielectric constant after integration may be low.
  • the second low-dielectric layer may also have lower mechanical characteristics, e.g., a hardness of 1 GPa to 2 GPa.
  • the second low-dielectric layer may have a higher dielectric constant than the first low-dielectric layer, and higher mechanical characteristics in the case where higher mechanical characteristics of the stack is required.
  • the second low-dielectric layer can be the same as or different from the low-dielectric layer indicated in FIG. 1 or 2 .
  • the low-dielectric layers can be deposited by any suitable methods including plasma CVD (including RP-CVD and PECVD) and thermal CVD, etc.
  • plasma CVD including RP-CVD and PECVD
  • thermal CVD thermal CVD
  • a cap film layer (made of SiO 2 or SiON, for example) can be formed between the first low-dielectric layer 20 and the second low-dielectric layer 21 at a thickness of 1 nm to 5 nm so that the dielectric top surface is hydrophilic for cleaning after CMP and also this layer can be used as a CMP etch stop layer.
  • the steps indicated in FIGS. 3 ( e ), 3 ( f ), and 3 ( g ) correspond to those indicated in FIGS. 1 ( d ), 1 ( e ), and 1 ( f ), respectively.
  • the etching conditions for the first low-dielectric may be different from those for the second low-dielectric layer due to the different materials.
  • the sequence of steps indicated in FIGS. 3 ( e ) and 3 ( f ) can be reversed, wherein the removal step of the sacrificial layer is conducted prior to the via and trench etching step.
  • This reverse sequence may be preferable in an embodiment because unwanted etching on the inner surfaces of the vias and trenches can be avoided.
  • the number of low-dielectric layers is not limited to two, and any desirable layers can be used.
  • the characteristics of the layer can be gradually changed from the bottom to the top.
  • the sacrificial layer and the low-dielectric layer can be deposited by a single CVD tool.
  • the CVD tool is a cluster tool with at least three chambers, one chamber can be used for removing the sacrificial layer thermally.
  • the cluster tool may have an additional chamber for that purpose (i.e., total four chambers).
  • an effective porous structure with air-gaps can effectively be formed.
  • This process can effectively apply to interconnection layers.
  • the various embodiments provide a number of advantages, including improved selectivity, higher process stability, reduced production costs and increased yield.

Abstract

A semiconductor device having a hollow structure includes: a substrate on which a wiring layer is formed; a low-dielectric layer with a porosity of 6% to 25% having vias and trenches and having voids between adjacent vias; and a contact layer of copper with which the vias and trenches are filled. The contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer.

Description

  • This is a divisional application of U.S. patent application Ser. No. 10/693,200, filed Oct. 24, 2003, which claims the benefit of U.S. Provisional Application No. 60/422,956, field Oct. 31, 2002, the disclosure of which is incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates to a technology of manufacturing semiconductor devices having a porous structure and voids (air-gaps), and particularly relates to a technology that involve selectively etching a sacrificial film.
  • 2. Description of the Related Art
  • In recent years, semiconductor devices have become faster and more highly integrated and resistance-capacitance (RC) coupling delays have become a large factor in signal processing time. RC delays can be decreased by reducing wiring capacitance. One way to do this is to use low dielectric constant materials such as fluorine-doped SiO2, porous SiO2, an organic film or a porous film, etc. However, these materials have not been put to practical use because of problems such as processing difficulty and insufficient heat-resistance, which can increase the complexity of the integration processes and lower device reliability. Although, fluorinated silicon glass (FSG) is in production for 130 nm node technology, but for 90 nm and smaller nodes, low-k dielectrics with k≦3 are desired for manufacturing future semiconductor devices.
  • It is projected that high volume manufacturing of faster logic and other devices with 45 nm or lower nodes interconnect structures will require extreme low-k, such as k≦2.4.
  • The low-k interlayer dielectrics (ILDs) for 65 nm or lower nodes require not only low k values but also superior mechanical properties, thermal stability, and applicability to integration processes with copper for Dual Damascene structures. These integration processes are disclosed in U.S. Pat. No. 6,440,838 and U.S. Pat. No. 6,440,861, for example. Further, it is preferable to adapt currently available materials, tools, and apparatuses to high volume manufacturing.
  • SUMMARY OF THE INVENTION
  • One approach to meet the above requirements is to create porosity in dielectric films deposited by SOD (spin-on-dielectrics) or CVD techniques. For SOD materials, the starting materials may be oxide based silica materials or organic polymers. A sacrificial porogen material is used along with the main ILD material during film deposition steps. Using thermal or other techniques, the sacrificial material can be removed. However, this approach has disadvantages: The deposited dielectric layer has a very high porosity (e.g., >30%) with bimodal or trimodal pores with pore sizes ranging from 2 nm to 9 nm or higher. Thus, mechanical properties of the layer are very low, particularly hardness and cohesive strength. Also, because of large pores, new and costly processes may be required for the Dual Damascene integration with copper. This processes may result in poor yields and also pose very high challenge for successful integration with copper. For CVD deposited ILDs, one of the starting dielectric material could be oxygen-doped silicon glass (OSG), such as Aurora™ low-k film (ASM Japan, Tokyo), that has a dielectric constant of 3.05 or less, and use of a suitable porogen/sacrificial material which is to be removed is required.
  • An alternative approach to manufacture extreme low-k dielectric films with k<2.4 is to provide air gaps in the dielectric films themselves. The present invention relates to ELK (extreme low-k) dielectric films with air gaps generated during integration steps. The present invention can be adapted to SiCO-based films by plasma CVD such as PECVD (plasma enhanced CVD) including RPCVD (remote plasma CVD) or thermal CVD, or by sputtering or SOG (Spin on Glass).
  • In an aspect, an embodiment of the present invention provides a method for manufacturing a semiconductor device, comprising the steps of: (i) depositing a sacrificial layer on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form via holes (“vias”) and trenches therethrough; (v) prior or subsequent to step (iv), removing the portion for air gaps of the sacrificial layer; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate. By the above method, an ELK film having excellent mechanical properties and excellent applicability to the Dual Damascene process can effectively and easily be obtained. In the above, an etch stop layer can be used before depositing a low-k film. The purpose of the etch stop layer may have two folds; as a mechanical support and as a reference etch stop layer for stopping etch.
  • The present invention includes various embodiments. For example, the present invention includes, but is not limited to, the following embodiments:
  • In the above, step (iii) may comprise: (iiia) depositing a first low-dielectric layer over the substrate until the first low-dielectric layer and the portion for air gaps are of equal height; and (iiib) depositing a second low-dielectric layer on the first low-dielectric layer and the portion for air gaps.
  • The substrate may further include a cap layer on which the sacrificial layer deposits, wherein step (ii) further includes etching the cap layer. Further, the substrate may further include a wiring layer underneath the cap layer, wherein the wiring layer is connected to the copper.
  • The sacrificial layer may be made of an organic polymer, such as benzocyclobutene (BCB). When using an organic polymer, step (v) may be selective etching (or selective thermal removal) based on etching temperature (e.g., BCB's decomposition temperature is below 400° C.). For example, the etching temperature is 400° C. or lower, depending on the type of sacrificial layer and the type of dielectric layer.
  • When using multiple low-dielectric layers, the first low-dielectric layer may have a dielectric constant of 3.5 or less, preferably 3.0 or less, further preferably 2.5 or less, and the last low-dielectric layer may have a dielectric constant of 4.0 or less, preferably 3.0 or less, further preferably 2.7 or less. Such a low-dielectric layer is useful especially for 45 nm node device manufacturing. These layers are porous, and for example, Aurora™ 2.7 low-k film (ASM Japan) may have a dielectric constant of approximately 3.05-2.7 and a porosity of approximately 6-7%, and Aurora™ 2.6-2.4 film (ASM Japan) may have a dielectric constant of approximately 2.6-2.4 and a porosity of approximately 15-16%. In other embodiements, Aurora™ low-k film with k 3.05-2.7 and Aurora™ ULK films with k 2.6-2.4 are compressive films deposited preferably by CVD methods. The Aurora™ ULK films are deposited by a combination of suitable precursor such as DMDMOS with DVDMS or any other oxygen containing molecules, such as, oxygen, H2O2, THF, cyclic or linear alcohols, acids, ethers, and lactones.
  • The air gaps in the low-dielectric layer may be formed between adjacent vias to reduce the dielectric constant from a range of e.g., 2.4-2.9 without air-gaps (including 2.5, 2.6, 2.7, and 2.8) to e.g., 1.7-2.4 with air gaps (including 1.8, 1.9, 2.0, 2.1, 2.2, and 2.3). The vias and the air gaps may be substantially of equal height. The height of the portion for air gaps may be in the range of 1 nm to 50 nm.
  • The above method may be conducted using a plasma CVD chamber.
  • In another aspect, an embodiment of the present invention provides a semiconductor device having a porous structure comprising: (a) a substrate on which a wiring layer is formed; (b) a low-dielectric layer having a porosity of 5% to 30% (preferably 10-20%) and further having voids or air-gaps, said low-dielectric layer having vias and trenches formed therethrough; (c) a contact layer of copper with which the vias and trenches are filled, said contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer. The air-gaps are simply voids and may be filled with air, although the type of fluid present in the air-gaps is not limited and may depend on the surrounding environment.
  • This aspect of the present invention includes, but are not limited to, the following embodiments:
  • The low-dielectric layer and the contact layer may be laminated multiple times.
  • The low-dielectric layer may include air gaps wherein the vias and the air gaps may be substantially of equal height. The material of the low-dielectric layer may have a dielectric constant of 2.95 or less.
  • For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described above. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • Further aspects, features and advantages of this invention will become apparent from the detailed description of the preferred embodiments which follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1(a) to 1(f) are cross sections of an embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • FIGS. 2(a) to 2(f) are cross sections of another embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • FIGS. 3(a) to 3(g) are cross sections of still another embodiment illustrating a method for producing a porous structure with air-gaps in a semiconductor device.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • As described above, in an aspect, the present invention provides a method for manufacturing a semiconductor device, which method can be performed using any suitable plasma CVD chambers which can be operated as one operation system. This is an advantage of the present invention. However, chambers specifically designed for respective steps can be used. The method is suitable for any Damascene process, especially the Dual Damascene process which is described in U.S. Pat. No. 6,440,838 or U.S. Pat. No. 6,440,861, for example, the disclosure of which is incorporated herein by reference in its entirety.
  • An embodiment comprises the steps of: (i) depositing a sacrificial layer on a substrate having a circuit formed thereon; (ii) etching the sacrificial layer except for a portion where air gaps are to be formed; (Add the comment written above for etch stop layer option) (iii) depositing a low-dielectric layer over the substrate until the portion for air gaps is entirely enclosed in the low-dielectric layer; (iv) etching the low-dielectric layer to form vias and trenches therethrough; (v) prior or subsequent to step (iv), removing the portion for air gaps of the sacrificial layer; and (vi) depositing copper in the vias and trenches which are filled with the copper contacting a surface of the substrate. The purpose of the etch stop layer may have two folds; as a mechanical support and as a reference etch stop layer for stopping etch.
  • Step (iii) may comprise multiple steps to form multiple low-k layers. For example, step (iii) includes: (iiia) depositing a first low-dielectric layer over the substrate until the first low-dielectric layer and the portion for air gaps are of equal height; and (iiib) depositing a second low-dielectric layer on the first low-dielectric layer and the portion for air gaps. The number of layers may not be limited to two and can be more than two including 3, 4, and 5.
  • An etch stop layer can be formed between the first low-dielectric layer and the second low-dielectric layer.
  • When using multiple low-dielectric layers, the first low-dielectric layer may have a dielectric constant of 2.75 or less (in an embodiment in a range of 2.4 to 2.9), and the last low-dielectric layer may have a dielectric constant of 4 or less (including a range of 2.4 to 4). The low-dielectric layer may be made of non-doped silicon glass (NSG), phosphate-doped silicon glass (PSG), boron phosphate-doped silicon glass (BPSG), fluorine-doped silicon glass (FSG), silicon-containing carbon compounds, OSG or CDO, organo silicon, or siloxan polymer, etc. Siloxan polymers can effectively be used as disclosed in U.S. Pat. No. 6,455,445 issued Sep. 24, 2002, U.S. Pat. No. 6,352,945 issued Mar. 5, 2002, U.S. Pat. No. 6,383,955 issued May 7, 2002, U.S. Pat. No. 6,410,463 issued Jun. 25, 2002, and U.S. Pat. No. 6,432,846 issued Aug. 13, 2002, disclose material gases which are also usable in the present invention. The disclosure of each U.S. patent application is herein incorporated by reference in its entirety.
  • In the above, the dielectric constant is measured prior to the formation of air gaps; i.e., the dielectric constant of the material itself in the form of a film formed on a substrate.
  • The low-dielectric layer can be composed of a single layer which may be made of any of the foregoing. The thickness of the dielectric layer(s) in total may be in the range of 1 nm to 1000 nm (preferably 1 nm to 500 nm). When using two layers, the first layer may have a thickness of 1 nm to 10 nm, whereas the second layer may have a thickness of 1 nm to 300 nm.
  • The low-dielectric layer including air-gaps or voids may have a dielectric constant of 2.4 or less, preferably 2.2 or less (including a range of 1.7 to 2.5).
  • The sacrificial layer may be made of any suitable material having property allowing selective etching. That is, the sacrificial layer is selectively etched while the low-dielectric layer and other non-sacrificial layers are substantially not etched. Preferably, the material is CVD deposited so that semiconductor devices can be manufactured using a single operation system which may comprise multiple process chambers. In an embodiment where PECVD is used, the material may be hydrocarbon or an organic polymer such as benzocyclobutene (BCB), aromatic or aliphatic hydrocarbons with or without any oxygen or nitrogen attached thereto. The formation of the sacrificial layer may also be conducted based on the foregoing U.S. patents. In another embodiment where a spin-on method is used, BCB, polyadamentane, polyhydrocarbons, polyethers, polylactones, and the like can be used.
  • When using an organic polymer, step (v) may be selective etching based on etching temperature at which the sacrificial layer material is selectively decomposed thermally. For example, the etching temperature (i.e., the material's thermal decomposition temperature) is 400° C. or lower (in an embodiment in a range of 150° C. to 425° C.), depending on a combination of the type of sacrificial layer and the type of dielectric layer. For example, a hydrocarbon polymer such as BCB is decomposed at a temperature of 400° C. or lower because the compound has alkylene linkages which are very prone to thermal decomposition at a temperature of approximately 375° C.. When the sacrificial layer material is decomposed, it may become in gaseous form at the decomposition temperature, so that the material can penetrate through the porous dielectric layer, accomplishing removal of the sacrificial material.
  • The material of the sacrificial layer may be subjected to selective thermal treatment and other etching treatment with an etching gas such as fluorine-containing hydrocarbon, oxidative and nitrogen containing hydrocarbon at a pressure of 100 to 1000 Pa, for example. The thickness of the sacrificial layer may be in the range of 1 nm to 1000 nm (preferably 1 nm to 100 nm).
  • In an embodiment, after the sacrificial layer is deposited, the layer is subjected to etching except for a portion for forming air gaps or voids in the low-dielectric layer. This etching can be achieved by current Aurora™ low-k film established chemistries, such as, combinations of Ar/O2/CF4/CH2F2 for SiO2 and SiC, Ar/O2/C4F8/N2/CH2F2 for Aurora™ trenches and vias, Ar/O2/CF4/CH2CF2 for barrier dielectric layers, for example. The dielectric layer has a porosity of 5-30% (including a range of 10% to 20%) so that the sacrificial layer material in gaseous form can easily be removed through the pores without an opening purposely formed in an etch stop layer or cap film layer. If the porosity is too high, the mechanical strength may suffer. The portion for air-gaps is formed in a pattern. The pattern is composed of aligned small pieces (projections) to form an air-gap structure in the low-dielectric layer. The shape of each projection for an air gap or a void may vary, and the cross-section may be a circle, oval, triangle, rectangle, or the like. The height and width of each projection may be 0.5 nm to 500 m (including a range of 1 nm to 200 nm) and 0.5 nm to 1000 nm (including a range of 0.5 nm to 500 nm), respectively. The pattern is configured to position the projections so that vias can be formed between the projections. The vias to be formed and the air gaps may be substantially of equal height. In an embodiment, the distribution of air-gaps is such that the dielectric constant of the dielectric layer is reduced from a range of 2.4-2.9 to a range of 1.7-2.4, for example, or reduced by 0.4-0.7. In an embodiment, the dielectric constant of the dielectric layer may be as high as 3.0-3.5 and reduced to 2.5-3.0, for example.
  • The substrate may further include a cap layer on which the sacrificial layer deposits, wherein step (ii) described above further includes etching the cap layer. Further, the substrate may further includes a wiring layer underneath the cap layer, wherein the wiring layer is connected to the copper. These layers can be formed by any suitable methods.
  • In an embodiment, the cap layer may be either of polysilicon (polycrystal silicon), amorphous silicon, SiN, SiON, SiO, an organic film or a porous film, which has a thickness of 1 nm to 100 nm.
  • In an embodiment, a method of manufacturing a semiconductor device comprises forming an interlayer insulator in the form of a porous structure with air-gaps.
  • In another aspect, an embodiment of the present invention provides a semiconductor device having a porous structure comprising: (a) a substrate on which a wiring layer is formed; (b) a low-dielectric layer having a porosity of 5% to 30% (including 6-25%) and having air-gaps or voids, said low-dielectric layer having vias and trenches formed therethrough; (c) a contact layer of copper with which the vias and trenches are filled, said contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer.
  • The present invention will be explained below with reference to drawings. The present invention includes various embodiments and should not be limited to the following embodiments.
  • Embodiments are illustrated in FIGS. 1-3. FIGS. 1(a) to (f) are cross sections illustrating a method for forming a porous structure with air-gaps in a semiconductor device. In FIG. 1(a), a wiring layer 2 is formed on a semiconductor substrate 1 (made of copper, for example) The wiring layer 2 can be formed by ECD/ECMD copper deposition using existing tool and process technology followed by CMP using existing tools and process technologies. The wiring layer 2 can also be formed by MOCVD copper deposition, followed by CMP polishing.
  • On top of the wiring layer 2 and the substrate 1, a cap film layer 3 (made of SiC, SiN, SiCN, SiCO, for example) is formed by PECVD or SOD deposition using existing tools and process technology at a thickness of 5 nm to 100 nm. A sacrificial layer 4 (made of BCB, for example) can then be formed thereon by PECVD or SOD using any suitable methods including existing tools and process technology.
  • In FIG. 1(b), after placing a mask (not shown), the sacrificial layer 4 is patterned and etched except for portions (projections) where air gaps are to be formed. The projection is cylindrical and has a height of 1 nm to 200 nm, a diameter of 25 nm to 65 nm, and the distance between the adjacent projections is 50 nm to 500 nm. This process can be conducted by plasma etching. As a result, only the portions 5 for air gaps are left on the cap film layer 3. The height of the portions 5 is to be equal to the height of via holes 8.
  • In FIG. 1(c), a low-dielectric layer 6 (made of SiCO or FSG or oxide films, for example) is formed so that the projections 5 are entirely covered with the low-dielectric layer 6 and further a layer where trenches 7 are to be formed is formed. The low-dielectric layer 6 may have a dielectric constant of 2.4 to 4, hardness of 1 GPa to 6 GPa, modulus of 4 GPa to 30 GPa, and stress is preferably compressive. After forming the low-dielectric layer 6, the top of the layer is polished or etched to level the top surface. The low-dielectric layer is required to be stable as compared with the sacrificial layer with respect to, e.g., resistance against an etching fluid and/or thermal resistance so that the sacrificial layer can effectively be removed without the occurrence of unwanted etching to the low-dielectric layer.
  • In FIG. 1(d), via holes 8 and trenches 7 are formed in the low-dielectric layer 6. The holes can be formed by plasma etching. The trenches can be formed by plasma etching.
  • FIG. 1(e), the projections 5 are etched and removed to form air gaps or voids 9 by thermal treatment at 150° C. to 425° C.. The low-dielectric layer 6 has mechanical and thermal stability so that only the projections 5 can be selectively etched. The projections 5 are decomposed at a temperature of 150° C. to 425° C., for example, and using an etching gas (e.g., hydrogen, and fluorine compound), the air gaps 9 can be cleaned. The stable temperature of the low-dielectric layer may be 430° C. to 475° C. higher than the melting temperature of the sacrificial layer. In order to remove the melt projections from the low-dielectric layer 6. An Aurora™ low-k film (ASM Japan) has a homogeneous porosity of approximately 7% and Aurora™ 2.4 has a porosity of approximately 17%. These porosity values are enough to remove the decomposed BCB gases. As a result, the dielectric constant of the low-dielectric layer 6 is reduced.
  • In FIG. 1(f), the vias and the trenches are filled with copper 10 for connection. Any suitable method for this step can be employed.
  • In the above, the step indicated in FIG. 1(d) and the step indicated in FIG. 1(e) can be conducted in the reversed sequence as shown in FIG. 2(a) through FIG. 2(f). The steps indicated in FIGS. 2(a), 2(b), 2(c), and 2(f) correspond to those indicated in FIGS. 1(a), 1(b), 1(c), and 1(f), respectively. The steps indicated in FIGS. 1(d) and 1(e) are reversed as in FIGS. 2(e) and 2(d).
  • FIGS. 3(a) through 3(g) show another embodiment. In this embodiment, a first low-dielectric layer used for forming vias is different from a second low-dielectric layer used for forming trenches. In an embodiment, the second low-dielectric layer has a lower dielectric constant than the first low-dielectric layer in order to reduce inter or intra line capacitance. In the previous embodiment shown in FIGS. 1 and 2, the air gaps are formed in the layer wherein the vias are formed, although the layer continues and deposits until the thickness of the layer is sufficient for forming the trenches. However, the air gaps can be formed in the layer wherein the trenches are formed, or through the layer, wherein the height of the air gaps is greater than the height of the vias. In the embodiment shown in FIG. 3, because the first layer wherein vias are formed is different from the second layer wherein trenches are formed, the height of the air gaps may not be greater than the height of the vias (although the height of the air gaps can be lower than the height of the vias).
  • The steps indicated in FIGS. 3(a), 3(b), and 3(g) correspond to those indicated in FIGS. 1(a), 1(b), and 1(f), respectively. In FIG. 3(c), a first low-dielectric layer 20 is formed, followed by polishing or etching the top surface to level the surface. The first low-dielectric layer can be made of Aurora™ ULK (ultra low k) film and may have a dielectric constant of 2.4 to 2.7 (including a range of 2.4 to 2.6). Further, the layer may have good mechanical properties, e.g., a hardness of 1 GPa to 1.2 GPa. The first low-dielectric layer can be the same as or different from the low-dielectric layer used in FIG. 1 or 2.
  • In FIG. 3(d), a second low-dielectric layer 21 is deposited on top of the first low-dielectric layer 20. In this embodiment, the second low-dielectric layer 21 is different from the first low-dielectric layer, and may have a lower dielectric constant than the first low-dielectric layer as desired for devices. The stack dielectric constant after integration may be low. The second low-dielectric layer may also have lower mechanical characteristics, e.g., a hardness of 1 GPa to 2 GPa. In another embodiment, the second low-dielectric layer may have a higher dielectric constant than the first low-dielectric layer, and higher mechanical characteristics in the case where higher mechanical characteristics of the stack is required. The second low-dielectric layer can be the same as or different from the low-dielectric layer indicated in FIG. 1 or 2. The low-dielectric layers can be deposited by any suitable methods including plasma CVD (including RP-CVD and PECVD) and thermal CVD, etc. The methods disclosed in the aforesaid U.S. patents, the disclosure of which is incorporated herein by reference in their entirety, can be used for this purpose.
  • In an embodiment, a cap film layer (made of SiO2 or SiON, for example) can be formed between the first low-dielectric layer 20 and the second low-dielectric layer 21 at a thickness of 1 nm to 5 nm so that the dielectric top surface is hydrophilic for cleaning after CMP and also this layer can be used as a CMP etch stop layer.
  • The steps indicated in FIGS. 3(e), 3(f), and 3(g) correspond to those indicated in FIGS. 1(d), 1(e), and 1(f), respectively. The etching conditions for the first low-dielectric may be different from those for the second low-dielectric layer due to the different materials.
  • As with the steps indicated in FIG. 2, the sequence of steps indicated in FIGS. 3(e) and 3(f) can be reversed, wherein the removal step of the sacrificial layer is conducted prior to the via and trench etching step. This reverse sequence may be preferable in an embodiment because unwanted etching on the inner surfaces of the vias and trenches can be avoided.
  • The number of low-dielectric layers is not limited to two, and any desirable layers can be used. In an embodiment, the characteristics of the layer can be gradually changed from the bottom to the top.
  • In the above steps, the sacrificial layer and the low-dielectric layer can be deposited by a single CVD tool. For example, if the CVD tool is a cluster tool with at least three chambers, one chamber can be used for removing the sacrificial layer thermally. In order to accommodate etch stop and cap layers, the cluster tool may have an additional chamber for that purpose (i.e., total four chambers).
  • According to the present invention, an effective porous structure with air-gaps can effectively be formed. This process can effectively apply to interconnection layers. The various embodiments provide a number of advantages, including improved selectivity, higher process stability, reduced production costs and increased yield.
  • It will be understood by those of skill in the art that numerous and various modifications can be made without departing from the spirit of the present invention. Therefore, it should be clearly understood that the forms of the present invention are illustrative only and are not intended to limit the scope of the present invention.

Claims (16)

1. A semiconductor device having a hollow structure comprising:
a substrate on which a wiring layer is formed;
a low-dielectric layer having a porosity of 6% to 25%, said low-dielectric layer having vias and trenches formed therethrough and having voids between adjacent vias; and
a contact layer of copper with which the vias and trenches are filled, wherein the contact layer is in contact with the wiring layer and an upper surface of the contact layer is exposed from the dielectric layer.
2. The semiconductor device according to claim 1, wherein the low-dielectric layer having voids has a dielectric constant of 2.3 or less.
3. The semiconductor device according to claim 1, wherein the low-dielectric layer and the contact layer are laminated multiple times.
4. The semiconductor device according to claim 1, wherein the voids are air gaps, and the vias and the air gaps are substantially of equal height.
5. The semiconductor device according to claim 1, wherein the material of the low-dielectric layer has a dielectric constant of 2.9 or less.
6. The semiconductor device according to claim 1, wherein the low-dielectric layer is comprised of a first low-dielectric layer formed on the substrate and a second low-dielectric layer formed on the first low-dielectric device.
7. The semiconductor device according to claim 6, further comprising an etch stop layer between the first low-dielectric layer and the second low-dielectric layer.
8. The semiconductor device according to claim 1, wherein the substrate further includes a cap layer on which the low-dielectric layer is formed.
9. The semiconductor device according to claim 6, wherein the first low-dielectric layer has a dielectric constant of 3.0 or less.
10. The semiconductor device according to claim 9, wherein the first low-dielectric layer has compressive stress.
11. The semiconductor device according to claim 6, wherein the first low-dielectric layer is deposited by a combination of dimethyldimethoxysilane (DMDMOS) with divinyldimethylsilane (DVDMS) or oxygen-containg molecules.
12. The semiconductor device according to claim 6, wherein the second low-dielectric layer has a dielectric constant of 2.6 or less.
13. The semiconductor device according to claim 12, wherein the second low-dielectric layer has compressive stress.
14. The semiconductor device according to claim 6, wherein the second low-dielectric layer is deposited by a combination of dimethyldimethoxysilane (DMDMOS) with divinyldimethylsilane (DVDMS) or oxygen-containg molecules.
15. The semiconductor device according to claim 1, wherein the height of the voids is in the range of 1 nm to 100 nm.
16. The semiconductor device according to claim 1, wherein the vias and the voids are substantially of equal height.
US11/105,250 2002-10-31 2005-04-13 Semiconductor device having porous structure Abandoned US20050179135A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/105,250 US20050179135A1 (en) 2002-10-31 2005-04-13 Semiconductor device having porous structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US42295602P 2002-10-31 2002-10-31
US10/693,200 US6949456B2 (en) 2002-10-31 2003-10-24 Method for manufacturing semiconductor device having porous structure with air-gaps
US11/105,250 US20050179135A1 (en) 2002-10-31 2005-04-13 Semiconductor device having porous structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/693,200 Division US6949456B2 (en) 2002-10-31 2003-10-24 Method for manufacturing semiconductor device having porous structure with air-gaps

Publications (1)

Publication Number Publication Date
US20050179135A1 true US20050179135A1 (en) 2005-08-18

Family

ID=32179963

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/693,200 Expired - Lifetime US6949456B2 (en) 2002-10-31 2003-10-24 Method for manufacturing semiconductor device having porous structure with air-gaps
US11/105,250 Abandoned US20050179135A1 (en) 2002-10-31 2005-04-13 Semiconductor device having porous structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/693,200 Expired - Lifetime US6949456B2 (en) 2002-10-31 2003-10-24 Method for manufacturing semiconductor device having porous structure with air-gaps

Country Status (2)

Country Link
US (2) US6949456B2 (en)
JP (1) JP2004153280A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060138663A1 (en) * 2004-04-20 2006-06-29 Clarke James S Method of forming air gaps in a dielectric material using a sacrificial film and resulting structures
US20060199368A1 (en) * 2005-02-24 2006-09-07 Manfred Engelhardt Interconnect arrangement and associated production methods
US20060202311A1 (en) * 2005-03-08 2006-09-14 International Business Machines Corporation LOW k DIELECTRIC CVD FILM FORMATION PROCESS WITH IN-SITU IMBEDDED NANOLAYERS TO IMPROVE MECHANICAL PROPERTIES
US20060216932A1 (en) * 2005-02-22 2006-09-28 Devendra Kumar Plasma pre-treating surfaces for atomic layer deposition
US20070111521A1 (en) * 2003-08-04 2007-05-17 Glen Wilk Surface preparation prior to deposition on germanium
US20080079164A1 (en) * 2006-10-02 2008-04-03 Nec Electronics Corporation Electronic device and method of manufacturing the same
US7790601B1 (en) * 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
WO2013075375A1 (en) * 2011-11-25 2013-05-30 Shanghai Ic R & D Center Co., Ltd. Copper interconnect structure and method for manufacturing the same
US8802551B1 (en) * 2013-02-21 2014-08-12 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using voids in a sacrificial layer
US10679893B2 (en) 2018-09-04 2020-06-09 United Microelectronics Corp. Interconnection structure and method of forming the same

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4531400B2 (en) * 2002-04-02 2010-08-25 ダウ グローバル テクノロジーズ インコーポレイティド Method for manufacturing air gap-containing semiconductor device and semiconductor device obtained
US20060264065A1 (en) * 2003-02-05 2006-11-23 So Ying H Sacrificial styrene benzocyclobutene copolymers for making air gap semiconductor devices
WO2004073061A1 (en) * 2003-02-05 2004-08-26 Dow Global Technologies Inc. Sacrificial benzocyclobutene copolymers for making air gap semiconductor devices
WO2004073018A2 (en) * 2003-02-05 2004-08-26 Dow Global Technologies Inc. Sacrificial benzocyclobutene/norbornene polymers for making air gaps within semiconductor devices
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
US20060157776A1 (en) * 2005-01-20 2006-07-20 Cheng-Hung Chang System and method for contact module processing
JP4679193B2 (en) * 2005-03-22 2011-04-27 株式会社東芝 Semiconductor device manufacturing method and semiconductor device
US7994046B2 (en) * 2006-01-27 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
FR2913816B1 (en) * 2007-03-16 2009-06-05 Commissariat Energie Atomique METHOD FOR MANUFACTURING A CAVITE INTERCONNECTION STRUCTURE FOR AN INTEGRATED CIRCUIT
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8283258B2 (en) * 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
US20090081862A1 (en) * 2007-09-24 2009-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap structure design for advanced integrated circuit technology
US20090121356A1 (en) * 2007-11-12 2009-05-14 Rohm Co., Ltd. Semiconductor device and method of manufacturing semiconductor device
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7754601B2 (en) * 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8034677B2 (en) 2010-02-25 2011-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated method for forming high-k metal gate FinFET devices
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
KR20120048991A (en) * 2010-11-08 2012-05-16 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US9653348B1 (en) 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20180012920A (en) * 2016-07-27 2018-02-07 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5798559A (en) * 1996-03-29 1998-08-25 Vlsi Technology, Inc. Integrated circuit structure having an air dielectric and dielectric support pillars
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US6078088A (en) * 1999-01-05 2000-06-20 Advanced Micro Devices, Inc. Low dielectric semiconductor device with rigid lined interconnection system
US6255712B1 (en) * 1999-08-14 2001-07-03 International Business Machines Corporation Semi-sacrificial diamond for air dielectric formation
US20010016412A1 (en) * 1997-07-28 2001-08-23 Ellis Lee Interconnect structure with air gap compatible with unlanded vias
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6346484B1 (en) * 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US20020028575A1 (en) * 2000-09-01 2002-03-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US6440838B1 (en) * 2001-11-20 2002-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing laminated intermediate etch stop layer
US6440861B1 (en) * 2000-08-17 2002-08-27 United Microelectronics Corp. Method of forming dual damascene structure
US20020117737A1 (en) * 2001-02-28 2002-08-29 International Business Corporation Interconnect structure with precise conductor resistance and method to form same
US20020117760A1 (en) * 2001-02-28 2002-08-29 International Business Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US20030064577A1 (en) * 2001-09-28 2003-04-03 Hsu Sheng Teng Method of making air gaps copper interconnect
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US20030077893A1 (en) * 1999-12-29 2003-04-24 Oliver Demolliens Interconnection structure with insulation comprising cavities
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US20030183940A1 (en) * 2002-03-29 2003-10-02 Junji Noguchi Semiconductor device and a method of manufacturing the same
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US20040063305A1 (en) * 2002-09-26 2004-04-01 Grant Kloster Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6780498B2 (en) * 2001-03-23 2004-08-24 Fujitsu Limited Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film
US20050148202A1 (en) * 2002-06-20 2005-07-07 Ludger Heiliger Method for sealing porous materials during chip production and compounds therefor
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US6924238B2 (en) * 2003-06-05 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance
US20050230836A1 (en) * 2004-04-20 2005-10-20 Clarke James S Method of forming air gaps in a dielectric material using a sacrificial film and resulting structures
US20060030128A1 (en) * 2004-08-03 2006-02-09 Xiaomei Bu Structure and method of liner air gap formation
US20080038934A1 (en) * 2006-04-18 2008-02-14 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20080073748A1 (en) * 2006-09-21 2008-03-27 Bielefeld Jeffery D Dielectric spacers for metal interconnects and method to form the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines

Patent Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US6017814A (en) * 1996-03-13 2000-01-25 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US5798559A (en) * 1996-03-29 1998-08-25 Vlsi Technology, Inc. Integrated circuit structure having an air dielectric and dielectric support pillars
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6492256B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Method for forming an interconnect structure with air gap compatible with unlanded vias
US20010016412A1 (en) * 1997-07-28 2001-08-23 Ellis Lee Interconnect structure with air gap compatible with unlanded vias
US20020163082A1 (en) * 1997-07-28 2002-11-07 Ellis Lee Method for forming an interconnect structure with air gap compatible with unlanded vias
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US20020094673A1 (en) * 1998-12-31 2002-07-18 Intel Corporation Method for making interconnects and diffusion barriers in integrated circuits
US6078088A (en) * 1999-01-05 2000-06-20 Advanced Micro Devices, Inc. Low dielectric semiconductor device with rigid lined interconnection system
US6255712B1 (en) * 1999-08-14 2001-07-03 International Business Machines Corporation Semi-sacrificial diamond for air dielectric formation
US20030077893A1 (en) * 1999-12-29 2003-04-24 Oliver Demolliens Interconnection structure with insulation comprising cavities
US20050037604A1 (en) * 2000-02-08 2005-02-17 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US20020098677A1 (en) * 2000-05-31 2002-07-25 Micron Technology, Inc. Multilevel copper interconnects with low-k dielectrics and air gaps
US6440861B1 (en) * 2000-08-17 2002-08-27 United Microelectronics Corp. Method of forming dual damascene structure
US20020127844A1 (en) * 2000-08-31 2002-09-12 International Business Machines Corporation Multilevel interconnect structure containing air gaps and method for making
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6737725B2 (en) * 2000-08-31 2004-05-18 International Business Machines Corporation Multilevel interconnect structure containing air gaps and method for making
US6346484B1 (en) * 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US20020028575A1 (en) * 2000-09-01 2002-03-07 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US6562732B2 (en) * 2000-09-01 2003-05-13 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device
US20020117760A1 (en) * 2001-02-28 2002-08-29 International Business Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20020117737A1 (en) * 2001-02-28 2002-08-29 International Business Corporation Interconnect structure with precise conductor resistance and method to form same
US20030183937A1 (en) * 2001-02-28 2003-10-02 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20030075803A1 (en) * 2001-02-28 2003-04-24 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6780498B2 (en) * 2001-03-23 2004-08-24 Fujitsu Limited Silicon-based composition, low dielectric constant film, semiconductor device, and method for producing low dielectric constant film
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US20040018319A1 (en) * 2001-09-14 2004-01-29 Carlo Waldfried Ultraviolet curing processes for advanced low-k materials
US6841844B2 (en) * 2001-09-28 2005-01-11 Sharp Laboratories Of America, Inc. Air gaps copper interconnect structure
US20030064577A1 (en) * 2001-09-28 2003-04-03 Hsu Sheng Teng Method of making air gaps copper interconnect
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6440838B1 (en) * 2001-11-20 2002-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene structure employing laminated intermediate etch stop layer
US20030183940A1 (en) * 2002-03-29 2003-10-02 Junji Noguchi Semiconductor device and a method of manufacturing the same
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20050148202A1 (en) * 2002-06-20 2005-07-07 Ludger Heiliger Method for sealing porous materials during chip production and compounds therefor
US20040063305A1 (en) * 2002-09-26 2004-04-01 Grant Kloster Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6924238B2 (en) * 2003-06-05 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US20050230836A1 (en) * 2004-04-20 2005-10-20 Clarke James S Method of forming air gaps in a dielectric material using a sacrificial film and resulting structures
US20060138663A1 (en) * 2004-04-20 2006-06-29 Clarke James S Method of forming air gaps in a dielectric material using a sacrificial film and resulting structures
US7071091B2 (en) * 2004-04-20 2006-07-04 Intel Corporation Method of forming air gaps in a dielectric material using a sacrificial film
US20060030128A1 (en) * 2004-08-03 2006-02-09 Xiaomei Bu Structure and method of liner air gap formation
US20080038934A1 (en) * 2006-04-18 2008-02-14 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20080073748A1 (en) * 2006-09-21 2008-03-27 Bielefeld Jeffery D Dielectric spacers for metal interconnects and method to form the same

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799680B2 (en) 2003-08-04 2010-09-21 Asm America, Inc. Surface preparation prior to deposition on germanium
US20070111521A1 (en) * 2003-08-04 2007-05-17 Glen Wilk Surface preparation prior to deposition on germanium
US20060138663A1 (en) * 2004-04-20 2006-06-29 Clarke James S Method of forming air gaps in a dielectric material using a sacrificial film and resulting structures
US7498242B2 (en) 2005-02-22 2009-03-03 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US20060216932A1 (en) * 2005-02-22 2006-09-28 Devendra Kumar Plasma pre-treating surfaces for atomic layer deposition
US20060199368A1 (en) * 2005-02-24 2006-09-07 Manfred Engelhardt Interconnect arrangement and associated production methods
US20110217839A1 (en) * 2005-02-24 2011-09-08 Manfred Engelhardt Interconnect arrangement and associated production methods
US8877631B2 (en) * 2005-02-24 2014-11-04 Infineon Technologies Ag Interconnect arrangement and associated production methods
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20100028695A1 (en) * 2005-03-08 2010-02-04 International Business Machines Corporation LOW k DIELECTRIC CVD FILM FORMATION PROCESS WITH IN-SITU IMBEDDED NANOLAYERS TO IMPROVE MECHANICAL PROPERTIES
WO2006096813A3 (en) * 2005-03-08 2006-12-28 Ibm Low k dielectric cvd film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7998880B2 (en) 2005-03-08 2011-08-16 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US20060202311A1 (en) * 2005-03-08 2006-09-14 International Business Machines Corporation LOW k DIELECTRIC CVD FILM FORMATION PROCESS WITH IN-SITU IMBEDDED NANOLAYERS TO IMPROVE MECHANICAL PROPERTIES
US20080079164A1 (en) * 2006-10-02 2008-04-03 Nec Electronics Corporation Electronic device and method of manufacturing the same
US7928001B2 (en) * 2006-10-02 2011-04-19 Renesas Electronics Corporation Electronic device and method of manufacturing the same
US7790601B1 (en) * 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
WO2013075375A1 (en) * 2011-11-25 2013-05-30 Shanghai Ic R & D Center Co., Ltd. Copper interconnect structure and method for manufacturing the same
US9269613B2 (en) 2011-11-25 2016-02-23 Shanghai Ic R&D Center Co., Ltd Copper interconnect structure and method for manufacturing the same
US8802551B1 (en) * 2013-02-21 2014-08-12 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using voids in a sacrificial layer
US9129903B2 (en) 2013-02-21 2015-09-08 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using voids in a sacrificial layer
US10679893B2 (en) 2018-09-04 2020-06-09 United Microelectronics Corp. Interconnection structure and method of forming the same
US11373901B2 (en) 2018-09-04 2022-06-28 United Microelectronics Corp. Interconnection structure and method of forming the same

Also Published As

Publication number Publication date
JP2004153280A (en) 2004-05-27
US20040087133A1 (en) 2004-05-06
US6949456B2 (en) 2005-09-27

Similar Documents

Publication Publication Date Title
US6949456B2 (en) Method for manufacturing semiconductor device having porous structure with air-gaps
US7023093B2 (en) Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US9673087B2 (en) Interconnect structures incorporating air-gap spacers
US6806203B2 (en) Method of forming a dual damascene structure using an amorphous silicon hard mask
US7030031B2 (en) Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7564136B2 (en) Integration scheme for Cu/low-k interconnects
US6291334B1 (en) Etch stop layer for dual damascene process
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6265321B1 (en) Air bridge process for forming air gaps
US6756321B2 (en) Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US7767587B2 (en) Method of forming an interconnection structure in a organosilicate glass having a porous layer with higher carbon content located between two lower carbon content non-porous layers
US20040214427A1 (en) Forming thin hard mask over air gap or porous dielectric
US6358839B1 (en) Solution to black diamond film delamination problem
JP2001351976A (en) Method for protecting low-permittivity layer on semiconductor material
US20090075470A1 (en) Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US20050233572A1 (en) Dual damascene structure formed of low-k dielectric materials
KR100292403B1 (en) Interlayer dielectric film of semiconductor device and method for forming film
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US7365375B2 (en) Organic-framework zeolite interlayer dielectrics
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20020173157A1 (en) Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
KR101098274B1 (en) Method for forming dual damascene pattern
GB2358734A (en) Process for fabricating integrated circuit with multi-layer dielectric having reduced capacitance

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION