US20050242340A1 - Strained silicon NMOS devices with embedded source/drain - Google Patents

Strained silicon NMOS devices with embedded source/drain Download PDF

Info

Publication number
US20050242340A1
US20050242340A1 US11/062,993 US6299305A US2005242340A1 US 20050242340 A1 US20050242340 A1 US 20050242340A1 US 6299305 A US6299305 A US 6299305A US 2005242340 A1 US2005242340 A1 US 2005242340A1
Authority
US
United States
Prior art keywords
silicon
strained silicon
aperture
layer
electrode layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/062,993
Inventor
Dureseti Chidambarrao
Effendi Leobandung
Anda Mocuta
Haining Yang
Huilong Zhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/062,993 priority Critical patent/US20050242340A1/en
Publication of US20050242340A1 publication Critical patent/US20050242340A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Definitions

  • the field of the invention is that of integrated circuit fabrication, including CMOS transistors formed with strained silicon for higher mobility.
  • Planar transistors such as metal oxide semiconductor field effect transistors (MOSFET) are particularly well suited for use in high-density integrated circuits.
  • MOSFET metal oxide semiconductor field effect transistors
  • Strained silicon technology allows the formation of higher speed devices.
  • Strained-silicon transistors are created by depositing a graded layer of silicon germanium (SiGe) on a bulk silicon wafer. The top part of the SiGe layer is relaxed SiGe. A thin layer of silicon is subsequently deposited on the SiGe layer. The crystalline structure of SiGe is diamond which is the same as silicon (Si). The lattice constant in the SiGe is greater than that in Si. If the thickness of a strained Si or SiGe layer is smaller than a critical thickness, the stress in the strained layer can be maintained and dislocations are not generated.
  • MOS transistors There are two general types of MOS transistors, N-channel MOS (NMOS) formed with n-type source and drain regions in a p-type region of silicon, and P-channel MOS (PMOS) formed with p-type source and drain regions in an n-type region of silicon.
  • NMOS transistors conduct electrons through the transistor channel
  • PMOS transistors conduct holes through the transistor channel.
  • the source and drain regions of the transistors are doped with phosphorous or arsenic to form n-type source/drain regions, while boron doping is used to form p-type source/drain regions.
  • CMOS transistors which comprise N- and P-channel MOS transistors on the same substrate, suffer from imbalance. The imbalance is due to electron mobility being greater than hole mobility in the channel region. Therefore, NMOS transistors are faster than PMOS transistors. Typically, NMOS transistors are about 2 to about 2.5 times faster than PMOS transistors.
  • a particular aspect of strained silicon NMOS transistors that have SiGe under the strained silicon is that the external resistance of an NMOS transistor is greater than the exterior resistance of a corresponding/conventional NMOS transistor.
  • semiconductor devices as used herein, is not to be limited to the specifically disclosed embodiments.
  • Semiconductor devices include a wide variety of electronic devices including flip chips, flip chip/package assemblies, transistors, capacitors, microprocessors, random access memories, etc.
  • semiconductor devices refer to any electrical device comprising a semiconductor.
  • the invention relates to a structure and method of forming NFETs with a strained silicon channel and with external resistance as small as that in conventional Si NFET.
  • a feature of the invention is the provision of temporary gate spacers that prevent a strained silicon transistor channel from losing stress when the strained silicon outside the body is removed.
  • Another feature of the invention is undercutting the silicon below temporary gate spacers, thereby reducing the distance between the channel and the SID electrode.
  • Another feature of the invention is the replacement of SiGe by silicon in areas that will reduce arsenic diffusion and be easier to form shallow junctions.
  • FIG. 1 shows a cross section of a NFET according to the invention after formation of the gate and before ion implantation.
  • FIG. 4 shows the same area after filling the aperture with epitaxial silicon.
  • FIG. 7 shows the completed structure
  • a SiGe layer 20 has a nominal thickness of about 30 nm.
  • Strained silicon layer 30 has a nominal thickness of about 15-25 nm.
  • a S/D region having acceptable resistivity requires a thicker layer of silicon than is provided for by considerations of device construction.
  • strained silicon for NFET performance enhancement. It is also known that the external resistance of an NFET on thin strained silicon/SiGe substrate is greater than the corresponding value for an unstrained silicon substrate; in part because the resistivity of arsenic doped SiGe is greater than that in silicon.
  • Arsenic As, the standard dopant
  • SiGe the standard dopant
  • SiGe the standard dopant
  • As dopant diffuses much faster in SiGe than in silicon, so that it is correspondingly difficult to form shallow and sharp p-n junctions and to control the concentration of As dopant at a given location.
  • This last feature means that there is an increased risk of punch through and adverse short channel effects in a strained silicon NFET on a strained Si/SiGe substrate compared with a corresponding NFET on a conventional silicon substrate.
  • FIG. 2 shows the result of applying a wet etch (e.g. KOH, which etches silicon selectively to SiGe) to silicon 30 and removing the strained silicon outside the transistor body for a length of time such that spacers 120 are undercut, leaving a vertical surface 32 at the edge of the strained transistor body 31 .
  • a wet etch e.g. KOH, which etches silicon selectively to SiGe
  • substantially non-directional process will be used in the following claims to denote both a wet etch and a dry etch that has a sufficiently large transverse component to undercut temporary spacers 120 to the required amount.
  • An advantage of the undercutting step in the process is that the distance between the channel and the S/D electrode is reduced, since the epi silicon that fills the undercut area is electrically part of the S/D electrode, whether it is doped during epi growth or by implantation after the formation of the material. This reduced distance translates into reduced exterior resistance.
  • the bottom dimension of the spacers 120 therefore must be at least enough to cover the buffer portion of silicon.
  • FIG. 3 shows the result of the following step, in which a portion of the SiGe under the first S/D aperture is also removed, forming a second S/D aperture 134 having an additional depth indicated by bracket 22 .
  • FIG. 4 shows that the electrode layer of silicon has been deposited above the bottom of spacers 120 , which mark the previous top surface of the wafer. This is not essential, but increases the cross section of silicon that carries the current, thereby reducing the external resistance.
  • the structure shown in this example is therefore a raised source/drain structure.
  • FIG. 5 shows the result of stripping the temporary/disposable spacers 120 in hot phosphoric acid, leaving a pair of apertures 123 , and implanting the halo and extension implants (referred to separately and together as the transition implants).
  • the magnitude of the implant dose and the implanting voltage are conventional for the illustrated case.
  • the halo implant (illustratively dose ⁇ 1 ⁇ 10 13 -1 ⁇ 10 14 /cm 2 ) is implanted at an angle, so that it penetrates under the gate dielectric, as is conventional.
  • the extension implant (illustratively dose ⁇ 3 ⁇ 10 14 -1 ⁇ 10 15 /cm 2 ) is performed.
  • An option may be, for example, that the correct dopant concentration for the extension area is provided during the epi growth step (or during gas phase doping) and only the halo implant is needed.
  • FIG. 6 shows the result of depositing a second: pair of gate spacers 125 that extend past the location of the temporary spacers in this example. It is not necessary that the final spacers be wider than the temporary spacers and the opposite may be the case—the final spacers may be narrower than the temporary spacers in order to reduce the distance between channel and S/D.
  • Conventional S/D implants are made using the spacers as a mask. The energy of the S/D implants is chosen such that the vertical extent of the silicon 35 is doped, to provide the lowest resistance. With the configuration shown, the S/D and the extension are embedded in a pure Si layer.
  • Box 200 in FIG. 6 represents schematically other NFETs and PFETs that are connected together to form an integrated circuit.
  • FIG. 7 shows an alternative example of the final structure in which a staircase silicon extension SD has been formed. This structure reduces stress relaxation in the strained Si channel compared with the structure of FIG. 6 and further reduces extension resistance.
  • the method to form this structure is, after formation of the spacer 120 :
  • Line 32 ′ represents the boundary between the original silicon 30 and the epi. Its location will vary according to the degree of directionality of the RIE etch and the degree to which the SiGe etch attacks Si. The requirement on the holding power of the material abutting the silicon 30 —that it prevent relaxation of the stress in silicon 30 is the same as In the previous embodiment.
  • transistor structure is a compromise affected by many factors.
  • the dopant concentration of the extension implant and the transverse (left-right in the Figure) dimension of the transistor will affect its electrical performance, as well as its external resistance.
  • the transverse dimension will also affect the chance of a short developing between one of the S/D and the gate, so that the available reduction in external resistance is limited by a requirement of a minimum distance between the transistor channel and the S/D, as well as other factors.
  • FIG. 7 also has the advantage over the previous embodiment of FIG. 6 that by undercutting the temporary spacers, the distance between the channel and the S/D can be reduced and therefore that the external resistance can correspondingly be reduced.
  • oxide spacers such as oxide spacers, oxy-nitride gate dielectric, silicide for the S/D area and the like.

Abstract

A planar NFET on a strained silicon layer supported by a SiGe layer achieves reduced external resistance by removing SiGe material outside the transistor body and below the strained silicon layer and replacing the removed material with epitaxial silicon, thereby providing lower resistance for the transistor electrodes and permitting better control over Arsenic diffusion.

Description

    BACKGROUND OF INVENTION
  • The field of the invention is that of integrated circuit fabrication, including CMOS transistors formed with strained silicon for higher mobility.
  • An important aim of ongoing research in the semiconductor industry is increasing semiconductor performance while decreasing the size of semiconductor devices. Planar transistors, such as metal oxide semiconductor field effect transistors (MOSFET) are particularly well suited for use in high-density integrated circuits.
  • Strained silicon technology allows the formation of higher speed devices. Strained-silicon transistors are created by depositing a graded layer of silicon germanium (SiGe) on a bulk silicon wafer. The top part of the SiGe layer is relaxed SiGe. A thin layer of silicon is subsequently deposited on the SiGe layer. The crystalline structure of SiGe is diamond which is the same as silicon (Si). The lattice constant in the SiGe is greater than that in Si. If the thickness of a strained Si or SiGe layer is smaller than a critical thickness, the stress in the strained layer can be maintained and dislocations are not generated. Therefore, when a thin silicon layer (thinner than critical thickness) is deposited on top of SiGe the silicon crystal lattice tends to stretch or “strain” to align the silicon atoms with the atoms in the SiGe layer. Electrons in the strained silicon experience less resistance and flow up to 80% faster than in unstrained silicon.
  • There are two general types of MOS transistors, N-channel MOS (NMOS) formed with n-type source and drain regions in a p-type region of silicon, and P-channel MOS (PMOS) formed with p-type source and drain regions in an n-type region of silicon. NMOS transistors conduct electrons through the transistor channel, while PMOS transistors conduct holes through the transistor channel. Typically, the source and drain regions of the transistors are doped with phosphorous or arsenic to form n-type source/drain regions, while boron doping is used to form p-type source/drain regions.
  • CMOS transistors, which comprise N- and P-channel MOS transistors on the same substrate, suffer from imbalance. The imbalance is due to electron mobility being greater than hole mobility in the channel region. Therefore, NMOS transistors are faster than PMOS transistors. Typically, NMOS transistors are about 2 to about 2.5 times faster than PMOS transistors.
  • A particular aspect of strained silicon NMOS transistors that have SiGe under the strained silicon is that the external resistance of an NMOS transistor is greater than the exterior resistance of a corresponding/conventional NMOS transistor.
  • Various approaches in circuit design have been developed to compensate for the difference in transistor operating speed or to exploit the higher speed of NMOS transistors.
  • There exists a need in the semiconductor device art to provide NMOS transistors on strained silicon that have external resistance that is closer to that of un-strained/conventional transistors. Experimental data show that a strained Si/SiGe NFET has larger external resistance than a conventional Si NFET, although the channel resistance of strained Si/SiGe NFET is smaller than that in a Si NFET. Therefore, a preferable structure for a high performance NFET is that of a strained Si channel with the source/drain and extension being formed in pure silicon. This gives both small external resistance and small channel resistance. Our invention provides structures and methods for making such NFETs.
  • The term semiconductor devices, as used herein, is not to be limited to the specifically disclosed embodiments. Semiconductor devices, as used herein, include a wide variety of electronic devices including flip chips, flip chip/package assemblies, transistors, capacitors, microprocessors, random access memories, etc. In general, semiconductor devices refer to any electrical device comprising a semiconductor.
  • SUMMARY OF INVENTION
  • The invention relates to a structure and method of forming NFETs with a strained silicon channel and with external resistance as small as that in conventional Si NFET.
  • A feature of the invention is the provision of temporary gate spacers that prevent a strained silicon transistor channel from losing stress when the strained silicon outside the body is removed.
  • Another feature of the invention is the removal of part of the SiGe below the silicon in the SID and/or extension area and replacement by silicon thereby reducing exterior resistance.
  • Another feature of the invention is undercutting the silicon below temporary gate spacers, thereby reducing the distance between the channel and the SID electrode.
  • Another feature of the invention is the replacement of SiGe by silicon in areas that will reduce arsenic diffusion and be easier to form shallow junctions.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 shows a cross section of a NFET according to the invention after formation of the gate and before ion implantation.
  • FIG. 2 shows a cross section of the same area after removal of strained silicon outside the transistor body.
  • FIG. 3 shows the same area after removal of SiGe from the bottom of the aperture in the strained silicon.
  • FIG. 4 shows the same area after filling the aperture with epitaxial silicon.
  • FIG. 5 shows the area after removal of the temporary spacers and halo and extension Implantation.
  • FIG. 6 shows the area after formation of spacers separating the source and drain from the gate and implantation of the source and drain.
  • FIG. 7 shows the completed structure.
  • DETAILED DESCRIPTION
  • FIG. 1 shows a cross section of an NFET under construction on a wafer having a lower section, which may be a bulk substrate 10 or a buried insulator (BOX) on a bulk substrate, both of the foregoing being denoted by numeral 10.
  • As is conventional, the bulk substrate is shown in the lower portion of the figures and the transistor is shown in the upper or top portion. Corresponding terms are used to describe the location of items in the Figures—i.e. the gate Is above the transistor body, etc.
  • Above layer 10, a SiGe layer 20 has a nominal thickness of about 30 nm. Strained silicon layer 30 has a nominal thickness of about 15-25 nm. As discussed below, a S/D region having acceptable resistivity requires a thicker layer of silicon than is provided for by considerations of device construction.
  • A structure, denoted generally by numeral 100, will become an NFET transistor. A conventional gate dielectric 105, illustratively thermal oxide, has been grown on the top surface of silicon 30. A polycrystalline silicon (poly) gate 110 has been formed, with a pair of temporary nitride spacers 120 on either side of gate 110. A cap 122 protects gate 110 during preliminary steps.
  • The advantages of strained silicon for NFET performance enhancement are well known. It is also known that the external resistance of an NFET on thin strained silicon/SiGe substrate is greater than the corresponding value for an unstrained silicon substrate; in part because the resistivity of arsenic doped SiGe is greater than that in silicon.
  • In addition, Arsenic (As, the standard dopant) diffuses much faster in SiGe than in silicon, so that it is correspondingly difficult to form shallow and sharp p-n junctions and to control the concentration of As dopant at a given location. This last feature means that there is an increased risk of punch through and adverse short channel effects in a strained silicon NFET on a strained Si/SiGe substrate compared with a corresponding NFET on a conventional silicon substrate.
  • On the left and right of FIG. 1, a pair of isolation members 5 are shallow trenches filled with oxide (referred to as STI, for shallow trench isolation).
  • FIG. 2 shows the result of applying a wet etch (e.g. KOH, which etches silicon selectively to SiGe) to silicon 30 and removing the strained silicon outside the transistor body for a length of time such that spacers 120 are undercut, leaving a vertical surface 32 at the edge of the strained transistor body 31. The term “substantially non-directional process” will be used in the following claims to denote both a wet etch and a dry etch that has a sufficiently large transverse component to undercut temporary spacers 120 to the required amount.
  • An advantage of the undercutting step in the process is that the distance between the channel and the S/D electrode is reduced, since the epi silicon that fills the undercut area is electrically part of the S/D electrode, whether it is doped during epi growth or by implantation after the formation of the material. This reduced distance translates into reduced exterior resistance.
  • This step opens a first S/D aperture 132 on both sides of the structure 100. Bracket 34 indicates the amount of silicon 30 remaining outside gate 110 after the etch. The magnitude of this remaining silicon, referred to as a buffer portion of silicon, is selected such that the mechanical strength of the attachment of the upper surface of the silicon to the material above it (gate oxide and nitride) is sufficient to prevent the strain in the silicon from relaxing.
  • Those skilled in the art will be aware that if the strain did relax, the performance advantage provided by the strained silicon would be lost. The bottom dimension of the spacers 120 therefore must be at least enough to cover the buffer portion of silicon.
  • FIG. 3 shows the result of the following step, in which a portion of the SiGe under the first S/D aperture is also removed, forming a second S/D aperture 134 having an additional depth indicated by bracket 22.
  • The thickness of silicon layer 30 is chosen to provide a good body for the final transistor and not for providing low external resistance. In contemporary technology, the maximum electrically active dopant concentration in the source and drain (i.e. the solubility limit) that will be formed outside the transistor body is not enough to lower the external resistance to a desired amount if only silicon 30 is used for the source and drain. Accordingly, the external resistance with the new structure can be reduced by removing a portion of the SiGe and substituting lower-resistance silicon.
  • FIG. 4 shows the result of filling the first and second apertures by an epitaxially grown layer of silicon 35, referred to as an electrode layer. Epitaxial silicon (epi) is preferred because the material is of higher quality and because the grain boundaries of poly increase the resistance of poly fill. In some cases, poly may be suitable and epi Is not always essential. Electrode layer 35 makes mechanical contact with the transistor body 31 to preserve the strain in the transistor channel. It also makes electrical contact with the transistor body, so that the electron carriers may flow from the electrodes in and out of the body. The boundary between the old silicon in the transistor body and the new silicon is indicated with a dotted line 32′.
  • FIG. 4 shows that the electrode layer of silicon has been deposited above the bottom of spacers 120, which mark the previous top surface of the wafer. This is not essential, but increases the cross section of silicon that carries the current, thereby reducing the external resistance. The structure shown in this example is therefore a raised source/drain structure.
  • FIG. 5 shows the result of stripping the temporary/disposable spacers 120 in hot phosphoric acid, leaving a pair of apertures 123, and implanting the halo and extension implants (referred to separately and together as the transition implants). The magnitude of the implant dose and the implanting voltage are conventional for the illustrated case. The halo implant (illustratively dose ˜1×1013-1×1014/cm2) is implanted at an angle, so that it penetrates under the gate dielectric, as is conventional. The extension implant (illustratively dose ˜3×1014-1×1015/cm2) is performed. An option may be, for example, that the correct dopant concentration for the extension area is provided during the epi growth step (or during gas phase doping) and only the halo implant is needed.
  • The cited U.S. Pat. No. 6,657,223 has to perform extension and halo implants before the Si in the SD areas is grown and with the gate electrode as the hardmask, in contrast to the presently disclosed process, in which the extension and halo implants are performed after the temporary spacers are removed and before the final spacers are formed.
  • The use of a disposable spacer is a significant advantage of the present process. Since the dopants are introduced (i.e., by implantation) into the extension and channel after Si epi growth (see FIG. 4), this can avoid the diffusion of the dopants due to thermal cycle of the Si epi growth. This can form shallower junctions than that proposed by U.S. Pat. No. 6,657,223 and thereby improve short channel effects.
  • Since As diffuses much less rapidly in silicon than in SiGe with a high percentage of Ge, the extension and SD implant are better controlled in transistors made according to the Invention.
  • FIG. 6 shows the result of depositing a second: pair of gate spacers 125 that extend past the location of the temporary spacers in this example. It is not necessary that the final spacers be wider than the temporary spacers and the opposite may be the case—the final spacers may be narrower than the temporary spacers in order to reduce the distance between channel and S/D. Conventional S/D implants are made using the spacers as a mask. The energy of the S/D implants is chosen such that the vertical extent of the silicon 35 is doped, to provide the lowest resistance. With the configuration shown, the S/D and the extension are embedded in a pure Si layer.
  • Box 200 in FIG. 6 represents schematically other NFETs and PFETs that are connected together to form an integrated circuit.
  • FIG. 7 shows an alternative example of the final structure in which a staircase silicon extension SD has been formed. This structure reduces stress relaxation in the strained Si channel compared with the structure of FIG. 6 and further reduces extension resistance.
  • The method to form this structure is, after formation of the spacer 120:
  • 1) RIE silicon 30 stopping on SiGe;
  • 2) wet etch SiGe 20 selective to Si to undercut SiGe under the Si, thereby extending the S/D aperture downward past line 26 to line 27;
  • 3) RIE SiGe 20 to form the staircase of SiGe extending the aperture further down to line 28; and
  • 4) epitaxial growth of Si to form the structure shown in FIG. 7.
  • After this step, one can remove the disposable spacer 120 to perform halo and extension Implantation, form spacer, SD implantation, and SD annealing to activate dopant in the device. Line 32′ represents the boundary between the original silicon 30 and the epi. Its location will vary according to the degree of directionality of the RIE etch and the degree to which the SiGe etch attacks Si. The requirement on the holding power of the material abutting the silicon 30—that it prevent relaxation of the stress in silicon 30 is the same as In the previous embodiment.
  • Those skilled in the art are aware that transistor structure is a compromise affected by many factors. The dopant concentration of the extension implant and the transverse (left-right in the Figure) dimension of the transistor will affect its electrical performance, as well as its external resistance. The transverse dimension will also affect the chance of a short developing between one of the S/D and the gate, so that the available reduction in external resistance is limited by a requirement of a minimum distance between the transistor channel and the S/D, as well as other factors.
  • Although the prior art cited U.S. Pat. No. 6,657,223 is directed at reducing junction leakage in the bulk wafer example shown in that patent, leakage is actually an advantage in a circuit constructed on a SOI wafer. Since SOI transistors have floating bodies, junction leakage can reduce the need for a body contact, thus saving space.
  • The embodiment of FIG. 7 also has the advantage over the previous embodiment of FIG. 6 that by undercutting the temporary spacers, the distance between the channel and the S/D can be reduced and therefore that the external resistance can correspondingly be reduced.
  • Various choices may be made to use alternative materials from those illustrated, such as oxide spacers, oxy-nitride gate dielectric, silicide for the S/D area and the like.
  • While the invention has been described in terms of a single preferred embodiment, those skilled in the art will recognize that the invention can be practiced in various versions within the spirit and scope of the following claims.

Claims (25)

1. A method of forming an NFET having a strained silicon transistor body in a layer of strained silicon, having a strained silicon layer thickness, disposed on a support layer of SiGe alloy, comprising the steps of:
forming a gate dielectric over said strained silicon transistor body;
forming a gate electrode over said gate dielectric;
forming a pair of temporary dielectric spacers on opposite sides of said gate electrode;
removing said strained silicon outside said transistor body and below said pair of temporary spacers by a substantially non-directional process, leaving a buffer portion of strained silicon in said strained silicon layer and outside said transistor body and a first S/D aperture outside said buffer portion;
forming an electrode layer of silicon in said first S/D aperture, making mechanical and electrical contact with said transistor body and with a portion of said support layer of SeGe below said first S/D aperture;
forming transition doped areas between said transistor body and electrode areas in said electrode layer on opposite sides of said transistor body; and
forming transistor electrodes in said electrode areas.
2. A method according to claim 1, further comprising a step of:
removing said pair of temporary dielectric spacers after said step of forming said electrode layer of silicon in said first aperture; and forming said transition doped areas by implanting dopants in said electrode layer of silicon.
3. A method according to claim 2, further comprising a step of:
removing SiGe alloy from said support layer below said S/D aperture, thereby extending said first S/D aperture vertically downward to form a second S/D aperture; and
forming said electrode layer of silicon in said second aperture with an electrode layer thickness greater than said strained silicon layer thickness.
4. A method according to claim 1, in which said temporary spacers have a thickness abutting said strained silicon layer such that said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
5. A method according to claim 4, further comprising a step of:
removing said pair of temporary dielectric spacers after said step of forming said electrode layer of silicon in said first aperture; and
forming said transition doped areas by implanting dopants in said electrode layer of silicon.
6. A method according to claim 5, further comprising a step of:
removing SiGe alloy from said support layer below said S/D aperture, thereby extending said first S/D aperture vertically downward to form a second S/D aperture; and
forming said electrode layer of silicon in said second aperture with an electrode layer thickness greater than said strained silicon layer thickness.
7. A method according to claim 1, further comprising a step of:
removing SiGe alloy from said support layer below said S/D aperture, thereby extending said first S/D aperture vertically downward to form a second S/D aperture; and
forming said electrode layer of silicon in said second aperture with an electrode layer thickness greater than said strained silicon layer thickness.
8. A method according to claim 7, further comprising a step of:
after said step of forming said transition doped areas, forming a pair of spacers between said gate electrode and source and drain areas in said electrode layer of silicon on opposite sides of said gate electrode and forming source and drain electrodes in said source and drain areas.
9. A method according to claim 8, in which said temporary spacers have a thickness abutting said strained silicon layer such that said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
10. A method according to claim 1, in which said electrode layer of silicon is formed in said second aperture up to at least the level of said gate dielectric.
11. A method according to claim 10, in which said temporary spacers have a thickness abutting said strained silicon layer such that said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
12. A method according to claim 11, further comprising a step of:
removing said pair of temporary dielectric spacers after said step of forming said electrode layer of silicon in said first aperture; and
forming said transition doped areas by implanting dopants in said electrode layer of silicon.
13. A method according to claim 12, further comprising a step of:
removing SiGe alloy from said support layer below said S/D aperture, thereby extending said first S/D aperture vertically downward to form a second S/D aperture; and
forming said electrode layer of silicon in said second aperture with an electrode layer thickness greater than said strained silicon layer thickness.
14. An NFET having a strained silicon transistor body in a layer of strained silicon, having a strained silicon layer thickness, disposed on a support layer of SiGe alloy, comprising:
a gate dielectric over said strained silicon transistor body;
a gate electrode over said gate dielectric; and a pair of transistor electrodes in electrode areas on opposite sides of said gate electrode, separated from said gate electrode by an extension area, in which;
said transistor electrodes are formed in an electrode layer of silicon outside said transistor body, separated from said transistor body by a buffer portion of strained silicon in said strained silicon layer and making mechanical and electrical contact with said transistor body.
15. An NFET according to claim 14, in which said electrode layer of silicon extends downward past a lower surface of said transistor body, thereby increasing an electrode cross section of said transistor electrodes.
16. An NFET according to claim 14, in which said electrode layer of silicon is formed by epitaxial growth on an exposed surface of said support layer of SiGe alloy.
17. An NFET according to claim 14, in which said electrode layer of silicon extends upward above a top surface of said transistor body.
18. An integrated circuit comprising a set of PFET transistors and a set of NFET transistors connected to form an electrical circuit, in which at least one NFET has a strained silicon layer thickness, disposed on a support layer of SiGe alloy;
a gate dielectric over said strained silicon transistor body;
a gate electrode over said gate dielectric; and a pair of transistor electrodes in electrode areas on opposite sides of said gate electrode, separated from said gate electrode by an extension area, in which;
said transistor electrodes are formed in an electrode layer of silicon outside said transistor body, separated from said transistor body by a buffer portion of strained silicon in said strained silicon layer and making mechanical and electrical contact with said transistor body.
19. An integrated circuit according to claim 18, in which said electrode layer of silicon is formed by epitaxial growth on an exposed surface of said support layer of SiGe alloy.
20. An integrated circuit according to claim 18, in which said electrode layer of silicon extends upward above a top surface of said transistor body.
21. An integrated circuit according to claim 15, in which said electrode layer of silicon is formed by epitaxial growth on an exposed surface of said support layer of SiGe alloy.
22. An NFET according to claim 15, in which said electrode layer of silicon extends upward above a top surface of said transistor body.
23. An NFET according to claim 14, in which said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
24. An NFET according to claim 15, in which said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
25. An NFET according to claim 16, in which said buffer portion of strained silicon in said strained silicon layer has sufficient mechanical strength to maintain stress in said strained silicon body after said first aperture is formed.
US11/062,993 2004-03-23 2005-02-22 Strained silicon NMOS devices with embedded source/drain Abandoned US20050242340A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/062,993 US20050242340A1 (en) 2004-03-23 2005-02-22 Strained silicon NMOS devices with embedded source/drain

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/708,746 US6881635B1 (en) 2004-03-23 2004-03-23 Strained silicon NMOS devices with embedded source/drain
US11/062,993 US20050242340A1 (en) 2004-03-23 2005-02-22 Strained silicon NMOS devices with embedded source/drain

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/708,746 Division US6881635B1 (en) 2004-03-23 2004-03-23 Strained silicon NMOS devices with embedded source/drain

Publications (1)

Publication Number Publication Date
US20050242340A1 true US20050242340A1 (en) 2005-11-03

Family

ID=34435655

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/708,746 Expired - Fee Related US6881635B1 (en) 2004-03-23 2004-03-23 Strained silicon NMOS devices with embedded source/drain
US11/062,993 Abandoned US20050242340A1 (en) 2004-03-23 2005-02-22 Strained silicon NMOS devices with embedded source/drain

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/708,746 Expired - Fee Related US6881635B1 (en) 2004-03-23 2004-03-23 Strained silicon NMOS devices with embedded source/drain

Country Status (1)

Country Link
US (2) US6881635B1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163672A1 (en) * 2005-01-21 2006-07-27 Chih-Hao Wang High performance CMOS device design
US20070210314A1 (en) * 2006-03-10 2007-09-13 Winstead Brian A Semiconductor device with stressors and method therefor
US20070231999A1 (en) * 2006-03-28 2007-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US20070269942A1 (en) * 2006-05-16 2007-11-22 International Business Machines Corporation Dual stress liner
US20070298557A1 (en) * 2006-06-22 2007-12-27 Chun-Feng Nieh Junction leakage reduction in SiGe process by tilt implantation
US20070298565A1 (en) * 2006-06-22 2007-12-27 Chun-Feng Nieh Junction leakage reduction in SiGe process by implantation
US20070296001A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Multiple conduction state devices having differently stressed liners
US20080121929A1 (en) * 2006-09-19 2008-05-29 Jerry Lai Silicide formation on SiGe
US20090075445A1 (en) * 2005-03-11 2009-03-19 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US20090294850A1 (en) * 2008-05-30 2009-12-03 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
US20100072549A1 (en) * 2008-09-24 2010-03-25 Koji Usuda Semiconductor device and method for manufacturing the same
US20120241864A1 (en) * 2011-03-21 2012-09-27 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Shallow Source and Drain Architecture in an Active Region of a Semiconductor Device Having a Pronounced Surface Topography by Tilted Implantation
US20120248503A1 (en) * 2010-11-10 2012-10-04 Huo Zongliang Semiconductor memory cell, device, and method for manufacturing the same
TWI421949B (en) * 2006-09-20 2014-01-01 Samsung Electronics Co Ltd Semiconductor device including field effct transistor and method of forming the same
US8664072B2 (en) 2012-05-30 2014-03-04 Globalfoundries Inc. Source and drain architecture in an active region of a P-channel transistor by tilted implantation
US20140299919A1 (en) * 2012-07-19 2014-10-09 Haizhou Yin Semiconductor device and method for manufacturing the same
US20230343632A1 (en) * 2010-11-18 2023-10-26 Monolithic 3D Inc. 3d semiconductor device and structure with single-crystal layers
US20230352333A1 (en) * 2010-11-18 2023-11-02 Monolithic 3D Inc. 3d semiconductor devices and structures with at least two single-crystal layers

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
JP4004448B2 (en) * 2003-09-24 2007-11-07 富士通株式会社 Semiconductor device and manufacturing method thereof
US7018882B2 (en) * 2004-03-23 2006-03-28 Sharp Laboratories Of America, Inc. Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
US7157374B1 (en) * 2004-06-28 2007-01-02 Advanced Micro Devices, Inc. Method for removing a cap from the gate of an embedded silicon germanium semiconductor device
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US20060030093A1 (en) * 2004-08-06 2006-02-09 Da Zhang Strained semiconductor devices and method for forming at least a portion thereof
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4888118B2 (en) * 2004-09-16 2012-02-29 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
JP4327104B2 (en) * 2005-01-20 2009-09-09 富士通マイクロエレクトロニクス株式会社 Manufacturing method of MOS type field effect transistor and MOS type field effect transistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7268362B2 (en) * 2005-02-25 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with SiGe strain
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
WO2006103321A1 (en) * 2005-04-01 2006-10-05 Stmicroelectronics (Crolles 2) Sas Strained-channel pmos transistor and corresponding production method
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
JP2006350222A (en) * 2005-06-20 2006-12-28 Pioneer Electronic Corp Driving circuit and display apparatus
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
EP1739749A2 (en) * 2005-06-30 2007-01-03 STMicroelectronics (Crolles 2) SAS Memory cell with an isolated-body MOS transistor with prolongated memory effect
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
CN100442476C (en) * 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process
US7772635B2 (en) * 2005-10-27 2010-08-10 Micron Technology, Inc. Non-volatile memory device with tensile strained silicon layer
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7550336B2 (en) * 2005-11-25 2009-06-23 United Microelectronics Corp. Method for fabricating an NMOS transistor
US8159030B2 (en) * 2005-11-30 2012-04-17 Globalfoundries Inc. Strained MOS device and methods for its fabrication
US7718500B2 (en) * 2005-12-16 2010-05-18 Chartered Semiconductor Manufacturing, Ltd Formation of raised source/drain structures in NFET with embedded SiGe in PFET
US7592213B2 (en) * 2005-12-29 2009-09-22 Intel Corporation Tensile strained NMOS transistor using group III-N source/drain regions
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
US7494856B2 (en) * 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7772071B2 (en) * 2006-05-17 2010-08-10 Chartered Semiconductor Manufacturing Ltd. Strained channel transistor and method of fabrication thereof
US7413961B2 (en) * 2006-05-17 2008-08-19 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a transistor structure
US20080044967A1 (en) * 2006-08-19 2008-02-21 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7557000B2 (en) * 2006-11-20 2009-07-07 Semiconductor Manufacturing International (Shanghai) Corporation Etching method and structure using a hard mask for strained silicon MOS transistors
CN101226899A (en) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 Structure and process for subsequently epitaxial growing strain silicon MOS chip tube in silicon dent
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
CN101364545B (en) 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 Germanium-silicon and polycrystalline silicon grating construction of strain silicon transistor
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
US7700416B1 (en) 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US7851325B1 (en) * 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US8199076B2 (en) * 2008-10-30 2012-06-12 National Cheng Kung University Pixel circuit
US20100181626A1 (en) 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US8247285B2 (en) * 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
CN102024761A (en) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor integrated circuit device
US8361867B2 (en) 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8415748B2 (en) 2010-04-23 2013-04-09 International Business Machines Corporation Use of epitaxial Ni silicide
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
CN101986435B (en) * 2010-06-25 2012-12-19 中国科学院上海微系统与信息技术研究所 Manufacturing method of metal oxide semiconductor (MOS) device structure for preventing floating body and self-heating effect
CN102339852B (en) * 2010-07-27 2013-03-27 中国科学院微电子研究所 Semiconductor device and method for manufacturing same
CN102339860B (en) * 2010-07-27 2013-03-27 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8395213B2 (en) 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8435846B2 (en) 2011-10-03 2013-05-07 International Business Machines Corporation Semiconductor devices with raised extensions
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US8847315B2 (en) 2012-05-07 2014-09-30 Qualcomm Incorporated Complementary metal-oxide-semiconductor (CMOS) device and method
FR3009651B1 (en) * 2013-08-09 2016-12-23 Commissariat Energie Atomique IMPROVED METHOD FOR MAKING DOPED AREAS AND / OR EXERCISING A STRAIN UNDER THE SPACERS OF A TRANSISTOR
US9379214B2 (en) * 2014-02-14 2016-06-28 Semi Solutions Llc Reduced variation MOSFET using a drain-extension-last process
US10297675B1 (en) 2017-10-27 2019-05-21 Globalfoundries Inc. Dual-curvature cavity for epitaxial semiconductor growth
US10355104B2 (en) 2017-10-27 2019-07-16 Globalfoundries Inc. Single-curvature cavity for semiconductor epitaxy

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030102490A1 (en) * 2000-12-26 2003-06-05 Minoru Kubo Semiconductor device and its manufacturing method
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US20030227029A1 (en) * 2002-06-07 2003-12-11 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US20040188760A1 (en) * 2002-04-03 2004-09-30 Thomas Skotnicki Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit
US20050018380A1 (en) * 2003-07-25 2005-01-27 Yee-Chia Yeo Capacitor with enhanced performance and method of manufacture
US20050054150A1 (en) * 2003-09-10 2005-03-10 Mirabedini Mohammad R. Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
US20050145944A1 (en) * 2003-12-24 2005-07-07 Anand Murthy Transistor gate electrode having conductor material layer

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6160299A (en) * 1997-08-29 2000-12-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
KR100347544B1 (en) 1999-02-13 2002-08-07 주식회사 하이닉스반도체 Method of manufacturing a junction in a semiconductor device
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
KR100301246B1 (en) * 1999-06-30 2001-11-01 박종섭 Method of manufacturing a semiconductor device
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6303450B1 (en) 2000-11-21 2001-10-16 International Business Machines Corporation CMOS device structures and method of making same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6406951B1 (en) 2001-02-12 2002-06-18 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with raised source and drain in SOI technology
US6489206B2 (en) 2001-03-22 2002-12-03 United Microelectronics Corp. Method for forming self-aligned local-halo metal-oxide-semiconductor device
US6440807B1 (en) 2001-06-15 2002-08-27 International Business Machines Corporation Surface engineering to prevent EPI growth on gate poly during selective EPI processing
US6465313B1 (en) * 2001-07-05 2002-10-15 Advanced Micro Devices, Inc. SOI MOSFET with graded source/drain silicide
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
CN100401528C (en) * 2002-01-23 2008-07-09 斯平内克半导体股份有限公司 Field effect transistor having source and/or drain forming schottky or schottky-like contact with strained semiconductor substrate
US6620664B2 (en) 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030102490A1 (en) * 2000-12-26 2003-06-05 Minoru Kubo Semiconductor device and its manufacturing method
US20040188760A1 (en) * 2002-04-03 2004-09-30 Thomas Skotnicki Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit
US20030227029A1 (en) * 2002-06-07 2003-12-11 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US20050018380A1 (en) * 2003-07-25 2005-01-27 Yee-Chia Yeo Capacitor with enhanced performance and method of manufacture
US20050054150A1 (en) * 2003-09-10 2005-03-10 Mirabedini Mohammad R. Apparatus and method of manufacture for integrated circuit and CMOS device including epitaxially grown dielectric on silicon carbide
US20050145944A1 (en) * 2003-12-24 2005-07-07 Anand Murthy Transistor gate electrode having conductor material layer

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9159629B2 (en) 2005-01-21 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. High performance CMOS device design
US9711413B2 (en) 2005-01-21 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US20060163672A1 (en) * 2005-01-21 2006-07-27 Chih-Hao Wang High performance CMOS device design
US8507951B2 (en) 2005-01-21 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US20090090935A1 (en) * 2005-01-21 2009-04-09 Taiwan Semiconductor Manufacturing Company Ltd. High Performance CMOS Device Design
US20090075445A1 (en) * 2005-03-11 2009-03-19 Jack Kavalieros Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US20070210314A1 (en) * 2006-03-10 2007-09-13 Winstead Brian A Semiconductor device with stressors and method therefor
US7479422B2 (en) * 2006-03-10 2009-01-20 Freescale Semiconductor, Inc. Semiconductor device with stressors and method therefor
US20080087892A1 (en) * 2006-03-28 2008-04-17 Chih-Hao Wang High Performance Transistor with a Highly Stressed Channel
US7649233B2 (en) 2006-03-28 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US20070231999A1 (en) * 2006-03-28 2007-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
US7361539B2 (en) 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US20080185657A1 (en) * 2006-05-16 2008-08-07 Xiangdong Chen Dual stress liner
US7943454B2 (en) 2006-05-16 2011-05-17 International Business Machines Corporation Method for dual stress liner
US20070269942A1 (en) * 2006-05-16 2007-11-22 International Business Machines Corporation Dual stress liner
US20070296001A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Multiple conduction state devices having differently stressed liners
US7768041B2 (en) 2006-06-21 2010-08-03 International Business Machines Corporation Multiple conduction state devices having differently stressed liners
US7482211B2 (en) * 2006-06-22 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Junction leakage reduction in SiGe process by implantation
US20070298565A1 (en) * 2006-06-22 2007-12-27 Chun-Feng Nieh Junction leakage reduction in SiGe process by implantation
US20070298557A1 (en) * 2006-06-22 2007-12-27 Chun-Feng Nieh Junction leakage reduction in SiGe process by tilt implantation
US7432559B2 (en) * 2006-09-19 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation on SiGe
US20080121929A1 (en) * 2006-09-19 2008-05-29 Jerry Lai Silicide formation on SiGe
TWI421949B (en) * 2006-09-20 2014-01-01 Samsung Electronics Co Ltd Semiconductor device including field effct transistor and method of forming the same
US20130295742A1 (en) * 2008-05-30 2013-11-07 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
US8278197B2 (en) * 2008-05-30 2012-10-02 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
US8716759B2 (en) 2008-05-30 2014-05-06 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
US20090294850A1 (en) * 2008-05-30 2009-12-03 International Business Machines Corporation Method to tailor location of peak electric field directly underneath an extension spacer for enhanced programmability of a prompt-shift device
US20100072549A1 (en) * 2008-09-24 2010-03-25 Koji Usuda Semiconductor device and method for manufacturing the same
US8017979B2 (en) * 2008-09-24 2011-09-13 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20120248503A1 (en) * 2010-11-10 2012-10-04 Huo Zongliang Semiconductor memory cell, device, and method for manufacturing the same
US8927963B2 (en) * 2010-11-10 2015-01-06 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor memory cell, device, and method for manufacturing the same
US11876011B2 (en) * 2010-11-18 2024-01-16 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US20230352333A1 (en) * 2010-11-18 2023-11-02 Monolithic 3D Inc. 3d semiconductor devices and structures with at least two single-crystal layers
US20230343632A1 (en) * 2010-11-18 2023-10-26 Monolithic 3D Inc. 3d semiconductor device and structure with single-crystal layers
US8614134B2 (en) * 2011-03-21 2013-12-24 Globalfoundries Inc. Shallow source and drain architecture in an active region of a semiconductor device having a pronounced surface topography by tilted implantation
US20120241864A1 (en) * 2011-03-21 2012-09-27 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Shallow Source and Drain Architecture in an Active Region of a Semiconductor Device Having a Pronounced Surface Topography by Tilted Implantation
US8664072B2 (en) 2012-05-30 2014-03-04 Globalfoundries Inc. Source and drain architecture in an active region of a P-channel transistor by tilted implantation
US9147762B2 (en) * 2012-07-19 2015-09-29 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US20140299919A1 (en) * 2012-07-19 2014-10-09 Haizhou Yin Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
US6881635B1 (en) 2005-04-19

Similar Documents

Publication Publication Date Title
US6881635B1 (en) Strained silicon NMOS devices with embedded source/drain
US7851291B2 (en) Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
US7781800B2 (en) Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7473608B2 (en) N-channel MOSFETs comprising dual stressors, and methods for forming the same
US7585711B2 (en) Semiconductor-on-insulator (SOI) strained active area transistor
US7932136B2 (en) Source/drain junction for high performance MOSFET formed by selective EPI process
US7893503B2 (en) Semiconductor device comprising NMOS and PMOS transistors with embedded Si/Ge material for creating tensile and compressive strain
US7767540B2 (en) Transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
US7943471B1 (en) Diode with asymmetric silicon germanium anode
US7601574B2 (en) Methods for fabricating a stress enhanced MOS transistor
KR101422791B1 (en) A transistor having a strained channel region including a performance enhancing material composition
JP5614184B2 (en) Manufacturing method of semiconductor device
US7999326B2 (en) Tensile strain source using silicon/germanium in globally strained silicon
US6528846B1 (en) Asymmetric high voltage silicon on insulator device design for input output circuits
KR100763230B1 (en) Buried well for semiconductor devices
KR101336219B1 (en) Fully depleted SOI device with buried doped layer
US8735241B1 (en) Semiconductor device structure and methods for forming a CMOS integrated circuit structure
US20150214116A1 (en) Low leakage pmos transistor
US20080290412A1 (en) Suppressing short channel effects

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910