US20050266173A1 - Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process - Google Patents

Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process Download PDF

Info

Publication number
US20050266173A1
US20050266173A1 US10/854,607 US85460704A US2005266173A1 US 20050266173 A1 US20050266173 A1 US 20050266173A1 US 85460704 A US85460704 A US 85460704A US 2005266173 A1 US2005266173 A1 US 2005266173A1
Authority
US
United States
Prior art keywords
plasma
substrate
deposition system
metal
density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/854,607
Inventor
Jozef Brcka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/854,607 priority Critical patent/US20050266173A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BRCKA, JOZEF
Priority to TW094114715A priority patent/TWI279851B/en
Priority to PCT/US2005/016628 priority patent/WO2005118905A1/en
Priority to CNA2005800169413A priority patent/CN1957104A/en
Priority to KR1020067027208A priority patent/KR20070024643A/en
Priority to JP2007515151A priority patent/JP2008500456A/en
Priority to EP05748341A priority patent/EP1771592A1/en
Publication of US20050266173A1 publication Critical patent/US20050266173A1/en
Priority to US11/835,067 priority patent/US8092658B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates

Definitions

  • the present invention relates to a method and apparatus for thin film deposition, and more particularly to a method and apparatus for conformal thin film deposition in high aspect ratio features on a substrate.
  • barrier layers and seed layers are typically deposited that are required to have sufficient sidewall and bottom coverage to produce the desired barrier or seed properties.
  • barrier layer it is usually desirable to have a barrier layer as thin as possible in order to minimize its electrical resistance; however, it must not sacrifice its barrier properties.
  • the barrier layer must be conformal and continuous without voids in order to prevent diffusion of seed layer material into the dielectric layer and other layers in order to prevent reliability problems.
  • a film is conformal when the difference between its maximum and minimum thickness are within some acceptable limit for the process. Conformality is better when the ratio between the maximum and minimum film thicknesses is less.
  • ionized physical vapor deposition has been utilized in semiconductor processing, and it has been considered for extension into submicron technology.
  • Ionized PVD has provided good sidewall and bottom coverage in via and trench structures; however, the deposition requirements become more critical as geometries shrink and as via dimensions fall below approximately 100 nanometers.
  • Even small overhang at the feature orifice (or top) has been identified as a critical deterrent to sufficient feature coverage.
  • the creation of overhang has also been identified to be sensitive to the feature shape, and this effect has an impact on the overall yield of the process.
  • PVD physical vapor deposition
  • a metal layer for adhesion, barrier and/or seed layer
  • PVD of metal into high aspect ratio features requires much greater deposition on the top surfaces of the substrate to produce adequate coverage of the via bottom.
  • Typical state-of-the-art trench and contact structures for dual damascene schemes require about 50 nm PVD metal film 21 on the horizontal field area 27 of the substrate 20 , as illustrated in FIG. 12A , in order for 5 nm of metal 24 to reach the bottom and sidewalls of a contact via 23 .
  • Due to the directionality of PVD techniques deposition tends to accumulate more rapidly at upper corners 25 of the trench and/or via, as compared to the bottom 26 .
  • ALD atomic layer deposition
  • One object of the present invention is to reduce or eliminate any or all of the above-described problems.
  • Another object of the present invention is to provide a method of depositing a material to improve coverage characteristics within high aspect ratio (HAR) features.
  • HAR high aspect ratio
  • a deposition system for forming a thin film in a high aspect ratio feature on a substrate comprising: a process chamber; a substrate holder coupled to the process chamber, and configured to support the substrate; a plasma source coupled to the process chamber, and configured to form a plasma in the process chamber; and a metal source coupled to the process chamber, and configured to introduce a metal to the process chamber, wherein the plasma source and the metal source are configured to produce a ratio between a metal density and a plasma density of less than unity above the substrate.
  • a method of depositing a thin metal film in a high aspect ratio feature on a substrate comprising: disposing the substrate on a substrate holder in a deposition system; forming a plasma having a plasma density within the deposition system using a plasma source; introducing metal having a metal density within the deposition system using a metal source; establishing a ratio of the metal density to the plasma density above the substrate, the ratio being approximately equal to or less than unity; and performing a conformal deposition within the feature on the substrate.
  • the present invention was primarily directed to the deposition of metal, it is contemplated that it will have application to the deposition of films of other coating materials which behave in such a way as to respond favorably to the principles of the present invention.
  • the invention is particularly useful for metal deposition in part where the metals have ionization potentials that are less than that of the background processing gas.
  • a coating material having an ionization potential that is less than the background gas should benefit from application of the principles of the present invention.
  • the processing gas is, for example, argon
  • it is expected that a coating material having an ionization potential less than that of argon would particularly benefit from the present invention.
  • Using a background gas having a higher ionization potential should enable extension of the invention to more materials. Extension of the invention to still further materials may be determined analytically or empirically.
  • FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention
  • FIG. 2 illustrates a coordinate system parallel to an upper surface of a substrate
  • FIG. 3A depicts a schematic view of a deposition system according to another embodiment of the invention.
  • FIG. 3B illustrates a top view of the deposition system depicted in FIG. 3A ;
  • FIG. 4 depicts a schematic view of a deposition system according to another embodiment of the invention.
  • FIG. 5 depicts a schematic view of a deposition system according to another embodiment of the invention.
  • FIG. 6 presents a method for performing conformal deposition of metal in a high aspect ratio feature according to an embodiment of the invention
  • FIG. 7 presents an exemplary ionization fraction of metal and background gas ions in a high density plasma
  • FIG. 8A illustrates an aspect angle from an internal point of a feature
  • FIG. 8B presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 2.25;
  • FIG. 8C presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 8;
  • FIG. 9A provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the flat field;
  • FIG. 9B provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the feature
  • FIG. 10A presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a first process condition
  • FIG. 10B presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a second process condition
  • FIG. 10C presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a third process condition
  • FIG. 10D presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fourth process condition
  • FIG. 10E presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fifth process condition
  • FIG. 10F presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a sixth process condition
  • FIG. 10G presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a seventh process condition
  • FIG. 10H presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for an eighth process condition
  • FIG. 11 illustrates an exemplary process window showing coverage as a function of metal density
  • FIG. 12A is a cross-sectional diagram of a contact via having a barrier layer deposited according to methods of the prior art.
  • FIG. 12B is a cross-sectional diagram of a contact via having a barrier layer deposited according to some embodiments of a method and apparatus according to the present invention.
  • inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source is coupled to a process chamber and configured to form a high density plasma of greater than 10 12 e/cm 3 (electrons per cubic centimeter) in the process chamber and a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a total density of metal atoms and metal ions that is less than the plasma density.
  • a plasma source is coupled to a process chamber and configured to form a high density plasma of greater than 10 12 e/cm 3 (electrons per cubic centimeter) in the process chamber and a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a total density of metal atoms and metal ions that is less than the plasma density.
  • inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface.
  • inventive aspects include providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface wherein the ratio of the total metal density to the plasma density is relatively uniform across the substrate surface.
  • a deposition system and method may be provided for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 10 12 e/cm 3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface.
  • additional inventive aspects are included in providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 10 12 e/cm 3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface throughout a volume that extends from the substrate surface toward the plasma and includes either a plasma sheath, the plasma sheath and a near sheath, the plasma sheath and the near sheath and at least some of the plasma inside the near sheath, or a thickness of approximately 1 ⁇ 4th the diameter of the substrate.
  • FIG. 12B shows the result of a deposition of a film 31 on a substrate 30 having high aspect ratio (e.g., 7:1) features, such as a 65 nm wide via 32 .
  • the film 31 may, for example, have a thickness of 5 nm ⁇ 1.25 nm across the flat field or field area 33 of the substrate and the sidewalls 34 and bottom 35 of the feature 32 on the substrate 30 .
  • the present invention improves a deposition system and method of operation to affect improvements in chemical transport local to an exposed substrate surface.
  • the exposed substrate surface is exposed to material deposition steps, the combination of which serve to alter the material composition and/or topography of the exposed substrate surface.
  • the deposition system may be said to utilize ion stimulated conformal nanoscale deposition.
  • a system for conformal deposition of thin films is described.
  • the deposition system provides conditions for highly uniform feature coverage within high aspect ratio (HAR) features.
  • a plasma source produces a high density plasma (i.e., where the electron density, n e ,>10 12 cm ⁇ 3 ).
  • a metal source produces a metal adatom.
  • the inventor has observed that performing the deposition to meet this condition has provided conformal coverage of the flat field surface and feature internal surfaces across the substrate at the same deposition rate. Additionally, the deposition is, for instance, occurring in a surface kinetic mode across the substrate surface, and contributing to enhanced rate at feature sidewalls.
  • Deposition system 100 includes a process chamber 110 , and a substrate holder 112 coupled to the process chamber 110 , and configured to support a substrate 114 . Additionally, the deposition system 100 includes a plasma source 120 coupled to the process chamber 110 and configured to form plasma in process space 140 within process chamber 110 . Additionally, the deposition system 100 includes a metal source 130 coupled to the process chamber 110 , and configured to introduce a metal adatom to process space 140 in process chamber 110 . The deposition system 100 can further comprise a gas injection system 160 coupled to the process chamber 110 , and configured to introduce an inert gas, such as a Noble gas, to the process space 140 in process chamber 110 .
  • an inert gas such as a Noble gas
  • the deposition system 100 can further comprise a control system 150 coupled to the process chamber 110 , the substrate holder 112 , the plasma source 120 , and the metal source 130 , wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 100 according to, for example, a process recipe.
  • a control system 150 coupled to the process chamber 110 , the substrate holder 112 , the plasma source 120 , and the metal source 130 , wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 100 according to, for example, a process recipe.
  • the formation of plasma and the generation of metal adatom is performed in such a way to maintain a ratio of the metal density to the plasma density (or electron density, or ion density) of less than or equal to unity (e.g., n metal /n e ⁇ 1).
  • the ratio can, for example, be maintained less than or equal to unity for at least one point in space and spaced from the surface of substrate 114 . Meeting such a condition will cause a density distribution that will allow realization of at least some of the advantages of the invention.
  • a spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114 .
  • the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114 , and it can be maintained substantially uniform, or within ⁇ 25%, across the surface of substrate 114 .
  • the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114 , and it can be maintained substantially constant across the upper surface of substrate 114 (e.g., n metal /n e ⁇ const ⁇ 1).
  • the spatial distribution of the metal adatom density can be represented by the function ⁇ (x,y), and the spatial distribution of the plasma density can be represented by the function g(x,y), wherein x and y represent a two-dimensional rectilinear coordinate system parallel to the upper surface of substrate 114 (see FIG. 2 ).
  • the function ⁇ (x,y) can be substantially constant across the upper surface of substrate 114
  • the function g(x,y) can be substantially constant across the upper surface of substrate 114 , wherein the ratio of ⁇ (x,y) to g(x,y) at any point in space (x,y) is less than or equal to unity.
  • the function ⁇ (x,y) is not uniform across the upper surface of substrate 114
  • the function g(x,y) is not uniform across the upper surface of substrate 114
  • ⁇ (x,y) and g(x,y) are similar functions
  • the ratio of ⁇ (x,y) to g(x,y) at any location in space (x,y) is less than or equal to unity.
  • the similarity of functions can be characterized as the mathematical similarity of the functions ⁇ (x,y) and g(x,y) (i.e., shape, curvature, etc.).
  • the ratio can be maintained substantially invariant as a function of time.
  • plasma source 120 can include an electrode coupled to a power source, such as a radio frequency (RF) generator, or a coil antenna coupled to a power source, such as a helical coil or other antenna coupled to an RF generator.
  • a power source such as a radio frequency (RF) generator
  • the plasma source 120 can include a capacitively coupled plasma (CCP) source, or an inductively coupled plasma source (ICP), or combination thereof.
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma source
  • sub- and atmospheric ICP sources generate plasma with electron density of approximately n e ⁇ (1-4) ⁇ 10 14 cm ⁇ 3 and electron temperature of approximately ⁇ 0.2 eV to approximately 0.6 eV with 100% ionization of the metal adatom.
  • plasma source 120 can include a source capable of production of large area plasmas, such as electron beam sources with low electron temperature and electron density of approximately n e ⁇ 1.2 ⁇ 10 12 cm ⁇ 3 and above, as well as those capable of high density flat plasma production based on surface waves, helicon, or electron cyclotron resonance (ECR) plasma sources.
  • a source capable of production of large area plasmas such as electron beam sources with low electron temperature and electron density of approximately n e ⁇ 1.2 ⁇ 10 12 cm ⁇ 3 and above, as well as those capable of high density flat plasma production based on surface waves, helicon, or electron cyclotron resonance (ECR) plasma sources.
  • ECR electron cyclotron resonance
  • Metal source 130 can, for example, be distributed about the perimeter of process chamber 110 , from which metal adatoms enter process space 140 .
  • a metal target may be utilized as a source of metal.
  • the target can be biased using direct current (DC), or alternating current (AC) to generate metal adatoms through a sputtering process.
  • other metal sources such as magnetrons, can be used.
  • pulsed laser deposition, high power pulsed magnetron sputtering, plasma assisted sputter techniques, etc. can be utilized.
  • the metal source 130 can include a plurality of metal sources.
  • the plurality of metal sources can be coupled to a power source.
  • each metal source can be independently coupled to a separate power source.
  • the power can be alternatingly and sequentially coupled to the plurality of metal sources using one or more power sources.
  • Substrate holder 112 can include an electrode through which AC power, such as RF power, or DC power is coupled to substrate 114 .
  • substrate holder 112 can be electrically biased at an RF voltage via the transmission of RF power from an RF generator through an impedance match network to substrate holder 112 .
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the RF bias can serve to affect the ion energy of ions incident on the upper surface of the substrate.
  • a typical frequency for the RF bias can range from 0.1 MHz to 100 MHz.
  • RF systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies.
  • an impedance match network can serve to improve the transfer of RF power to plasma in the process chamber by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • the substrate holder 112 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp substrate 114 to the substrate holder 112 .
  • substrate holder 112 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from substrate holder 112 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • a heat transfer gas can, for example, be delivered to the back-side of substrate 114 via a backside gas system to improve the gas-gap thermal conductance between substrate 114 and substrate holder 112 .
  • the heat transfer gas supplied to the back-side of substrate 112 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen.
  • an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen.
  • the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of substrate 114 .
  • heating/cooling elements such as resistive heating elements, or thermoelectric heaters/coolers can be included in the substrate holder 112 , as well as the chamber wall of the process chamber 110 .
  • control system 150 can include a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 100 as well as monitor outputs from deposition system 100 .
  • control system 150 can be coupled to and can exchange information with process chamber 110 , plasma source 120 , distributed metal source 130 , gas injection system 160 , and vacuum pump system (not shown).
  • a program stored in the memory can be utilized to activate the inputs to the aforementioned components of deposition system 100 according to a process recipe in order to perform a deposition process.
  • control system 150 includes a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Tex.
  • deposition system 200 includes similar elements as the deposition system 100 described in FIG. 1 .
  • deposition system 200 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms.
  • deposition system 200 includes a first plasma source 220 coupled to and surrounding a lower portion of the process chamber 210 and configured to form plasma in process space 240 , and a second plasma source 222 coupled to and surrounding an upper portion of the process chamber 210 and configured to form plasma in process space 240 .
  • the deposition system 200 includes a distributed metal source 230 coupled to the process chamber 210 , and configured to introduce a metal adatom to process space 240 in process chamber 210 .
  • the position of the distributed metal source 230 can be as indicated in FIG. 3A and FIG. 3B .
  • deposition system 200 can further comprise a buffer device 270 .
  • a portion of the process chamber 210 does not contain either a distributed metal source, or a plasma source, but rather it constitutes a buffer surface to compensate for the different processing rates at the substrate.
  • the buffer surface 270 can include at least one of a convex, planar or concave shape, and it may be fabricated of conductive material, such as aluminum, stainless steel, etc.
  • the purpose of the buffer device 270 can, for example, be to complement the radial distribution of metal adatom in process space 240 .
  • the buffer surface can contain temperature control elements, such as cooling and/or heating devices, in order to control its surface temperature.
  • the buffer device 270 can be connected to a DC, or AC power supply.
  • the buffer device 270 can contain a magnetic field structure that generates static, or dynamic magnetic field by means of permanent magnets, or electromagnets.
  • the buffer device 270 can be translatable. For example, the buffer device 270 can translate in a direction perpendicular to the substrate 214 in order to alter the spacing between the buffer device 270 and substrate 214 .
  • the deposition system 200 can further comprise a gas injection system 260 coupled to the process chamber 210 , and configured to introduce an inert gas, such as a Noble gas, to the process space 240 in process chamber 210 .
  • the deposition system 200 can further comprise a controller 250 coupled to the process chamber 210 , the substrate holder 212 , first plasma source 220 , second plasma source 222 , and the distributed metal source 230 , wherein it may be configured to perform at least one of operate, adjust, monitor, or control the deposition system 200 according to, for example, a process recipe.
  • the deposition system 300 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms.
  • deposition system 300 includes a first plasma source 320 coupled to and surrounding a lower portion of the process chamber 310 and configured to form plasma in process space 340 , and a second plasma source 322 coupled to and located above the process chamber 310 and configured to form plasma in process space 340 .
  • the deposition system 300 includes a distributed metal source 330 coupled to the process chamber 310 , and configured to introduce a metal adatom to process space 340 in process chamber 310 .
  • the deposition system 300 can further comprise a gas injection system 360 coupled to the process chamber 310 , and configured to introduce an inert gas, such as a Noble gas, to the process space 340 in process chamber 310 .
  • the deposition system 300 can further comprise a control system 350 coupled to the process chamber 310 , the substrate holder 312 , the plasma sources 320 and 322 , and the distributed metal source 330 , wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 300 according to, for example, a process recipe.
  • Deposition system 300 can further comprise a buffer device 370 as described above.
  • FIG. 5 illustrates a layout for a deposition system 400 having a control system 410 for controlling deposition system 400 .
  • the control system 410 includes a user interface 420 for setting process parameters and hardware parameters, and a primary controller 422 configured to operate the deposition system according to the set parameters.
  • Control system 410 further includes a first sub-controller 430 configured to operate a pressure control system 432 , a gas flow control system 434 and a gas supply system 438 , and a pumping system 436 .
  • control system 410 includes a second sub-controller 440 configured to operate a plasma source power generator and control system 442 and a deposition system cooling and heating system 444 , as well as a third sub-controller 446 configured to operate a distributed metal source DC power generator and control system 448 .
  • the plasma source power generator and control system 442 and the distributed metal source DC power generator and control system 448 are coupled to the process chamber 465 , and configured to operate a plasma source 470 having one or more plasma generating elements 471 , 472 and a distributed metal source 480 having one or more metal sources 481 , 482 , 483 , respectively.
  • a fourth sub-controller 450 is configured to operate a substrate handling system 452
  • a fifth sub-controller 454 is configured to operate a chuck and de-chucking system 456
  • a sixth sub-controller 458 is configured to operate a substrate holder power generator and control system 460
  • a diagnostic system 490 having one or more sensors and instrumentation can be coupled to the process chamber 465 , the plasma source 470 , and the distributed metal source 480 , and configured to provide operational data to the user interface 420 .
  • the primary controller 422 can be locally located relative to the deposition system 400 , or it can be remotely located relative to the deposition system 400 .
  • controller 422 can exchange data with deposition system 400 using at least one of a direct connection, an intranet, and the internet.
  • Controller 422 can be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it can be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer).
  • controller 422 can be coupled to the internet.
  • another computer i.e., controller, server, etc.
  • the distributed metal source can produce metal vapor flux from targets that is thermalized at a gas pressure which is higher than a typical sputtering pressure (typically >30 mTorr).
  • a particularly inventive embodiment of the invention employs the metal to plasma ratio in ion stimulated nanoscale deposition that uses a thermalized plasma or metal vapor in the vacuum processing chamber.
  • the suitable ICP source produces a high density plasma and effective ionization of the metal in bulk volume.
  • Metal ions diffuse towards the substrate surface and are accelerated by a voltage gradient in the pre-sheath and sheath (potential difference between plasma potential and wafer potential). Surface coverage can occur in the surface kinetic mode at high metal ion flux fraction but relatively low total metal density.
  • the sidewalls provide a capturing effect for metal that escapes the bottom of the feature due to Penning excitation of the background gas, such as argon, and charge exchange of metal ions with the background gas.
  • the background gas such as argon
  • the method includes a flow chart 500 beginning in 510 with disposing a substrate in a deposition system.
  • the deposition system can include any one of the depositions systems described above in FIGS. 1 through 5 .
  • a plasma is formed in the deposition system using one or more plasma sources.
  • the plasma can be formed by introducing a background gas, such as an inert gas, and by coupling power, sufficient for ionization, to the gas.
  • a metal is introduced to the deposition system from one or more metal sources.
  • a process is established for depositing the metal on the substrate, wherein the ratio of the metal density to the plasma density is substantially equal to unity or less than unity.
  • the process conditions for achieving this ratio are described in greater detail below.
  • a conformal deposition of metal on the substrate is performed utilizing the process conditions formed in the deposition system.
  • a method to identify the bounds of a process, or process window, for achieving conformal coverage, or more specifically a specific metal-to-plasma density ratio and a distribution thereof can be borne from first principles simulation, or experimentation, or both.
  • a metal film is deposited on a substrate, wherein a metal vapor, such as copper, aluminum, tantalum, titanium, etc., is formed in the presence of a background gas, such as a Noble gas, during which multiple collisions create an energetically multi-component plasma environment through elementary reactions.
  • a metal-gas plasma such as a metal-argon plasma
  • five atomic components can be present that comprise a different energetic status and behave differently.
  • the principal collisions that produce these particles are:
  • the fraction is determined by the electron temperature and ionization potentials of both components (e.g., E i (Cu) ⁇ 7.724 eV, E i (Ar) ⁇ 15.755 eV).
  • the ionization potential for the metal is less than argon at a given electron temperature (other practical metals for interconnects have a comparable ionization potential to copper, e.g., E i (Ta) ⁇ 7.88 eV, E i (Al) ⁇ 5.984 eV, E i (Ti) ⁇ 6.82 eV, etc.) for metal densities n M ⁇ n e , a larger fraction of metal can be ionized than for n n >n e .
  • FIG. 7 presents results from a global kinetic model for a copper-argon plasma.
  • the results presented in FIG. 7 reflect the ionization fraction of metal and argon ions in the bulk high density plasma (p ⁇ 65 mTorr, n e ⁇ 1.6 ⁇ 10 12 cm ⁇ 3 , T e ⁇ 1.75 eV) and the metal ion flux fraction to the surface for the bulk metal density at the floating substrate.
  • the dashed lines (1) show a reduction in metal (Cu) ion density when exceeding plasma density (2).
  • the model output is based on kinetic processes described above (relations (1) to (7)), and experimental data on plasma density and electron temperature measurements.
  • the acceleration of ions to the Bohm velocity by the plasma pre-sheath and the sheath potential difference V plasma ⁇ V bias is considered.
  • an increase in the bias can increase the metal ion flux to the surface.
  • the flux of the neutral metal is not affected by the accelerating voltage gradient. This result causes the fraction of ionized metal flux to a substrate (3) to be larger than the fraction of ionized metal (4) in the plasma.
  • the metal deposition occurs within a plasma sheath at the substrate surface (or any other surfaces), in which depletion of an electron density occurs (n e ⁇ 0). Additionally, no electron impact collisions occur within the sheath since, for example, at pressures of 30 to 100 mTorr, an ion-neutral mean free path (1-3 mm) is greater than the sheath width ( ⁇ L i-n > ⁇ 1 mm>>d sheath ⁇ 30-100 ⁇ m), and thus the sheath can be considered collisionless. Moreover, the neutral flux within a feature is significantly diminished due to the very low aspect angle from a point at the feature surface (see FIG. 8 ).
  • the ion stimulated collisions at the surface can play an important role in the metal transport.
  • the presence of the surface plays the role of the third body in collisions and provides advantageous conditions for energy transfer between colliding particles at the surface.
  • the following surface collisions can contribute to the deposition rate:
  • the direct deposition of the neutral metal atoms, the accelerated metal ions, the reflected metal ions from the surface, and the resputtering of the substrate surface is also considered.
  • FIGS. 9A and 9B The overall mechanisms considered in the kinetic model are shown schematically in FIGS. 9A and 9B .
  • Solutions to the kinetic model provide the deposition rate on the flat field surface (D.R. FF ), the bottom (D.R. B ), and the sidewall (D.R. SW ) surface in terms of metal density (n M ), plasma density (n e ) and particular rate constants of the described above surface collisions: D . R ⁇ .
  • the first term on the right hand side (having B 1 ) describes the deposition rate due to neutral metal
  • the second term (having K 1 ) describes the deposition rate due to ionized metal
  • the third term (having Y( ⁇ , E)) describes the deposition rate due to the resputtering rate
  • the fourth term (having B 3 ) describes the deposition rate due to Penning ionization of metal
  • the fifth term (having K 2 ) describes the Penning excitation of argon
  • R( ⁇ B ) represents the reflection coefficient
  • n Ar represents the background gas bulk density
  • ⁇ (H) represents the neutral flux fraction at the bottom of the feature
  • ⁇ (z) represents the neutral flux fraction at the sidewall of the via
  • a R is aspect ration of the feature.
  • the principal metal transport inside a feature is in molecular regime with high surface collision frequency. At exploited conditions, the surface collision frequency is comparable to the bulk plasma collision frequency. Furthermore, the direct deposition of the neutral metal inside a feature can depend on the position inside the feature.
  • Conformal coverage can be achieved when the metal density n M satisfies the condition n m critical ⁇ n M ⁇ n e , and the plasma density n e satisfies the relation n e(1) ⁇ n e ⁇ n e(2) .
  • n n critical U b , n e , n Ar , B 1 , B 3 , K 1 , K 2 , K 3 , R( ⁇ B )
  • Plasma density can be determined by similar parameters in a complex way including the aspect ratio of the feature as a parameter. The most important conclusion of the model is that there exist intervals, or process windows, for metal density and plasma density where C B/FF ⁇ 1 and C SW/B ⁇ 1.
  • FIGS. 10A through 10H present the feature sidewall to bottom coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated), and the feature bottom to flat field coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated) for different process parameters, namely: ( 10 A) No substrate bias, and low metal density; ( 10 B) Substrate bias corresponds to 5% resputtering rate and low metal density; ( 10 C) Substrate bias corresponds to 10% resputtering rate (relative to the deposition rate) and low metal density; ( 10 D) Substrate bias corresponds to 15% re-sputtering rate and low metal density; ( 10 E) Substrate bias corresponds to 25% re-sputtering rate and metal density is comparable to plasma density; ( 10 F)
  • the process window for conformal coverage includes low bias power (to the substrate), and n M ⁇ 0.1 n e , or preferably n M ⁇ n M ,critical ⁇ n e (illustrated in FIGS. 10A , B, C, D at various bias conditions).
  • C SW/B ⁇ 1 and even when C SW/B ⁇ 1, a moderate increase in the bias power (increase in re-sputtering rate) can increase the sidewall to bottom coverage from conformal to overconformal.
  • the deposition rate is relatively low, and it can be increased by increasing the plasma density in the bulk plasma, i.e., n e ⁇ 10 13 cm ⁇ 3 and above.
  • the process window for conformal coverage includes medium bias power (to the substrate), and 0.1 ⁇ ⁇ n M - n e n e ⁇ ⁇ 1 , or preferably n M , critical n e ⁇ ⁇ n M - n e n e ⁇ ⁇ 1 (illustrated in FIGS. 10E , F, G at various bias conditions).
  • C SW/B ⁇ 1 and it is comparable to C SW/B ⁇ C B/FF .
  • the deposition rate is reasonable. Both C SW/B and C B/FF can be controlled by the metal source generation rate.
  • the process window is larger for a lower bias, and it shrinks at higher bias conditions. Overhang formation rate is still relatively low.
  • the process window for conformal coverage includes high bias power (to the substrate), and n m ⁇ n e (illustrated in FIGS. 10G , H at various bias conditions). On the metal density scale, this process window is similar to the first process window for low bias power.
  • This region can be applicable for applications to enhance bottom coverage without effecting sidewall coverage. It may also be used in sequence with processes operating in the low bias power process window in order to combine optimized sidewall-to-bottom coverage with enhanced bottom-to-flat field coverage without creating overhang structure.
  • the process window for conformal coverage includes n M >n e (or more effective at n M >>n e ) which is characteristic by overhang formation due to increased deposition rate.
  • This process window is exploited by current PVD and iPVD processes; however, due to overhang, it does not provide good coverage for high aspect ratio features.
  • the process conditions are selected such that the plasma density is sufficiently high to allow reasonable deposition rates, and satisfy the condition n e >n M , e.g., n e ⁇ 10 12 ⁇ 10 13 cm ⁇ 3 and higher.
  • n e >n M e.g., n e ⁇ 10 12 ⁇ 10 13 cm ⁇ 3 and higher.
  • a plasma density of approximately n e ⁇ 10 13 cm ⁇ 3 can provide sufficient deposition rates ⁇ 10-100 nm/min for nanoscale deposition.
  • the distributed metal source can deliver an optimized amount of metal satisfying the condition n M ⁇ n e , that is highly ionized at an arbitrary location above the substrate with reduced or even eliminated rarefaction impact and overhang formation.
  • the distributed metal source can provide higher ionization, hence, providing higher uniformity by geometry and operation (small metal source is less coupled to plasma source).
  • one process recipe for optimal feature coverage can include conditions extracted from the first (low bias power) and third process windows.
  • this process recipe can be provided by low metal source generation, and changing the bias of the substrate from a no bias condition to a medium bias condition (e.g., pulsing bias power).
  • a medium bias condition e.g., pulsing bias power.
  • the density of metal ⁇ 10 11 cm ⁇ 3 e.g., this can be achieved by 1-4 kW delivered from the DC power to the target
  • the surface collision mode e.g., in the first or third process window.
  • the substrate bias can have two levels during process falling within the range from an unbiased substrate holder to a biased substrate holder at a level corresponding to a net deposition rate at the flat field of less than 20% of the unbiased deposition rate.
  • the substrate bias power can range from 0 W to several hundred Watts.
  • Another process recipe includes operation at medium bias conditions (i.e., the second process window, medium bias power), and metal source generation preset to comply with the condition n M , critical n e ⁇ ⁇ n M - n e n e ⁇ ⁇ 1 , or in other words, the metal density is close to plasma density range.
  • the net deposition rate at the flat field is in the range from 50% to 80% of the deposition rate at unbiased conditions (e.g., the substrate bias power ranges from approximately from 300 W to 800 W).
  • the DC power supplied to the target can range from approximately 2 to 6 kW in order to generate a total metal density comparable to the plasma density.

Abstract

A deposition system and method of operating thereof is described for depositing a conformal metal or other similarly responsive coating material film in a high aspect ratio feature using a high density plasma is described. The deposition system includes a plasma source, and a distributed metal source for forming plasma and introducing metal vapor to the deposition system, respectively. The deposition system is configured to form a plasma having a plasma density and generate metal vapor having a metal density, wherein the ratio of the metal density to the plasma density proximate the substrate is less than or equal to unity. This ratio should exist at least within a distance from the surface of the substrate that is about twenty percent of the diameter of the substrate. A ratio that is uniform within plus or minus twenty-five percent substantially across the surface of said substrate is desirable. The ratio is particularly effective for plasma density exceeding 1012 cm−3, and for depositing film on substrates having nanoscale features with maximum film thickness less than half of the feature width, for example, at ten percent of the feature width.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method and apparatus for thin film deposition, and more particularly to a method and apparatus for conformal thin film deposition in high aspect ratio features on a substrate.
  • DESCRIPTION OF RELATED ART
  • In the metallization of high aspect ratio (HAR) via holes and contacts, as well as trenches, on semiconductor substrates for inter-level and intra-level wiring of integrated circuits (ICs), barrier layers and seed layers are typically deposited that are required to have sufficient sidewall and bottom coverage to produce the desired barrier or seed properties. For example, it is usually desirable to have a barrier layer as thin as possible in order to minimize its electrical resistance; however, it must not sacrifice its barrier properties. Additionally, for example, the barrier layer must be conformal and continuous without voids in order to prevent diffusion of seed layer material into the dielectric layer and other layers in order to prevent reliability problems. A film is conformal when the difference between its maximum and minimum thickness are within some acceptable limit for the process. Conformality is better when the ratio between the maximum and minimum film thicknesses is less.
  • For the metallization of HAR features, ionized physical vapor deposition (iPVD) has been utilized in semiconductor processing, and it has been considered for extension into submicron technology. Ionized PVD has provided good sidewall and bottom coverage in via and trench structures; however, the deposition requirements become more critical as geometries shrink and as via dimensions fall below approximately 100 nanometers. Even small overhang at the feature orifice (or top) has been identified as a critical deterrent to sufficient feature coverage. Furthermore, the creation of overhang has also been identified to be sensitive to the feature shape, and this effect has an impact on the overall yield of the process.
  • Generally, a conformal deposition of the thin layers in interconnect fabrication has been very difficult with current conventional processing and, hence, it has yielded very poor step coverage, which is sometimes defined numerically as the ratio of feature sidewall coverage thickness to coverage thickness in the field area or horizontal surface of the substrate below which the feature extends, with a higher ratio, approaching unity, being better. For example, physical vapor deposition (PVD), such as sputtering, of a metal layer (for adhesion, barrier and/or seed layer) requires at least about 5 nm (nanometers) over all surfaces of the trench and/or contact via, thus PVD of metal into high aspect ratio features requires much greater deposition on the top surfaces of the substrate to produce adequate coverage of the via bottom. Typical state-of-the-art trench and contact structures for dual damascene schemes require about 50 nm PVD metal film 21 on the horizontal field area 27 of the substrate 20, as illustrated in FIG. 12A, in order for 5 nm of metal 24 to reach the bottom and sidewalls of a contact via 23. Due to the directionality of PVD techniques, deposition tends to accumulate more rapidly at upper corners 25 of the trench and/or via, as compared to the bottom 26. As a result of the rapid build-up of deposited material on the upper surfaces of the structure, which occupies much of the conductive line width, these built-up corners then cast a shadow into the lower reaches of the feature.
  • In current IC interconnect technology utilizing iPVD (see Rossnagel, “Directional and iPVD for microelectronics applications”, J. Vac. Sci. Technol. B16(5), 2585-2608, 1998), several approaches have included sequential or in-situ deposition and etch processes in order to eliminate the overhang (see U.S. Pat. Nos. 6,100,200, 4,999,096, and 6,274,008, and U.S. patent application No. 2003/0034244). However, the inventor has observed that conventional process conditions still result in some undesirable effects, which may include overhang and closure of via, discontinuity of the metal layer, low deposition rate, and throughput limitations. With some approaches, independent deposition and etch systems may be required, which involve substrate transfer issues, contamination can occur due to an unprotected internal coil, and non-uniform plasma generation and consequently non-uniform etching are just a few additional issues facing current practice. Moreover, the inventor has observed that consideration of only the re-sputtering effect at the substrate surface does not completely prevent overhang build-up.
  • Other techniques used for metallization of vias and trenches include, for example, chemical vapor deposition (CVD) processes developed for certain metals and metal nitrides, which can exhibit improved step coverage than PVD processes, however, even CVD processes tend to deposit far less material at the bottom of a dual damascene contact via than on the upper surfaces and sidewalls of the structure. Thus, while somewhat improved relative to PVD, CVD step coverage of dual damascene structures remains uneven with most currently known low temperature CVD techniques. A more recent method proposed for conformal coverage of metal interconnects includes atomic layer deposition (ALD) (see U.S. Pat. No. 6,699,783). In general, ALD comprises cycles of alternating reactant phases, wherein each phase has a self-limiting effect. However, ALD processes are posed with issues surrounding the availability of precursors at high pressure and at room temperature.
  • Overall, the inventor has observed that current metallization technology lacks the ability to eliminate feature overhang and, consequently, will ultimately fail to provide sufficient coverage of HAR features for increasingly advanced ICs.
  • SUMMARY OF THE INVENTION
  • One object of the present invention is to reduce or eliminate any or all of the above-described problems. Another object of the present invention is to provide a method of depositing a material to improve coverage characteristics within high aspect ratio (HAR) features.
  • According to principles of the present invention, a deposition system for forming a thin film in a high aspect ratio feature on a substrate is described comprising: a process chamber; a substrate holder coupled to the process chamber, and configured to support the substrate; a plasma source coupled to the process chamber, and configured to form a plasma in the process chamber; and a metal source coupled to the process chamber, and configured to introduce a metal to the process chamber, wherein the plasma source and the metal source are configured to produce a ratio between a metal density and a plasma density of less than unity above the substrate.
  • According to another aspect of the present invention, a method of depositing a thin metal film in a high aspect ratio feature on a substrate comprising: disposing the substrate on a substrate holder in a deposition system; forming a plasma having a plasma density within the deposition system using a plasma source; introducing metal having a metal density within the deposition system using a metal source; establishing a ratio of the metal density to the plasma density above the substrate, the ratio being approximately equal to or less than unity; and performing a conformal deposition within the feature on the substrate.
  • While the present invention was primarily directed to the deposition of metal, it is contemplated that it will have application to the deposition of films of other coating materials which behave in such a way as to respond favorably to the principles of the present invention. For example, it is believed that the invention is particularly useful for metal deposition in part where the metals have ionization potentials that are less than that of the background processing gas. Accordingly, a coating material having an ionization potential that is less than the background gas should benefit from application of the principles of the present invention. In cases where the processing gas is, for example, argon, it is expected that a coating material having an ionization potential less than that of argon would particularly benefit from the present invention. Using a background gas having a higher ionization potential should enable extension of the invention to more materials. Extension of the invention to still further materials may be determined analytically or empirically.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings:
  • FIG. 1 depicts a schematic view of a deposition system according to an embodiment of the invention;
  • FIG. 2 illustrates a coordinate system parallel to an upper surface of a substrate;
  • FIG. 3A depicts a schematic view of a deposition system according to another embodiment of the invention;
  • FIG. 3B illustrates a top view of the deposition system depicted in FIG. 3A;
  • FIG. 4 depicts a schematic view of a deposition system according to another embodiment of the invention;
  • FIG. 5 depicts a schematic view of a deposition system according to another embodiment of the invention;
  • FIG. 6 presents a method for performing conformal deposition of metal in a high aspect ratio feature according to an embodiment of the invention;
  • FIG. 7 presents an exemplary ionization fraction of metal and background gas ions in a high density plasma;
  • FIG. 8A illustrates an aspect angle from an internal point of a feature;
  • FIG. 8B presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 2.25;
  • FIG. 8C presents an exemplary surface plot of a normalized neutral flux of metal inside a three-dimensional feature with an aspect ratio of 8;
  • FIG. 9A provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the flat field;
  • FIG. 9B provides a schematic illustration of the deposition mechanisms and processes contributing to the deposition rate and coverage in ion stimulated nanoscale deposition within the feature;
  • FIG. 10A presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a first process condition;
  • FIG. 10B presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a second process condition;
  • FIG. 10C presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a third process condition;
  • FIG. 10D presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fourth process condition;
  • FIG. 10E presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a fifth process condition;
  • FIG. 10F presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a sixth process condition;
  • FIG. 10G presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for a seventh process condition;
  • FIG. 10H presents the feature sidewall to bottom coverage and the feature bottom to flat field coverage for an eighth process condition;
  • FIG. 11 illustrates an exemplary process window showing coverage as a function of metal density;
  • FIG. 12A is a cross-sectional diagram of a contact via having a barrier layer deposited according to methods of the prior art; and
  • FIG. 12B is a cross-sectional diagram of a contact via having a barrier layer deposited according to some embodiments of a method and apparatus according to the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition system and various shapes of the plasma source and the distributed metal source. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
  • Nonetheless, it should be appreciated that, contained within the description are features which, notwithstanding the inventive nature of the general concepts being explained, are of an inventive nature.
  • For example, inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source is coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 (electrons per cubic centimeter) in the process chamber and a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a total density of metal atoms and metal ions that is less than the plasma density.
  • As another example, inventive aspects of the present disclosure include providing a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface. As a further example, inventive aspects include providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate wherein a plasma source and a metal source are coupled to a process chamber and configured to form a plasma in the process chamber having a metal density that is less than the plasma density across and proximate the substrate surface wherein the ratio of the total metal density to the plasma density is relatively uniform across the substrate surface.
  • As a further example that contains features referred to above as well as other inventive aspects, a deposition system and method may be provided for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface. As a still further example, additional inventive aspects are included in providing such a deposition system and method for forming a thin film in a high aspect ratio feature on a substrate using a plasma source coupled to a process chamber and configured to form a high density plasma of greater than 1012 e/cm3 and using a metal source coupled to the process chamber and configured to introduce a metal to the process chamber at a ratio of the total density of metal atoms and metal ions to the plasma density of between 0.1 and 1.0 and is generally uniform across and proximate the substrate surface throughout a volume that extends from the substrate surface toward the plasma and includes either a plasma sheath, the plasma sheath and a near sheath, the plasma sheath and the near sheath and at least some of the plasma inside the near sheath, or a thickness of approximately ¼th the diameter of the substrate.
  • Other examples are contained throughout the description of the various embodiments below, as well as in the claims as set forth below.
  • An example of advantages realized with certain embodiments of the present invention is illustrated in FIG. 12B, which shows the result of a deposition of a film 31 on a substrate 30 having high aspect ratio (e.g., 7:1) features, such as a 65 nm wide via 32. The film 31 may, for example, have a thickness of 5 nm±1.25 nm across the flat field or field area 33 of the substrate and the sidewalls 34 and bottom 35 of the feature 32 on the substrate 30.
  • In order to improve deposition characteristics particularly in high aspect ratio features, the present invention improves a deposition system and method of operation to affect improvements in chemical transport local to an exposed substrate surface. The exposed substrate surface is exposed to material deposition steps, the combination of which serve to alter the material composition and/or topography of the exposed substrate surface. For example, the deposition system may be said to utilize ion stimulated conformal nanoscale deposition.
  • According to an embodiment of the invention, a system for conformal deposition of thin films is described. The deposition system provides conditions for highly uniform feature coverage within high aspect ratio (HAR) features. Within the deposition system, a plasma source produces a high density plasma (i.e., where the electron density, ne,>1012 cm−3). Additionally, within the deposition system, a metal source produces a metal adatom. The formation of plasma and the generation of metal adatom is performed in such a way to maintain a constant ratio of the metal density (including the density of neutral metal atoms and the density of metal ions) to the plasma density (or electron density, or ion density) of less than or equal to unity (e.g., nmetal/ne=const≦1). The inventor has observed that performing the deposition to meet this condition has provided conformal coverage of the flat field surface and feature internal surfaces across the substrate at the same deposition rate. Additionally, the deposition is, for instance, occurring in a surface kinetic mode across the substrate surface, and contributing to enhanced rate at feature sidewalls.
  • Referring now to FIG. 1, a deposition system 100 is presented according to an embodiment of the invention. Deposition system 100 includes a process chamber 110, and a substrate holder 112 coupled to the process chamber 110, and configured to support a substrate 114. Additionally, the deposition system 100 includes a plasma source 120 coupled to the process chamber 110 and configured to form plasma in process space 140 within process chamber 110. Additionally, the deposition system 100 includes a metal source 130 coupled to the process chamber 110, and configured to introduce a metal adatom to process space 140 in process chamber 110. The deposition system 100 can further comprise a gas injection system 160 coupled to the process chamber 110, and configured to introduce an inert gas, such as a Noble gas, to the process space 140 in process chamber 110. Optionally, the deposition system 100 can further comprise a control system 150 coupled to the process chamber 110, the substrate holder 112, the plasma source 120, and the metal source 130, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 100 according to, for example, a process recipe.
  • The formation of plasma and the generation of metal adatom is performed in such a way to maintain a ratio of the metal density to the plasma density (or electron density, or ion density) of less than or equal to unity (e.g., nmetal/ne≦1). The ratio can, for example, be maintained less than or equal to unity for at least one point in space and spaced from the surface of substrate 114. Meeting such a condition will cause a density distribution that will allow realization of at least some of the advantages of the invention. Alternatively, a spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114. Alternatively, the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114, and it can be maintained substantially uniform, or within ±25%, across the surface of substrate 114. Alternatively, the spatial distribution of this ratio can be maintained less than or equal to unity across the surface of substrate 114, and it can be maintained substantially constant across the upper surface of substrate 114 (e.g., nmetal/ne≈const≦1). For instance, the spatial distribution of the metal adatom density can be represented by the function ƒ(x,y), and the spatial distribution of the plasma density can be represented by the function g(x,y), wherein x and y represent a two-dimensional rectilinear coordinate system parallel to the upper surface of substrate 114 (see FIG. 2). The function ƒ(x,y) can be substantially constant across the upper surface of substrate 114, and the function g(x,y) can be substantially constant across the upper surface of substrate 114, wherein the ratio of ƒ(x,y) to g(x,y) at any point in space (x,y) is less than or equal to unity. Alternatively, the function ƒ(x,y) is not uniform across the upper surface of substrate 114, and the function g(x,y) is not uniform across the upper surface of substrate 114; however, ƒ(x,y) and g(x,y) are similar functions, and the ratio of ƒ(x,y) to g(x,y) at any location in space (x,y) is less than or equal to unity. For example, the similarity of functions can be characterized as the mathematical similarity of the functions ƒ(x,y) and g(x,y) (i.e., shape, curvature, etc.). Alternatively, the ratio can be maintained substantially invariant as a function of time.
  • Referring still to FIG. 1, plasma source 120 can include an electrode coupled to a power source, such as a radio frequency (RF) generator, or a coil antenna coupled to a power source, such as a helical coil or other antenna coupled to an RF generator. For example, the plasma source 120 can include a capacitively coupled plasma (CCP) source, or an inductively coupled plasma source (ICP), or combination thereof. Additionally, for example, sub- and atmospheric ICP sources generate plasma with electron density of approximately ne≈(1-4)×1014cm−3 and electron temperature of approximately ˜0.2 eV to approximately 0.6 eV with 100% ionization of the metal adatom. Alternately, plasma source 120 can include a source capable of production of large area plasmas, such as electron beam sources with low electron temperature and electron density of approximately ne≈1.2×1012 cm−3 and above, as well as those capable of high density flat plasma production based on surface waves, helicon, or electron cyclotron resonance (ECR) plasma sources.
  • Metal source 130 can, for example, be distributed about the perimeter of process chamber 110, from which metal adatoms enter process space 140. For example, a metal target may be utilized as a source of metal. The target can be biased using direct current (DC), or alternating current (AC) to generate metal adatoms through a sputtering process. Alternately, other metal sources, such as magnetrons, can be used. For example, pulsed laser deposition, high power pulsed magnetron sputtering, plasma assisted sputter techniques, etc., can be utilized. Additionally, for example, the metal source 130 can include a plurality of metal sources. The plurality of metal sources can be coupled to a power source. Alternately, each metal source can be independently coupled to a separate power source. Alternately, the power can be alternatingly and sequentially coupled to the plurality of metal sources using one or more power sources.
  • Substrate holder 112 can include an electrode through which AC power, such as RF power, or DC power is coupled to substrate 114. For example, substrate holder 112 can be electrically biased at an RF voltage via the transmission of RF power from an RF generator through an impedance match network to substrate holder 112. The RF bias can serve to heat electrons to form and maintain plasma. Alternatively, the RF bias can serve to affect the ion energy of ions incident on the upper surface of the substrate. A typical frequency for the RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art. Alternately, RF power is applied to the substrate holder electrode at multiple frequencies. Furthermore, an impedance match network can serve to improve the transfer of RF power to plasma in the process chamber by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
  • Additionally, the substrate holder 112 can comprise an electrostatic clamping system (or mechanical clamping system) in order to electrically (or mechanically) clamp substrate 114 to the substrate holder 112. Furthermore, substrate holder 112 can, for example, further include a cooling system having a re-circulating coolant flow that receives heat from substrate holder 112 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, a heat transfer gas can, for example, be delivered to the back-side of substrate 114 via a backside gas system to improve the gas-gap thermal conductance between substrate 114 and substrate holder 112. For instance, the heat transfer gas supplied to the back-side of substrate 112 can comprise an inert gas such as helium, argon, xenon, krypton, a process gas, or other gas such as oxygen, nitrogen, or hydrogen. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a multi-zone gas distribution system such as a two-zone (center-edge) system, wherein the back-side gas gap pressure can be independently varied between the center and the edge of substrate 114. In other embodiments, heating/cooling elements, such as resistive heating elements, or thermoelectric heaters/coolers can be included in the substrate holder 112, as well as the chamber wall of the process chamber 110.
  • Furthermore, control system 150 can include a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to deposition system 100 as well as monitor outputs from deposition system 100. Moreover, control system 150 can be coupled to and can exchange information with process chamber 110, plasma source 120, distributed metal source 130, gas injection system 160, and vacuum pump system (not shown). For example, a program stored in the memory can be utilized to activate the inputs to the aforementioned components of deposition system 100 according to a process recipe in order to perform a deposition process. One example of control system 150 includes a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.
  • Referring now to FIGS. 3A and 3B, another deposition system 200 is presented according to an embodiment of the present invention. Deposition system 200 includes similar elements as the deposition system 100 described in FIG. 1. However, deposition system 200 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms. For example, deposition system 200 includes a first plasma source 220 coupled to and surrounding a lower portion of the process chamber 210 and configured to form plasma in process space 240, and a second plasma source 222 coupled to and surrounding an upper portion of the process chamber 210 and configured to form plasma in process space 240.
  • Additionally, the deposition system 200 includes a distributed metal source 230 coupled to the process chamber 210, and configured to introduce a metal adatom to process space 240 in process chamber 210. The position of the distributed metal source 230 can be as indicated in FIG. 3A and FIG. 3B.
  • As shown in FIG. 3A, deposition system 200 can further comprise a buffer device 270. For example, a portion of the process chamber 210 does not contain either a distributed metal source, or a plasma source, but rather it constitutes a buffer surface to compensate for the different processing rates at the substrate. The buffer surface 270 can include at least one of a convex, planar or concave shape, and it may be fabricated of conductive material, such as aluminum, stainless steel, etc. The purpose of the buffer device 270 can, for example, be to complement the radial distribution of metal adatom in process space 240. Since the surface reactions are sensitive to the surface temperature, the buffer surface (buffer device 270) can contain temperature control elements, such as cooling and/or heating devices, in order to control its surface temperature. Additionally, for example, the buffer device 270 can be connected to a DC, or AC power supply. Additionally, the buffer device 270 can contain a magnetic field structure that generates static, or dynamic magnetic field by means of permanent magnets, or electromagnets. Additionally, the buffer device 270 can be translatable. For example, the buffer device 270 can translate in a direction perpendicular to the substrate 214 in order to alter the spacing between the buffer device 270 and substrate 214.
  • The deposition system 200 can further comprise a gas injection system 260 coupled to the process chamber 210, and configured to introduce an inert gas, such as a Noble gas, to the process space 240 in process chamber 210. Optionally, the deposition system 200 can further comprise a controller 250 coupled to the process chamber 210, the substrate holder 212, first plasma source 220, second plasma source 222, and the distributed metal source 230, wherein it may be configured to perform at least one of operate, adjust, monitor, or control the deposition system 200 according to, for example, a process recipe.
  • Referring now to FIG. 4, another deposition system 300 is shown corresponding to yet another embodiment of the invention. The deposition system 300 includes two or more plasma sources provided at two or more locations in order to provide uniform and controllable plasma density distribution sufficient to uniformly ionize metal adatoms. For example, deposition system 300 includes a first plasma source 320 coupled to and surrounding a lower portion of the process chamber 310 and configured to form plasma in process space 340, and a second plasma source 322 coupled to and located above the process chamber 310 and configured to form plasma in process space 340. Additionally, the deposition system 300 includes a distributed metal source 330 coupled to the process chamber 310, and configured to introduce a metal adatom to process space 340 in process chamber 310. The deposition system 300 can further comprise a gas injection system 360 coupled to the process chamber 310, and configured to introduce an inert gas, such as a Noble gas, to the process space 340 in process chamber 310. Optionally, the deposition system 300 can further comprise a control system 350 coupled to the process chamber 310, the substrate holder 312, the plasma sources 320 and 322, and the distributed metal source 330, wherein it may be configured to perform at least one of operating, adjusting, monitoring, or controlling the deposition system 300 according to, for example, a process recipe. Deposition system 300 can further comprise a buffer device 370 as described above.
  • Additionally, for example, FIG. 5 illustrates a layout for a deposition system 400 having a control system 410 for controlling deposition system 400. The control system 410 includes a user interface 420 for setting process parameters and hardware parameters, and a primary controller 422 configured to operate the deposition system according to the set parameters. Control system 410 further includes a first sub-controller 430 configured to operate a pressure control system 432, a gas flow control system 434 and a gas supply system 438, and a pumping system 436. Additionally, control system 410 includes a second sub-controller 440 configured to operate a plasma source power generator and control system 442 and a deposition system cooling and heating system 444, as well as a third sub-controller 446 configured to operate a distributed metal source DC power generator and control system 448. The plasma source power generator and control system 442 and the distributed metal source DC power generator and control system 448 are coupled to the process chamber 465, and configured to operate a plasma source 470 having one or more plasma generating elements 471, 472 and a distributed metal source 480 having one or more metal sources 481, 482, 483, respectively. A fourth sub-controller 450 is configured to operate a substrate handling system 452, a fifth sub-controller 454 is configured to operate a chuck and de-chucking system 456, and a sixth sub-controller 458 is configured to operate a substrate holder power generator and control system 460. Furthermore, a diagnostic system 490 having one or more sensors and instrumentation can be coupled to the process chamber 465, the plasma source 470, and the distributed metal source 480, and configured to provide operational data to the user interface 420.
  • As illustrated in FIG. 5, the primary controller 422 can be locally located relative to the deposition system 400, or it can be remotely located relative to the deposition system 400. For example, controller 422 can exchange data with deposition system 400 using at least one of a direct connection, an intranet, and the internet. Controller 422 can be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it can be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, controller 422 can be coupled to the internet. Furthermore, another computer (i.e., controller, server, etc.) can, for example, access controller 422 to exchange data via at least one of a direct connection, an intranet, and the internet.
  • In the deposition systems described in FIGS. 1 through 5, the distributed metal source can produce metal vapor flux from targets that is thermalized at a gas pressure which is higher than a typical sputtering pressure (typically >30 mTorr). A particularly inventive embodiment of the invention employs the metal to plasma ratio in ion stimulated nanoscale deposition that uses a thermalized plasma or metal vapor in the vacuum processing chamber. The suitable ICP source produces a high density plasma and effective ionization of the metal in bulk volume. Metal ions diffuse towards the substrate surface and are accelerated by a voltage gradient in the pre-sheath and sheath (potential difference between plasma potential and wafer potential). Surface coverage can occur in the surface kinetic mode at high metal ion flux fraction but relatively low total metal density. Due to surface collisions at the flat field, recombined metal ions are returned back to the bulk plasma. Within the feature, the sidewalls provide a capturing effect for metal that escapes the bottom of the feature due to Penning excitation of the background gas, such as argon, and charge exchange of metal ions with the background gas. At these conditions, the ion based deposition rate from the bulk plasma is larger than the generation rate of neutrals at the bottom of the feature, and the generation rate of neutrals is larger than the deposition rate by neutrals from bulk plasma; i.e., conformality is larger than CB/FF≧1 (B=feature bottom, FF=flat field; i.e., CB/FF represents the ratio of the deposition rate at the feature bottom to the deposition rate at the flat field). When the reflected and re-sputtered metal from the bottom is less than the amount of the metal consumed at the walls through surface reactions, then CSW/B≧1 (SW=feature sidewall).
  • Referring now to FIG. 6, a method of depositing a thin film in a high aspect ratio feature is described. The method includes a flow chart 500 beginning in 510 with disposing a substrate in a deposition system. For example, the deposition system can include any one of the depositions systems described above in FIGS. 1 through 5.
  • In 520, a plasma is formed in the deposition system using one or more plasma sources. The plasma can be formed by introducing a background gas, such as an inert gas, and by coupling power, sufficient for ionization, to the gas.
  • In 530, a metal is introduced to the deposition system from one or more metal sources.
  • In 540, a process is established for depositing the metal on the substrate, wherein the ratio of the metal density to the plasma density is substantially equal to unity or less than unity. For example, the process conditions for achieving this ratio are described in greater detail below.
  • In 550, a conformal deposition of metal on the substrate is performed utilizing the process conditions formed in the deposition system.
  • In one example, a method to identify the bounds of a process, or process window, for achieving conformal coverage, or more specifically a specific metal-to-plasma density ratio and a distribution thereof, can be borne from first principles simulation, or experimentation, or both. As described above, a metal film is deposited on a substrate, wherein a metal vapor, such as copper, aluminum, tantalum, titanium, etc., is formed in the presence of a background gas, such as a Noble gas, during which multiple collisions create an energetically multi-component plasma environment through elementary reactions. In a metal-gas plasma, such as a metal-argon plasma, five atomic components can be present that comprise a different energetic status and behave differently. For example, the principal collisions that produce these particles are:
  • Electron collisions with parent gas atoms in bulk plasma:
      • (1) (electron impact excitation) Ar(g)+e→Ar+(g)+e
      • (2) (electron impact ionization) Ar(g)+e→Ar+(g)+2e
  • Electron collisions with metal atoms in bulk plasma:
      • (3) (electron impact excitation) M(g)+e→M+(g)+e
      • (4) (electron impact ionization) M(g)+e→M+(g)+2e
  • Metal collisions with parent gas atoms in bulk plasma:
      • (5) (Penning excitation of the argon) M + ( g ) + Ar 0 ( g ) -> bulk M + ( g ) + Ar * ( g )
      • (6) (charge exchange) M + ( g ) + Ar * ( g ) -> bulk M 0 ( g ) + Ar + ( g )
      • (7) (Penning ionization of the metal) M 0 ( g ) + Ar * ( g ) -> bulk M + ( g ) + Ar 0 ( g ) + e -
  • In a low density plasma (ne<1010 cm−3), Penning ionization (see equation 7) is responsible for the majority of metal-ionizing collisions. In a high density plasma (ne>1011 cm−3), the principal metal ionization channel is electron impact ionization (see equation 3). The principal loss channel for charged particles in the bulk plasma is ambipolar diffusion towards the walls and consequent recombination at the wall surface. Ionized metal constitutes a certain fraction of the overall ion density in the argon plasma. When the metal vapor density is comparable to the parent argon density, the fraction is determined by the electron temperature and ionization potentials of both components (e.g., Ei(Cu)≈7.724 eV, Ei(Ar)≈15.755 eV). Since the ionization potential for the metal is less than argon at a given electron temperature (other practical metals for interconnects have a comparable ionization potential to copper, e.g., Ei(Ta)≈7.88 eV, Ei(Al)≈5.984 eV, Ei(Ti)≈6.82 eV, etc.) for metal densities nM<ne, a larger fraction of metal can be ionized than for nn>ne.
  • For example, FIG. 7 presents results from a global kinetic model for a copper-argon plasma. For example, the results presented in FIG. 7 reflect the ionization fraction of metal and argon ions in the bulk high density plasma (p˜65 mTorr, ne˜1.6×1012 cm−3, Te˜1.75 eV) and the metal ion flux fraction to the surface for the bulk metal density at the floating substrate. The dashed lines (1) show a reduction in metal (Cu) ion density when exceeding plasma density (2). The model output is based on kinetic processes described above (relations (1) to (7)), and experimental data on plasma density and electron temperature measurements. In order to convert the metal ion density to metal ion flux at a surface, the acceleration of ions to the Bohm velocity by the plasma pre-sheath and the sheath potential difference Vplasma−Vbias is considered. For example, an increase in the bias can increase the metal ion flux to the surface. The flux of the neutral metal is not affected by the accelerating voltage gradient. This result causes the fraction of ionized metal flux to a substrate (3) to be larger than the fraction of ionized metal (4) in the plasma.
  • The metal deposition occurs within a plasma sheath at the substrate surface (or any other surfaces), in which depletion of an electron density occurs (ne→0). Additionally, no electron impact collisions occur within the sheath since, for example, at pressures of 30 to 100 mTorr, an ion-neutral mean free path (1-3 mm) is greater than the sheath width (<Li-n>≧1 mm>>dsheath˜30-100 μm), and thus the sheath can be considered collisionless. Moreover, the neutral flux within a feature is significantly diminished due to the very low aspect angle from a point at the feature surface (see FIG. 8). Under these conditions, the ion stimulated collisions at the surface can play an important role in the metal transport. The presence of the surface plays the role of the third body in collisions and provides advantageous conditions for energy transfer between colliding particles at the surface. In the kinetic model, it is assumed that the following surface collisions can contribute to the deposition rate:
      • (8) (Penning excitation of the argon) M + ( g ) + Ar 0 ( s ) -> E , surface M 0 ( g ) + Ar * ( s ) + e ( s )
      • (9) (charge exchange) M + ( g ) + Ar * ( s ) -> E , surface M 0 ( g ) + Ar + ( s ) -> M 0 ( g ) + Ar 0 ( s ) + e ( s )
      • (10) (Penning ionization of the metal) M 0 ( g ) + Ar * ( s ) -> surface M + ( g ) + Ar 0 ( s ) -> E , surface -> E , surface M + ( s ) + Ar 0 ( s ) -> E , surface M 0 ( s ) + Ar 0 ( s ) + e ( s )
  • Further, the direct deposition of the neutral metal atoms, the accelerated metal ions, the reflected metal ions from the surface, and the resputtering of the substrate surface is also considered.
      • (11) (ion deposition mechanism) M + ( g ) { -> E , deposited M + ( s ) -> surface M ( s ) + e ( s ) -> E , reflected M reflected + ( g ) -> E , deposited M reflected + ( s ) -> M ( s ) + e ( s ) -> E , resputtered M ( s ) + M ( g ) + M 0 ( s ) -> E , charge_exchange M ( s ) + M + ( s ) -> E , deposited 2 M ( s ) + e ( s )
  • The overall mechanisms considered in the kinetic model are shown schematically in FIGS. 9A and 9B. Solutions to the kinetic model provide the deposition rate on the flat field surface (D.R.FF), the bottom (D.R.B), and the sidewall (D.R.SW) surface in terms of metal density (nM), plasma density (ne) and particular rate constants of the described above surface collisions: D . R . FF ( n e , n M ) B 1 n M + K 1 n e n M - Y ( φ , E ) n e + B 3 n e n M n Ar - K 2 n e n M n Ar - K 3 n e 2 n M n Ar ( 12 ) D . R . B ( n e , n M ) Θ ( H ) B 1 n M + K 1 n e n M [ 1 - R ( φ B ) ] - Y ( φ , E ) n e + Θ ( H ) 2 B 3 n e n M n Ar - Θ ( H ) K 2 n e n M n Ar - Θ ( H ) K 3 n e 2 n M n Ar ( 13 ) D . R . SW ( n e , n M ) Θ ( z ) B 1 n M + ( 1 + R ( φ B ) 4 A R ) K 1 n e n M + 1 4 A R Y ( φ B , E ) n e + ( 1 + 1 4 A R ) Θ ( z ) 2 B 3 n e n M n Ar + 1 4 A R Θ ( z ) K 2 n e n M n Ar + 1 4 A R Θ ( z ) K 3 n e 2 n M n Ar ( 14 )
  • Where the first term on the right hand side (having B1) describes the deposition rate due to neutral metal, the second term (having K1) describes the deposition rate due to ionized metal, the third term (having Y(φ, E)) describes the deposition rate due to the resputtering rate, the fourth term (having B3) describes the deposition rate due to Penning ionization of metal, the fifth term (having K2) describes the Penning excitation of argon, the sixth term (having K3) describes charge exchange between metal and argon, R(φB) represents the reflection coefficient, nAr represents the background gas bulk density, Θ(H) represents the neutral flux fraction at the bottom of the feature, Θ(z) represents the neutral flux fraction at the sidewall of the via, and AR is aspect ration of the feature.
  • Additionally, the principal metal transport inside a feature is in molecular regime with high surface collision frequency. At exploited conditions, the surface collision frequency is comparable to the bulk plasma collision frequency. Furthermore, the direct deposition of the neutral metal inside a feature can depend on the position inside the feature.
  • Analysis of the bottom to flat field coverage CB/FF=DB(ne, nM)/DFF(ne, nM), and sidewall to bottom coverage CSW/B=DSW(ne, nM)/DB(ne, nM) are shown in Table 1 and Table 2. At reduced metal density nM<ne, surface reactions predict conformal coverage of the feature CSW/B(ne, nM)≈1, without overhang build-up. Conformal coverage can be achieved when the metal density nM satisfies the condition nm critical<nM<ne, and the plasma density ne satisfies the relation ne(1)≦ne≦ne(2). Here, nn critical (Ub, ne, nAr, B1, B3, K1, K2, K3, R(φB)) is a complex function of the surface rate constants, reflection coefficient, plasma density, and argon background pressure, and it can be dependent on the physical properties of the actual metal deposited. Specifically, it can be sensitive to process parameters such as the bias at the substrate, and as a result it causes nM critical→ne. Plasma density can be determined by similar parameters in a complex way including the aspect ratio of the feature as a parameter. The most important conclusion of the model is that there exist intervals, or process windows, for metal density and plasma density where CB/FF≈1 and CSW/B≈1.
  • From the process point of view based on metal fractions in plasma and applied bias voltage to the substrate, several process windows can be identified for conformal coverage of the high aspect ratio features (see FIGS. 10A through 10H). For example, FIGS. 10A through 10H present the feature sidewall to bottom coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated), and the feature bottom to flat field coverage (kinetic model without surface collisions is illustrated, kinetic model with surface collisions is also illustrated) for different process parameters, namely: (10A) No substrate bias, and low metal density; (10B) Substrate bias corresponds to 5% resputtering rate and low metal density; (10C) Substrate bias corresponds to 10% resputtering rate (relative to the deposition rate) and low metal density; (10D) Substrate bias corresponds to 15% re-sputtering rate and low metal density; (10E) Substrate bias corresponds to 25% re-sputtering rate and metal density is comparable to plasma density; (10F) Substrate bias corresponds to 50% resputtering rate and metal density is comparable to plasma density; (10G) Substrate bias corresponds to 75% re-sputtering rate and metal density is comparable to plasma density; and (10H) Substrate bias corresponds to 100% re-sputtering rate and metal density is comparable to plasma density.
  • According to one embodiment, the process window for conformal coverage includes low bias power (to the substrate), and nM<0.1 ne, or preferably nM≦nM ,critical<ne (illustrated in FIGS. 10A, B, C, D at various bias conditions). In this process window, CSW/B→1, and even when CSW/B≧1, a moderate increase in the bias power (increase in re-sputtering rate) can increase the sidewall to bottom coverage from conformal to overconformal. Overall, the deposition rate is relatively low, and it can be increased by increasing the plasma density in the bulk plasma, i.e., ne→1013 cm−3 and above. The bottom to flat field coverage is low CB/FF<0.2, and no overhang is produced.
    TABLE 1
    COVERAGE BOTTOM/FLAT FIELD
    NO SURFACE REACTIONS SURFACE REACTIONS
    LOW C = 0 direct ion flux is balanced with resputtering rate (OVERHANG) n M Y ( φ , E ) n e K 1 n e ( 1 - R ( φ ) ) direct ion flux is balanced with resputtering rate (OVERHANG) n M Y ( φ , E ) n e K 1 n e ( 1 - R ( φ ) )
    CONFORMAL C = 1 does not have physical nM = 0 ne = 0 metal due to arriving neutrals, Condition is satisfied
    solution (OVERHANG) R(φ) = 0 Penning ionization and reflected independently on
    ion flux is balanced by Penning metal density
    excitation and charge exchange
    fluxes (OVERHANG)
    SEMICON- FORMAL 0 < C < 1 to satisfy an ion based net deposition rate should be produced (OVERHANG) n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) arriving ion based contribution must be larger than surface neutral metal generation (OVERHANG) n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) - ( K 2 + K 3 n e ) n Ar
    OVER CONFORMAL C > 1 to satisfy an ion based net deposition rate should be produced n M Y ( φ , E ) K 1 ( 1 - R ( φ ) ) arriving ion based contribution must be larger than surface neutral metal generation, last one larger than arriving neutrals flux n M > Y ( φ , E ) n e B 1 + K 1 n e + B 3 n e n Ar - [ K 2 n e n Ar + K 3 n e 2 n Ar ]
    EROSION C < 0 depleted ion arriving flux comparing to resputtering (PUNCH THROUGH) n M < Y ( φ , E ) K 1 ( 1 - R ( φ ) ) depleted ion arriving flux comparing to resputtering n M < Y ( φ , E ) K 1 ( 1 - R ( φ ) )
  • According to another embodiment, the process window for conformal coverage includes medium bias power (to the substrate), and 0.1 n M - n e n e 1 ,
    or preferably n M , critical n e n M - n e n e 1
    (illustrated in FIGS. 10E, F, G at various bias conditions). In this process window, CSW/B≦1, and it is comparable to CSW/B≈CB/FF. The deposition rate is reasonable. Both CSW/B and CB/FF can be controlled by the metal source generation rate. The process window is larger for a lower bias, and it shrinks at higher bias conditions. Overhang formation rate is still relatively low.
  • According to another embodiment, the process window for conformal coverage includes high bias power (to the substrate), and nm≧ne (illustrated in FIGS. 10G, H at various bias conditions). On the metal density scale, this process window is similar to the first process window for low bias power.
    TABLE 2
    COVERAGE SIDEWALL/BOTTOM
    NO SURFACE REACTIONS SURFACE REACTIONS
    LOW C = 0 does not have physical nM < 0 does not have physical nM < 0
    solution solution
    CONFORMAL C = 1 does not have physical nM < 0 reflected and resputtered metal from bottom is
    solution balanced with exactly same amount of metal
    restricted solution consumed at walls through surface reactions
    (convergency under question) n M >> n M critical ( flux bulk ) n e >> n e critical ( flux bulk ) A R > A R critical ( R ( φ ) ) n M >> n M critical ( flux_from _bulk surf_reactions ) n e ε n e ( 1 ) > n e ( 2 )
    SEMI- 0 < C < 1 does not have physical nM < 0 reflected and resputtered metal from bottom is
    CONFORMAL solution larger than metal amount consumed at walls
    through surface reactions
    n M >> n M critical ( flux_from _bulk surf_reactions ) n e ε n e ( 1 ) > n e ( 2 )
    OVER C > 1 does not have physical nM < 0 reflected and resputtered metal from bottom is
    CONFORMAL solution lower than metal amount consumed at walls
    through surface reactions
    n M > n M critical ( flux_from _bulk surface_reactions ) n e ε n e ( 1 ) > n e ( 2 )
    EROSION C < 0 does not have physical nM < 0 does not have physical nM < 0
    solution solution
  • This region can be applicable for applications to enhance bottom coverage without effecting sidewall coverage. It may also be used in sequence with processes operating in the low bias power process window in order to combine optimized sidewall-to-bottom coverage with enhanced bottom-to-flat field coverage without creating overhang structure. The conformality CSW/B→0 in this process window.
  • According to another embodiment, the process window for conformal coverage includes nM>ne (or more effective at nM>>ne) which is characteristic by overhang formation due to increased deposition rate. This process window is exploited by current PVD and iPVD processes; however, due to overhang, it does not provide good coverage for high aspect ratio features.
  • In yet another embodiment, the process conditions are selected such that the plasma density is sufficiently high to allow reasonable deposition rates, and satisfy the condition ne>nM, e.g., ne≈1012→1013 cm−3 and higher. For example, a plasma density of approximately ne→1013 cm−3 can provide sufficient deposition rates ˜10-100 nm/min for nanoscale deposition.
  • Additionally, the distributed metal source can deliver an optimized amount of metal satisfying the condition nM<ne, that is highly ionized at an arbitrary location above the substrate with reduced or even eliminated rarefaction impact and overhang formation. The distributed metal source can provide higher ionization, hence, providing higher uniformity by geometry and operation (small metal source is less coupled to plasma source).
  • Additionally, another process condition includes nmetal/ne=const≦1, and nM≧nM critical. Sustaining a constant ratio of the metal density to plasma density over the whole substrate can provide conditions for surface reactions within the conformal coverage mode, thus uniform coverage of features or structures across the substrate can be achieved.
  • Following the results of the kinetic model described above, one process recipe for optimal feature coverage can include conditions extracted from the first (low bias power) and third process windows. For example, this process recipe can be provided by low metal source generation, and changing the bias of the substrate from a no bias condition to a medium bias condition (e.g., pulsing bias power). For a typical ICP plasma (using ionized metal for metalization of 300 mm wafers) with density of several 1012 cm−3 at pressures from 30 to 100 mTorr, the density of metal≦1011 cm−3 (e.g., this can be achieved by 1-4 kW delivered from the DC power to the target) can be considered low enough to operate in the surface collision mode, e.g., in the first or third process window. At these conditions, the substrate bias can have two levels during process falling within the range from an unbiased substrate holder to a biased substrate holder at a level corresponding to a net deposition rate at the flat field of less than 20% of the unbiased deposition rate. For example, the substrate bias power can range from 0 W to several hundred Watts.
  • Another process recipe includes operation at medium bias conditions (i.e., the second process window, medium bias power), and metal source generation preset to comply with the condition n M , critical n e n M - n e n e 1 ,
    or in other words, the metal density is close to plasma density range. For example, the net deposition rate at the flat field is in the range from 50% to 80% of the deposition rate at unbiased conditions (e.g., the substrate bias power ranges from approximately from 300 W to 800 W). Additionally, for example, the DC power supplied to the target can range from approximately 2 to 6 kW in order to generate a total metal density comparable to the plasma density.
  • Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (55)

1. A deposition system for forming a thin film in a high aspect ratio feature on a substrate comprising:
a process chamber;
a substrate holder coupled to said process chamber, and configured to support said substrate;
a plasma source coupled to said process chamber, and configured to form a plasma in said process chamber; and
a metal source coupled to said process chamber, and configured to introduce a metal to said process chamber,
wherein said plasma source and said metal source are configured to produce a ratio between a metal density and a plasma density of less than unity above said substrate.
2. The deposition system of claim 1, further comprising:
a gas injection system coupled to said process chamber, and configured to introduce an inert gas.
3. The deposition system of claim 2, wherein said inert gas includes a Noble gas.
4. The deposition system of claim 1, wherein said plasma density is characterized by an electron density, or an ion density.
5. The deposition system of claim 1, wherein said ratio is substantially uniform across said surface of said substrate.
6. The deposition system of claim 1, wherein said ratio varies as a function of time.
7. The deposition system of claim 1, wherein a spatial distribution of said plasma density above said substrate is represented by ƒ(x,y), a spatial distribution of said metal density is represented by g(x,y), and x and y represent a two-dimensional rectilinear coordinate system parallel with said surface of said substrate, where said function g(x,y) is a substantially similar function as said function ƒ(x,y).
8. The deposition system of claim 1, wherein said plasma source includes one or more plasma generating elements.
9. The deposition system of claim 8, wherein said one or more plasma generating elements is selected from the group consisting of a capacitively coupled plasma generating element, an inductively coupled plasma generating element, a helicon plasma generating element, an electron cyclotron resonance plasma generating element, and a surface wave plasma generating element.
10. The deposition system of claim 1, wherein said plasma source includes at least one of an electrode, or a helical coil, or both.
11. The deposition system of claim 1, wherein said plasma source is configured to produce a high density plasma.
12. The deposition system of claim 1, wherein said plasma source is configured to produce a plasma density exceeding 1012 cm−3.
13. The deposition system of claim 1, wherein said plasma source includes a first plasma generating element and a second plasma generating element, where said first generating element and said second generating element are coupled to said process chamber in order to perform at least one of adjusting or controlling a spatial distribution of said plasma density above said substrate.
14. The deposition system of claim 13, wherein said first plasma generating element is coupled to a periphery of a lower portion of said process chamber, and said second plasma generating element is coupled to a periphery of an upper portion of said process chamber.
15. The deposition system of claim 13, wherein said first plasma generating element is coupled to a periphery of a lower portion of said process chamber, and said second plasma generating element is coupled to an upper surface of said process chamber.
16. The deposition system of claim 1, wherein said metal source includes a distributed metal source configured to perform at least one of adjusting or controlling a spatial distribution of said metal density above said substrate.
17. The deposition system of claim 16, wherein said distributed metal source includes one or more metal targets positioned about a periphery of said process chamber.
18. The deposition system of claim 16, wherein said one or more metal targets are coupled to a direct current (DC) power source.
19. The deposition system of claim 16, wherein said one or more metal targets include a plurality of targets coupled to a power source, said power source configured to alternatingly and sequentially power each of said plurality of targets.
20. The deposition system of claim 1, wherein said metal source includes a metal target coupled to a direct current (DC) power source.
21. The deposition system of claim 1, wherein said metal source comprises a source of at least one of aluminum, copper, tantalum, or titanium.
22. The deposition system of claim 1, further comprising:
a buffer device coupled to said process chamber, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.
23. The deposition system of claim 22, wherein said buffer device is coupled to an upper surface of said process chamber.
24. The deposition system of claim 22, wherein said buffer device includes at least one of a flat, convex, or concave shape.
25. The deposition system of claim 22, wherein said buffer device is electrically biased with at least one of direct current (DC) or alternating current (AC) power.
26. The deposition system of claim 22, further comprising:
a magnet system coupled to said buffer device, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.
27. The deposition system of claim 26, wherein said magnet system includes at least one of a permanent magnet array, or an electromagnet.
28. The deposition system of claim 26, wherein said magnet system includes at least one of a rotating magnet system, or a stationary magnet system.
29. The deposition system of claim 22, wherein said buffer device is translatable.
30. The deposition system of claim 29, wherein said buffer device translates in a direction substantially perpendicular to said substrate.
31. The deposition system of claim 22, wherein said buffer device is coupled to a cooling system, a heating system, or both.
32. The deposition system of claim 1, further comprising:
a magnet system coupled to said process chamber, and configured to adjust at least one of said metal density or said plasma density above said substrate in said process chamber.
33. The deposition system of claim 32, wherein said magnet system includes at least one of a permanent magnet array, or an electro-magnet.
34. The deposition system of claim 32, wherein said magnet system includes at least one of rotating magnet system, or a stationary magnet system.
35. The deposition system of claim 1, wherein said substrate holder is configured to electrically bias said substrate. 1
36. The deposition system of claim 35, wherein substrate holder is coupled to a radio frequency (RF) generator.
37. The deposition system of claim 1, further comprising:
a control system coupled to said process chamber, said substrate holder, said plasma source, and said metal source, and configured to perform at least one of adjusting, monitoring, or controlling said deposition system.
38. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said plasma source is configured to produce said plasma density approximately equal to or exceeding 1012 cm3, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density approximately equal to or less than 1012 cm−3 using approximately 1 to 4 kW of DC power, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 0 to 1000 W of RF power.
39. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density using approximately 2 to 6 kW of DC power that is approximately equal to said plasma density produced by said plasma source, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 300 to 800 W of RF power.
40. The deposition system of claim 1, wherein said process chamber is configured to produce a pressure ranging from approximately 1 mTorr to 100 mTorr, said metal source is coupled to a direct current (DC) power source and configured to produce said metal density using approximately 1 to 6 kW of DC power that is approximately equal to said plasma density produced by said plasma source, and said substrate holder is coupled to a radio frequency (RF) generator and configured to bias said substrate with approximately 0 to 1000 W of RF power.
41. A method of depositing a thin metal film in a high aspect ratio feature on a substrate comprising:
disposing said substrate on a substrate holder in a deposition system;
forming a plasma having a plasma density within said deposition system using a plasma source;
introducing metal having a metal density within said deposition system using a metal source;
establishing a ratio of said metal density to said plasma density above said substrate, said ratio being approximately equal to or less than unity; and
performing within said feature on said substrate a conformal deposition having a uniformity of plus or minus twenty-five percent.
42. The method of claim 41, wherein said establishing said ratio includes adjusting said plasma density using said plasma source.
43. The method of claim 41, wherein said establishing said ratio includes adjusting said metal density using said metal source.
44. The method of claim 41, wherein said establishing said ratio above said substrate includes establishing said ratio locally above said substrate.
45. The method of claim 44, wherein said establishing said ratio locally above said substrate includes establishing said ratio in a temporary manner.
46. The method of claim 41, wherein said establishing said ratio above said substrate includes establishing said ratio in a temporary manner.
47. The method of claim 41, wherein said depositing of a comformal deposition includes depositing a film having a maximum thickness on the substrate that is less than half the width of the feature.
48. The method of claim 47, wherein said depositing of a comformal deposition includes depositing a film having a maximum thickness on the substrate that is less than approximately one-tenth of the width of the feature.
49. A method of depositing a thin film in a high aspect ratio feature on a substrate comprising:
disposing said substrate on a substrate holder in a deposition system;
providing said deposition system with a coating material source of a coating material having a coating material ionization potential;
introducing into said deposition system a processing gas having an ionization potential greater than said coating material ionization potential;
forming with said processing gas a plasma having a plasma density within said deposition system using a plasma source;
introducing coating material having a coating material density within said deposition system using said coating material source;
establishing a ratio of said coating material density to said plasma density above said substrate, said ratio being not more than unity; and
performing within said feature on said substrate a conformal deposition of said coating material having a uniformity of plus or minus twenty-five percent.
50. The method of claim 49, wherein said ratio is substantially uniform across said surface of said substrate.
51. The deposition system of claim 49, wherein said plasma source is configured to produce a plasma density exceeding 1012 cm-3.
52. The method of claim 49, wherein said depositing of a conformal deposition includes depositing a film having a maximum thickness on the substrate that is less than half the width of the feature.
53. The method of claim 49, wherein said depositing of a conformal deposition includes depositing a film having a maximum thickness on the substrate that is less than approximately one-tenth of the width of the feature.
54. A deposition system for forming a thin film in a high aspect ratio feature on a substrate comprising:
means for performing the method of claim 49.
55. The deposition system of claim 54 wherein said means for performing the method comprises:
a process chamber;
a substrate holder coupled to said process chamber, and configured to support said substrate;
a plasma source coupled to said process chamber, and configured to form a plasma in said process chamber; and
a source of said coating material coupled to said process chamber, and configured to introduce said coating material to said process chamber.
US10/854,607 2004-05-26 2004-05-26 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process Abandoned US20050266173A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US10/854,607 US20050266173A1 (en) 2004-05-26 2004-05-26 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
TW094114715A TWI279851B (en) 2004-05-26 2005-05-06 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
PCT/US2005/016628 WO2005118905A1 (en) 2004-05-26 2005-05-12 Method and apparatus of plasma processing
CNA2005800169413A CN1957104A (en) 2004-05-26 2005-05-12 Method and apparatus of plasma processing
KR1020067027208A KR20070024643A (en) 2004-05-26 2005-05-12 Method and apparatus of plasma processing
JP2007515151A JP2008500456A (en) 2004-05-26 2005-05-12 Plasma processing method and apparatus
EP05748341A EP1771592A1 (en) 2004-05-26 2005-05-12 Method and apparatus of plasma processing.
US11/835,067 US8092658B2 (en) 2004-05-26 2007-08-07 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/854,607 US20050266173A1 (en) 2004-05-26 2004-05-26 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/835,067 Division US8092658B2 (en) 2004-05-26 2007-08-07 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

Publications (1)

Publication Number Publication Date
US20050266173A1 true US20050266173A1 (en) 2005-12-01

Family

ID=34969666

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/854,607 Abandoned US20050266173A1 (en) 2004-05-26 2004-05-26 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US11/835,067 Expired - Fee Related US8092658B2 (en) 2004-05-26 2007-08-07 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/835,067 Expired - Fee Related US8092658B2 (en) 2004-05-26 2007-08-07 Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process

Country Status (7)

Country Link
US (2) US20050266173A1 (en)
EP (1) EP1771592A1 (en)
JP (1) JP2008500456A (en)
KR (1) KR20070024643A (en)
CN (1) CN1957104A (en)
TW (1) TWI279851B (en)
WO (1) WO2005118905A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060265162A1 (en) * 2005-05-04 2006-11-23 Hitachi Global Storage Technologies Aggregated run-to-run process control for wafer yield optimization
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
US9249498B2 (en) 2010-06-28 2016-02-02 Micron Technology, Inc. Forming memory using high power impulse magnetron sputtering
US20170243720A1 (en) * 2016-02-23 2017-08-24 Tokyo Electron Limited Poly-phased Inductively Coupled Plasma Source

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888165B2 (en) 2008-08-14 2011-02-15 Micron Technology, Inc. Methods of forming a phase change material
US7834342B2 (en) 2008-09-04 2010-11-16 Micron Technology, Inc. Phase change material and methods of forming the phase change material
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FR2943092B1 (en) * 2009-03-13 2011-04-15 Snecma TURBINE DAWN WITH DUST-BASED CLEANING HOLE
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120213941A1 (en) * 2011-02-22 2012-08-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted plasma treatment of a three-dimensional structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
RU2520785C1 (en) * 2013-02-26 2014-06-27 Открытое акционерное общество "Научно-производственное объединение "Сатурн" Gas turbine stage with opening to tap dust concentrate from cooling system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103634960A (en) * 2013-12-06 2014-03-12 阳泉市新鑫科技研究所有限责任公司 Plasma helium and xenon high-pressure gas heater
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TW201717247A (en) * 2015-06-02 2017-05-16 蘭姆研究公司 Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN105945262B (en) * 2016-05-09 2018-12-04 广东富行洗涤剂科技有限公司 It is a kind of acid except shell mold agent
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4874494A (en) * 1986-06-06 1989-10-17 Tadahiro Ohmi Semiconductor manufacturing apparatus
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US4987346A (en) * 1988-02-05 1991-01-22 Leybold Ag Particle source for a reactive ion beam etching or plasma deposition installation
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5026471A (en) * 1989-09-07 1991-06-25 Leybold Aktiengesellschaft Device for coating a substrate
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5770025A (en) * 1995-08-03 1998-06-23 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering apparatus
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5976334A (en) * 1997-11-25 1999-11-02 Applied Materials, Inc. Reliable sustained self-sputtering
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6033541A (en) * 1994-12-14 2000-03-07 Applied Materials, Inc. Deposition process for coating or filling re-entry shaped contact holes
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6143140A (en) * 1999-08-16 2000-11-07 Applied Materials, Inc. Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field
US6153068A (en) * 1997-03-07 2000-11-28 Tadahiro Ohmi Parallel plate sputtering device with RF powered auxiliary electrodes and applied external magnetic field
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6217721B1 (en) * 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US6231725B1 (en) * 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6274008B1 (en) * 2000-01-21 2001-08-14 Applied Materials, Inc. Integrated process for copper via filling
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6306265B1 (en) * 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6340417B1 (en) * 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
US6375743B2 (en) * 1999-03-03 2002-04-23 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6380684B1 (en) * 1999-05-18 2002-04-30 Hitachi Kokusai Electric Inc. Plasma generating apparatus and semiconductor manufacturing method
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6699783B2 (en) * 2000-05-15 2004-03-02 Asm International N.V. Method for controlling conformality with alternating layer deposition
US6875321B2 (en) * 2001-11-14 2005-04-05 Applied Materials, Inc. Auxiliary magnet array in conjunction with magnetron sputtering
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2605088B2 (en) * 1988-03-19 1997-04-30 富士通株式会社 Tripolar magnetron sputtering equipment
JPH02225667A (en) * 1989-02-27 1990-09-07 Tokuda Seisakusho Ltd Sputtering device
JPH06181187A (en) * 1992-12-11 1994-06-28 Hitachi Ltd Sputtering system
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4874494A (en) * 1986-06-06 1989-10-17 Tadahiro Ohmi Semiconductor manufacturing apparatus
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US4987346A (en) * 1988-02-05 1991-01-22 Leybold Ag Particle source for a reactive ion beam etching or plasma deposition installation
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5026471A (en) * 1989-09-07 1991-06-25 Leybold Aktiengesellschaft Device for coating a substrate
US6033541A (en) * 1994-12-14 2000-03-07 Applied Materials, Inc. Deposition process for coating or filling re-entry shaped contact holes
US5770025A (en) * 1995-08-03 1998-06-23 Nihon Shinku Gijutsu Kabushiki Kaisha Magnetron sputtering apparatus
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
US6217721B1 (en) * 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US6228235B1 (en) * 1996-03-13 2001-05-08 Applied Materials, Inc. Magnetron for low pressure, full face erosion
US6340417B1 (en) * 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6153068A (en) * 1997-03-07 2000-11-28 Tadahiro Ohmi Parallel plate sputtering device with RF powered auxiliary electrodes and applied external magnetic field
US5800688A (en) * 1997-04-21 1998-09-01 Tokyo Electron Limited Apparatus for ionized sputtering
US5976334A (en) * 1997-11-25 1999-11-02 Applied Materials, Inc. Reliable sustained self-sputtering
US6197165B1 (en) * 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6231725B1 (en) * 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6306265B1 (en) * 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6375743B2 (en) * 1999-03-03 2002-04-23 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6380684B1 (en) * 1999-05-18 2002-04-30 Hitachi Kokusai Electric Inc. Plasma generating apparatus and semiconductor manufacturing method
US6143140A (en) * 1999-08-16 2000-11-07 Applied Materials, Inc. Method and apparatus to improve the side wall and bottom coverage in IMP process by using magnetic field
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6274008B1 (en) * 2000-01-21 2001-08-14 Applied Materials, Inc. Integrated process for copper via filling
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6699783B2 (en) * 2000-05-15 2004-03-02 Asm International N.V. Method for controlling conformality with alternating layer deposition
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US6875321B2 (en) * 2001-11-14 2005-04-05 Applied Materials, Inc. Auxiliary magnet array in conjunction with magnetron sputtering
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20100022099A1 (en) * 2005-03-15 2010-01-28 Asm America, Inc. Method of forming non-conformal layers
US20060265162A1 (en) * 2005-05-04 2006-11-23 Hitachi Global Storage Technologies Aggregated run-to-run process control for wafer yield optimization
US7269526B2 (en) * 2005-05-04 2007-09-11 Hitachi Global Storage Technologies Netherlands B.V. Aggregated run-to-run process control for wafer yield optimization
US9249498B2 (en) 2010-06-28 2016-02-02 Micron Technology, Inc. Forming memory using high power impulse magnetron sputtering
US20170243720A1 (en) * 2016-02-23 2017-08-24 Tokyo Electron Limited Poly-phased Inductively Coupled Plasma Source
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source

Also Published As

Publication number Publication date
JP2008500456A (en) 2008-01-10
EP1771592A1 (en) 2007-04-11
TWI279851B (en) 2007-04-21
US20080026574A1 (en) 2008-01-31
TW200605194A (en) 2006-02-01
CN1957104A (en) 2007-05-02
KR20070024643A (en) 2007-03-02
US8092658B2 (en) 2012-01-10
WO2005118905A1 (en) 2005-12-15

Similar Documents

Publication Publication Date Title
US8092658B2 (en) Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7588667B2 (en) Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
US7901545B2 (en) Ionized physical vapor deposition (iPVD) process
US7700474B2 (en) Barrier deposition using ionized physical vapor deposition (iPVD)
US6755945B2 (en) Ionized PVD with sequential deposition and etching
US8858763B1 (en) Apparatus and methods for deposition and/or etch selectivity
US6673716B1 (en) Control of the deposition temperature to reduce the via and contact resistance of Ti and TiN deposited using ionized PVD techniques
US6652718B1 (en) Use of RF biased ESC to influence the film properties of Ti and TiN
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US7892406B2 (en) Ionized physical vapor deposition (iPVD) process
US7897516B1 (en) Use of ultra-high magnetic fields in resputter and plasma etching
Klawuhn et al. Ionized physical-vapor deposition using a hollow-cathode magnetron source for advanced metallization
JP2004526868A5 (en)
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US20090242385A1 (en) Method of depositing metal-containing films by inductively coupled physical vapor deposition
US6200433B1 (en) IMP technology with heavy gas sputtering
WO1994024697A1 (en) PLASMA ETCH PROCESS AND TiSix LAYERS MADE USING THE PROCESS
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
US6528180B1 (en) Liner materials
US20090321247A1 (en) IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US20090041950A1 (en) Method and system for improving sidewall coverage in a deposition system
US7642201B2 (en) Sequential tantalum-nitride deposition
CN116065121A (en) PVD method and apparatus
Tolia et al. Integrated IMP Ti and MOCVD TiN for 300-mm W barrier and liner for sub-0.18-um IC processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BRCKA, JOZEF;REEL/FRAME:015387/0145

Effective date: 20040524

STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION