US20050277289A1 - Line edge roughness reduction for trench etch - Google Patents

Line edge roughness reduction for trench etch Download PDF

Info

Publication number
US20050277289A1
US20050277289A1 US11/205,372 US20537205A US2005277289A1 US 20050277289 A1 US20050277289 A1 US 20050277289A1 US 20537205 A US20537205 A US 20537205A US 2005277289 A1 US2005277289 A1 US 2005277289A1
Authority
US
United States
Prior art keywords
computer readable
recited
readable code
electrode
providing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/205,372
Inventor
Eric Wagganer
Helen Zhu
Daniel Le
Peter Loewenhardt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/205,372 priority Critical patent/US20050277289A1/en
Publication of US20050277289A1 publication Critical patent/US20050277289A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • the invention relates to a method providing improved line edge for a trench etch for Single and Dual Damascene metal integration.
  • the present invention relates to the formation of semiconductor devices.
  • a photoresist (PR) material is deposited on the wafer and then is exposed to light filtered by a reticle.
  • the reticle is generally a glass plate that is patterned with exemplary feature geometries that block light from propagating through the reticle.
  • the light After passing through the reticle, the light contacts the surface of the photoresist material.
  • the light changes the chemical composition of the photoresist material such that a developer can remove a portion of the photoresist material.
  • the exposed regions are removed, and in the case of negative photoresist materials, the unexposed regions are removed.
  • the wafer is etched to remove the underlying material from the areas that are no longer protected by the photoresist material, and thereby define the desired features in the wafer.
  • FIG. 1A is a schematic cross-sectional view of a layer 108 over a substrate 104 , with a patterned photoresist layer 112 , over an ARC (Anti-reflective coating) 110 over the layer 108 to be etched forming a stack 100 .
  • the photoresist pattern has a critical dimension (CD), which may be the width 116 of the smallest feature.
  • CD critical dimension
  • a typical CD for the photoresist may be 230-250 nm using conventional processes. Due to optical properties dependent on wavelength, photoresist exposed by longer wavelength light has larger theoretical minimal critical dimensions.
  • a trench 120 may then be etched through the photoresist pattern, as shown in FIG. 1B .
  • features formed using shorter wavelength light are being pursued.
  • 193 nm photoresist is exposed by 193 nm light.
  • a 90-100 nm CD photoresist pattern may be formed, using 193 nm photoresist. This would be able to provide a feature with a CD of 90-100 nm.
  • a wide trench is etched partially or fully through the dielectric (an M1 etch) to form connecting lines.
  • a narrower via is subsequently etched completely through a dielectric layer to form a contact.
  • a method for etching a trench to a trench depth in a dielectric layer over a substrate is provided.
  • An ARC is applied over the dielectric layer.
  • a photoresist mask is formed on the ARC, where the photoresist mask has a thickness.
  • the ARC is etched through.
  • a trench is etched into the dielectric layer with a dielectric to photoresist etch selectivity between 1:1 and 2:1.
  • a method for etching a trench to a trench depth in a dielectric layer over a substrate is provided.
  • An ARC is applied on the dielectric layer.
  • a sensitive photoresist mask is formed on the ARC, with a thickness between about 2000 ⁇ and 4000 ⁇ .
  • the ARC is etched through.
  • a trench is etched into the dielectric layer with a clean etch.
  • a plasma processing chamber comprises a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, an electrode placed opposite from and spaced apart from the substrate support, a heater connected to the electrode for heating the electrode, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure.
  • a gas source is in fluid connection with the gas inlet.
  • a controller is controllably connected to at least one of the gas source the electrode, the heater, the pressure regulator, the gas inlet, and the gas outlet.
  • FIGS. 1A and 1B are cross sectional views of a trench etched into a dielectric layer according to the prior art.
  • FIG. 2 is a flow chart of a process used in an embodiment of the invention.
  • FIGS. 3A-3C are cross-sectional views of a trench etched according to an embodiment of the invention.
  • FIGS. 4 A-B are schematic illustrations of top views of etched trenches.
  • FIG. 5 is a schematic view of a process chamber that may be used in a preferred embodiment of the invention.
  • FIGS. 6A and 6B illustrate a computer system, which is suitable for implementing a controller.
  • FIG. 2 is a flow chart of a process of forming a trench in a dielectric according to the invention.
  • An ARC Anti-Reflective Coating
  • FIG. 3A is an illustration of a cross-sectional view of a dielectric layer 308 over a substrate 304 .
  • An ARC 310 is provided on the dielectric layer 308 , as shown.
  • the ARC 310 may be an organic or inorganic ARC.
  • the dielectric layer is a trench dielectric layer, in which a dual damascene trench is etched. Such a dielectric layer is not a hard mask layer.
  • a sensitive trench photoresist mask 312 is formed on the ARC 310 (step 208 ).
  • a sensitive trench photoresist mask is a thin mask.
  • the thin sensitive trench photoresist mask is between 2000 ⁇ and 4000 ⁇ . In a more preferred embodiment, the thin sensitive trench photoresist mask is between 2500 ⁇ and 3000 ⁇ .
  • Sensitive photoresist materials are materials that are easily etched. Thin 193 nm photoresist and newer generation photoresist are considered sensitive photoresist materials.
  • the trench pattern 314 has a width 316 , as indicated.
  • the photoresist has a thickness 318 , as indicated.
  • a sensitive trench photoresist mask provides such a thin mask of sensitive photoresist material, that without some added protection the trench etch would etch away the sensitive trench photoresist mask before the trench is etched to the desired depth.
  • the ARC 310 is opened (step 212 ).
  • a conventional ARC opening step may be used.
  • FIG. 3B is an illustration of a cross-sectional view of the dielectric layer 308 over the substrate 304 after the ARC 310 has been opened.
  • a trench is then etched into the dielectric layer with a clean etch (step 216 ).
  • a clean etch heavy polymer forming gases make up less than 5% of the etchant gas, including any carrier gas.
  • a clean etch most preferably would be a low polymerization process like one containing CF 4 or C 2 F 6 as a principle gas.
  • Other preferred embodiments may have NF 3 or SF 6 as principle component gases because of the high dissociation rate of Fluorine with these gases. Less preferred embodiments would be gases with a higher level of Carbon which presumably would decompose to molecules like CFx, which can bond with similar and form into longer MER chains.
  • the trench etch has a low selectivity. More preferably, the trench etch selectivity of dielectric to photoresist of between about 1:1 and 2:1, where an etch selectivity of dielectric to photoresist of 2:1, means that the dielectric layer is etched twice as fast as the photoresist.
  • FIG. 3C is a cross-sectional view of the dielectric layer 308 after the trench 324 has been etched. In the preferred embodiment, the trench is etched to a depth of between about 200 and 400 nm.
  • the photoresist is sensitive to an aggressive etch.
  • Photoresist that is sensitive to an aggressive etch is photoresist that is etched as quickly by an aggressive etch as the dielectric layer, so that there is a low etch selectivity.
  • FIG. 5 is a schematic view of a process chamber 500 that may be used in the preferred embodiment of the invention.
  • the plasma processing chamber 500 comprises confinement rings 502 , an upper electrode 504 , a lower electrode 508 , a gas source 510 , and an exhaust pump 520 .
  • the substrate wafer 304 is positioned upon the lower electrode 508 .
  • the lower electrode 508 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for supporting the substrate wafer 304 .
  • the reactor top 528 incorporates the upper electrode 504 disposed immediately opposite the lower electrode 508 .
  • the upper electrode 504 , lower electrode 508 , and confinement rings 502 define the confined plasma volume 540 .
  • Gas is supplied to the confined plasma volume by gas source 510 through a gas inlet 543 and is exhausted from the confined plasma volume through the confinement rings 502 and an exhaust port by the exhaust pump 520 .
  • the exhaust pump 520 forms a gas outlet for the plasma processing chamber.
  • a first RF source 544 is electrically connected to the upper electrode 504 .
  • a second RF source 548 is electrically connected to the lower electrode 508 .
  • Chamber walls 552 define a plasma enclosure in which the confinement rings 502 , the upper electrode 504 , and the lower electrode 508 are disposed.
  • the second RF source 548 may comprise a 27 MHz power source and a 2 MHz power source, while the upper electrode 504 is grounded. Different combinations of connecting RF power to the electrodes are possible.
  • a heater 545 is connected to the upper electrode and is able to heat the upper electrode.
  • a controller 535 is controllably connected to the first RF source 544 , the second RF source 548 , the exhaust pump 520 , the heater 545 and the gas source 510 .
  • a showerhead may be connected to the gas inlet 543 .
  • the gas inlet 543 may be a single inlet for each gas source or a different inlet for each gas source or a plurality of inlets for each gas source or other possible combinations.
  • FIGS. 6A and 6B illustrate a computer system 800 , which is suitable for implementing a controller 535 used in embodiments of the present invention.
  • FIG. 6A shows one possible physical form of the computer system.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 800 includes a monitor 802 , a display 804 , a housing 806 , a disk drive 808 , a keyboard 810 , and a mouse 812 .
  • Disk 814 is a computer-readable medium used to transfer data to and from computer system 800 .
  • FIG. 6B is an example of a block diagram for computer system 800 .
  • Attached to system bus 820 is a wide variety of subsystems.
  • Processor(s) 822 also referred to as central processing units or CPUs
  • Memory 824 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 826 is also coupled bi-directionally to CPU 822 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 826 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 826 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 824 .
  • Removable disk 814 may take the form of any of the computer-readable media described below.
  • CPU 822 is also coupled to a variety of input/output devices, such as display 804 , keyboard 810 , mouse 812 and speakers 830 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 822 optionally may be coupled to another computer or telecommunications network using network interface 840 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 822 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • FIG. 4A is a schematic top view of a trench 404 etched into a dielectric layer 408 .
  • the walls 412 of the trench exhibit substantial line edge roughness.
  • FIG. 4B is a schematic top view of a trench 424 etched into a dielectric layer 428 .
  • the walls 432 of the trench 424 exhibit less line edge roughness.
  • line edge roughness may be quantified by measuring the width of the trench at a series of locations and averaging the variation over an interval, which is at least four times as long as the feature is wide.
  • a Hitachi CD SEM measures 32 points over a 1 um box to measure line edge roughness.
  • An Applied Materials NanoSEM measures 60 points over a 2 um rectangle. Calvin's paper showed no advantage to going above 2 um for small features but, some sensitivity was lost if you went below a 2 um box.
  • An approach to reduce line-edge roughness is to provide an etch with a dielectric to photoresist etch that is more selective than 4:1 by providing a heavy polymer forming gas.
  • Such heavy polymer formers form a heavy polymer over the top of the photoresist to protect the photoresist, improving etch selectivity.
  • it is believed that such heavy polymers are sticky and provide stress on the photoresist mask that increases line edge roughness. It is also believed that reactions occurring within the photoresist increase roughening.
  • Line edge roughening may also be caused by mouse biting which is believed to be related to the stress, which is induced in the top of the PR layer by the deposited polymers from the plasma. So, the polymer, which protects the PR, can induce mechanical forces, which deform the mask and result in a rougher sidewall for thin PR. This phenomena is not typically observed in thick PR mask situations (>300 nm). It has been found that with 193 nm PR that C 4 F 6 gas results in polymer, which can deposit more heavily then CF 4 or C 4 F 8 , but because of the deposition characteristics, striation in holes and roughness in trenches can result from modification of the PR mask. Also, roughening of the sidewall profile can cause micro-voids that follow the vertical plane. These can be caused by the break down of the photoresist integrity during the etch.
  • cleaner component gases are used.
  • cleaner component gases with a high fluorine to carbon ratio are most preferably CF 4 , with Ar, but, more generally, may also include gases with a high F dissociation like C 2 F 6 , or gases, which have a high fluorine dissociation like NF 3 or SF 6 .
  • Addition of gases to aid in the dissociation of F can also provide benefit for LER.
  • the addition of a small amount of O 2 (2-15 sccm) and/or a flow of N 2 (20-200 sccm) are known to aid in the creation of more free fluorine by binding with carbon components of the reactant gas.
  • the invention provides plasma parameters, such that these clean component gases form some protective polymer over the photoresist to increase etch selectivity, where the selectivity of the etch of the dielectric to photoresist is not increased above 2:1.
  • Such techniques provide polymers that are not as sticky as heavy polymers and for which deposition location of such polymers may be more easily controlled.
  • One technique that would be helpful in doing this is to increase the temperature of the upper electrode from 20° C. to at least 140° C. It is believed that polymer from the cleaner component gases would deposit on the upper electrode, causing less deposition on the photoresist.
  • the heater 545 helps to increase and control the upper electrode temperature to at least 70° C. In a preferred embodiment, the upper electrode temperature is increased to at least 70° C. during the etch. In a more preferred embodiment, the upper electrode temperature is increased to at least 90° C. In the most preferred embodiment, the upper electrode is increased to at least 140° C.
  • the upper electrode is the electrode to which the substrate is not mounted and is placed opposite the substrate, the non-mounting electrode. If the substrate was mounted on the upper electrode, then the lower electrode would be the electrode one which the substrate is not mounted and is opposite the substrate, so that the lower electrode is heated.
  • the high frequency power source provides a power of between 500 W and 2000 W. In a more preferred embodiment the high frequency power source provides a power between 500 W and 1200 W. In the most preferred embodiment the high frequency power source provides a power of between 500 W and 1000 W.
  • bias power source provides a power of between 0 W and 1000 W. In a more preferred embodiment the bias power source provides a power between 0 W and 600 W.
  • a chamber pressure of between 60 mTorr and 400 mTorr In a more preferred embodiment a chamber pressure between 70 mTorr and 300 mTorr is provided. In the most preferred embodiment, a chamber pressure of between 80 mTorr and 250 mTorr is provided.
  • some fine tuning of the process can be accomplished by further modification of the process to find a polymerization regime which maintains the overall CD while reducing the overall LER.
  • gases which are known to increase polymerization like C 4 F 8 ,CH 3 F, H 2 , CH2F2, etc.
  • gases can be added in small amounts to slow the erosion of the PR and help decrease LER slightly.
  • 5 sccm of C4F8 added to a very lean Ar/CF4/N2/O2 process reduced LER by ⁇ 10% in one case.
  • CHF 3 ,CH 2 F 2 , CH 3 F or other hydrofluorcarbons can help but, the amount of gas needed would depend on the dissociation properties of the gas.
  • this aspect of the invention controls where the polymer is deposited and provides a polymer from clean etchant gases, instead of increasing the total polymer deposited, even though the clean gases deposit with a low selectivity.
  • the trench depth is kept to a minimum, while still providing sufficient electrical interconnections.
  • a thin trench depth allows for a thin photoresist mask, when the selectivity is low.
  • a thin photoresist mask allows for better critical dimensions.
  • the photoresist mask is thin enough to provide the same critical dimension as higher generation photoresist materials. This allows for improved critical dimensions without new and more expensive lithography and stepper systems.
  • 248 nm photoresist may be used to form a stable photoresist mask if it is deposited to be 4000 ⁇ thick. But a mask that thick can only be imaged to 0.2 nm. To increase resolution to image below 0.2 mn, the 248 photoresist mask is made thinner, for example 3200 ⁇ thick.
  • the use of heavy polymer formers to increase selectivity to allow a thin photoresist mask to be used to etch a trench increases line edge roughness. Therefore, the invention uses cleaner polymer formers to increase selectivity to a point sufficient to etch a trench with reduced line edge roughness and yet have a selectivity of less than 2:1.
  • the use of low selective chemistry will not be suitable to provide enough selectivity to ensure the barrier is not removed during the main etch, so an overetch with a higher selectivity is preferred for the final terminal steps of the etch.
  • a 2500 ⁇ silicon oxide layer is formed over a 300 ⁇ silicon nitride etch stop, formed over a substrate.
  • Two different silicon oxynitride (SION) layers are formed over the silicon oxide layer to form an ARC layer.
  • one SiON layer was 285 ⁇ and the other SiON layer was 300 ⁇ .
  • a 3200 ⁇ patterned photoresist mask of 210 nm photoresist was formed over the ARC layer.
  • the ARC layer is opened using a conventional ARC etch.
  • the silicon oxide layer is etched with the following recipe.
  • the upper electrode is allowed to be heated above 140° C.
  • the pressure is set to 180 mTorr.
  • the 27 MHz RF source provides 800 Watts.
  • the 2 MHz RF source provides 0 Watts.
  • the etchant gas chemistry is 70 sccm CF 4 , 100 sccm Ar, and 100 sccm N 2 .
  • the etch takes place for 32 seconds.
  • An over etch step completes the etching of the silicon oxide layer, but provides a greater selectivity with respect to the silicon nitride etch stop.
  • the upper electrode is maintained at at lease 140° C.
  • the pressure is set to 80 mTorr.
  • the 27 MHz RF source provides 600 Watts.
  • the 2 MHz RF source provides 600 Watts.
  • the etchant gas chemistry is 7 sccm C 4 F 8 , 3 sccm O 2 , 300 sccm Ar, and 100 sccm N 2 .
  • the etch takes place for 14 seconds.
  • the over etch more selectively etches the silicon oxide with respect to the trench barrier and photoresist.
  • the final depth of the trench from the etch and over etch is between about 260 nm and 300 nm deep. Therefore, a 320 nm thick photoresist layer is used to etch a trench less than 300 nm deep. In such a process, only half of the photoresist is removed during the etch.
  • the photoresist is removed using an ashing step.
  • the pressure is set to 400 mTorr.
  • the 27 MHz RF source provides 400 Watts.
  • the 2 MHz RF source provides 0 Watts.
  • the etchant gas chemistry is 500 sccm O 2 .
  • the ashing takes place for 40 seconds.
  • the etch stop SiN layer is then opened.
  • the pressure is set to 250 mTorr.
  • the 27 MHz RF source provides 150 Watts.
  • the 2 MHz RF source provides 150 Watts.
  • the etchant gas chemistry is 90 sccm CF 4 , 30 sccm CHF 3 , 200 sccm Ar, and 200 sccm N 2 .
  • the opening takes place for 14 seconds.
  • the same substrate with the same silicon oxide layer, same ARC layer, and same patterned photoresist layer is used.
  • the same opening process is used to open the ARC layer.
  • the silicon oxide layer is etched with the following recipe.
  • the upper electrode is allowed to be heated above 140° C.
  • the pressure is set to 180 mTorr.
  • the 27 MHz RF source provides 800 Watts.
  • the 2 MHz RF source provides 0 Watts.
  • the etchant gas chemistry is 5 sccm C 4 F 8 , 70 sccm CF 4 , 100 sccm Ar, and 100 sccm N 2 .
  • the etch takes place for 32 seconds to etch a trench between about 260 nm and 300 nm deep.
  • An over etch step completes the etching of the silicon oxide layer, but provides a greater selectivity with respect to the silicon nitride etch stop.
  • the upper electrode is maintained at at lease 140° C.
  • the pressure is set to 80 mTorr.
  • the 27 MHz RF source provides 600 Watts.
  • the 2 MHz RF source provides 600 Watts.
  • the etchant gas chemistry is 7 sccm C 4 F 8 , 3 sccm O 2 , 300 sccm Ar, and 100 sccm N 2 .
  • the etch takes place for 18 seconds.
  • the photoresist is removed using an ashing step.
  • the pressure is set to 400 mTorr.
  • the 27 MHz RF source provides 400 Watts.
  • the 2 MHz RF source provides 0 Watts.
  • the etchant gas chemistry is 500 sccm O 2 .
  • the ashing takes place for 40 seconds.
  • the etch stop SiN layer is then opened.
  • the pressure is set to 250 mTorr.
  • the 27 MHz RF source provides 150 Watts.
  • the 2 MHz RF source provides 150 Watts.
  • the etchant gas chemistry is 90 sccm CF 4 , 30 sccm CHF 3 , 200 sccm Ar, and 200 sccm N 2 . The opening takes place for 14 seconds.
  • the invention improves trench CD and line edge roughness.
  • the more preferred embodiments are believed to reduce line-edge roughness more than the less preferred embodiments.
  • These examples of the invention is able to provide a line edge roughness of 6-7 nm, where 32 measurements over a micron range are used to determine line edge roughness and where the photoresist mask may have an initial line edge roughness of 8-10 nm. Therefore, the invention may actually decrease line edge roughness compared to the original photoresist mask.
  • the invention is able to provide a feature width of between 0.11 to 0.14 microns using 90 nm node technology, which uses 248 nm or 193 nm photoresist.
  • the heater may not heat the upper electrode to 140° C. Instead the heater may heat the upper electrode to an intermediate temperature, such as 90° C., and then the etching process may add additional heat to heat the upper electrode to 140° C.
  • the ARC is formed over the dielectric layer, so that the ARC is either on the dielectric layer or there are one or more layers between the ARC and dielectric layer.
  • the ARC layer is provided to help the integrity of the lithography to help depth of focus on the bottom of the PR.
  • the hardmask is used to perform a different designated task during the etch.
  • a hard ARC (SiON) performs the same role as an Organic ARC for wafer patterning, the SiON may be used as a ‘stop-indicator’ during the Cu CMP step of metallization.
  • a capping layer may be provided between the dielectric layer and the ARC to act as a diffusion barrier (i.e.
  • a capping layer can provide a stronger layer to be more mechanically resistant to CMP, such as an Organic low k material with a TEOS or FSG cap.
  • CMP such as an Organic low k material with a TEOS or FSG cap.

Abstract

A method for etching a trench to a trench depth in a dielectric layer over a substrate is provided. An ARC is applied over the dielectric layer. A photoresist mask is formed on the ARC, where the photoresist mask has a thickness. The ARC is etched through. A trench is etched into the dielectric layer with a dielectric to photoresist etch selectivity between 1:1 and 2:1.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention relates to a method providing improved line edge for a trench etch for Single and Dual Damascene metal integration.
  • 2. Description of the related art
  • The present invention relates to the formation of semiconductor devices.
  • During semiconductor wafer processing, features of the semiconductor device are defined in the wafer using well-known patterning and etching processes. In these processes, a photoresist (PR) material is deposited on the wafer and then is exposed to light filtered by a reticle. The reticle is generally a glass plate that is patterned with exemplary feature geometries that block light from propagating through the reticle.
  • After passing through the reticle, the light contacts the surface of the photoresist material. The light changes the chemical composition of the photoresist material such that a developer can remove a portion of the photoresist material. In the case of positive photoresist materials, the exposed regions are removed, and in the case of negative photoresist materials, the unexposed regions are removed. Thereafter, the wafer is etched to remove the underlying material from the areas that are no longer protected by the photoresist material, and thereby define the desired features in the wafer.
  • Various generations of photoresist are known. Deep ultra violet (DUV) photoresist is exposed by 248 mn light. To facilitate understanding, FIG. 1A is a schematic cross-sectional view of a layer 108 over a substrate 104, with a patterned photoresist layer 112, over an ARC (Anti-reflective coating) 110 over the layer 108 to be etched forming a stack 100. The photoresist pattern has a critical dimension (CD), which may be the width 116 of the smallest feature. Presently, for 248 nm photoresist a typical CD for the photoresist may be 230-250 nm using conventional processes. Due to optical properties dependent on wavelength, photoresist exposed by longer wavelength light has larger theoretical minimal critical dimensions.
  • A trench 120 may then be etched through the photoresist pattern, as shown in FIG. 1B. In order to provide features with smaller CD, features formed using shorter wavelength light are being pursued. 193 nm photoresist is exposed by 193 nm light. Using phase shift reticles and other technology, a 90-100 nm CD photoresist pattern may be formed, using 193 nm photoresist. This would be able to provide a feature with a CD of 90-100 nm.
  • The use of shorter wavelength photoresists may provide additional problems over photoresists using longer wavelengths. To obtain CD's close to the theoretical limit the lithography apparatus should be more precise, which would require more expensive lithography equipment. Presently 193 nm photoresist may not have selectivities as high as longer wavelength photoresists and may more easily deform under plasma etch conditions.
  • In the formation of single and dual damascene structures, a wide trench is etched partially or fully through the dielectric (an M1 etch) to form connecting lines. A narrower via is subsequently etched completely through a dielectric layer to form a contact.
  • SUMMARY OF THE INVENTION
  • To achieve the foregoing and in accordance with the purpose of the present invention, a method for etching a trench to a trench depth in a dielectric layer over a substrate is provided. An ARC is applied over the dielectric layer. A photoresist mask is formed on the ARC, where the photoresist mask has a thickness. The ARC is etched through. A trench is etched into the dielectric layer with a dielectric to photoresist etch selectivity between 1:1 and 2:1.
  • In another manifestation of the invention a method for etching a trench to a trench depth in a dielectric layer over a substrate is provided. An ARC is applied on the dielectric layer. A sensitive photoresist mask is formed on the ARC, with a thickness between about 2000 Å and 4000 Å. The ARC is etched through. A trench is etched into the dielectric layer with a clean etch.
  • In another manifestation of the invention an apparatus for etching a feature in a dielectric layer is provided. A plasma processing chamber is provided. The plasma processing chamber comprises a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, an electrode placed opposite from and spaced apart from the substrate support, a heater connected to the electrode for heating the electrode, a gas inlet for providing gas into the plasma processing chamber enclosure, and a gas outlet for exhausting gas from the plasma processing chamber enclosure. A gas source is in fluid connection with the gas inlet. A controller is controllably connected to at least one of the gas source the electrode, the heater, the pressure regulator, the gas inlet, and the gas outlet.
  • These and other features of the present invention will be described in more details below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIGS. 1A and 1B are cross sectional views of a trench etched into a dielectric layer according to the prior art.
  • FIG. 2 is a flow chart of a process used in an embodiment of the invention.
  • FIGS. 3A-3C are cross-sectional views of a trench etched according to an embodiment of the invention.
  • FIGS. 4A-B are schematic illustrations of top views of etched trenches.
  • FIG. 5 is a schematic view of a process chamber that may be used in a preferred embodiment of the invention.
  • FIGS. 6A and 6B illustrate a computer system, which is suitable for implementing a controller.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • FIG. 2 is a flow chart of a process of forming a trench in a dielectric according to the invention. An ARC (Anti-Reflective Coating) is formed on a dielectric layer (step 204). FIG. 3A is an illustration of a cross-sectional view of a dielectric layer 308 over a substrate 304. An ARC 310 is provided on the dielectric layer 308, as shown. The ARC 310 may be an organic or inorganic ARC. The dielectric layer is a trench dielectric layer, in which a dual damascene trench is etched. Such a dielectric layer is not a hard mask layer.
  • A sensitive trench photoresist mask 312 is formed on the ARC 310 (step 208). A sensitive trench photoresist mask is a thin mask. In a preferred embodiment, the thin sensitive trench photoresist mask is between 2000 Å and 4000 Å. In a more preferred embodiment, the thin sensitive trench photoresist mask is between 2500 Å and 3000 Å. Sensitive photoresist materials are materials that are easily etched. Thin 193 nm photoresist and newer generation photoresist are considered sensitive photoresist materials. The trench pattern 314 has a width 316, as indicated. The photoresist has a thickness 318, as indicated. A sensitive trench photoresist mask provides such a thin mask of sensitive photoresist material, that without some added protection the trench etch would etch away the sensitive trench photoresist mask before the trench is etched to the desired depth.
  • The ARC 310 is opened (step 212). A conventional ARC opening step may be used. FIG. 3B is an illustration of a cross-sectional view of the dielectric layer 308 over the substrate 304 after the ARC 310 has been opened.
  • A trench is then etched into the dielectric layer with a clean etch (step 216). In a clean etch, heavy polymer forming gases make up less than 5% of the etchant gas, including any carrier gas. A clean etch most preferably would be a low polymerization process like one containing CF4 or C2F6 as a principle gas. Other preferred embodiments may have NF3 or SF6 as principle component gases because of the high dissociation rate of Fluorine with these gases. Less preferred embodiments would be gases with a higher level of Carbon which presumably would decompose to molecules like CFx, which can bond with similar and form into longer MER chains. Examples of these gases include C4F8, C5F8, C4F6, of highly saturated hydrocarbon molecules like CH2F2, CH3F, C2H4. More preferably, in a clean etch heavy polymer forming gases make up less than 2% of the etchant gas. Preferably, these gases keep the ratio of fluorine to polymer forming gas components to less at least 3:1. In the preferred embodiment of the invention, the trench etch has a low selectivity. More preferably, the trench etch selectivity of dielectric to photoresist of between about 1:1 and 2:1, where an etch selectivity of dielectric to photoresist of 2:1, means that the dielectric layer is etched twice as fast as the photoresist. FIG. 3C is a cross-sectional view of the dielectric layer 308 after the trench 324 has been etched. In the preferred embodiment, the trench is etched to a depth of between about 200 and 400 nm.
  • In the preferred embodiment, the photoresist is sensitive to an aggressive etch. Photoresist that is sensitive to an aggressive etch is photoresist that is etched as quickly by an aggressive etch as the dielectric layer, so that there is a low etch selectivity.
  • FIG. 5 is a schematic view of a process chamber 500 that may be used in the preferred embodiment of the invention. In this embodiment, the plasma processing chamber 500 comprises confinement rings 502, an upper electrode 504, a lower electrode 508, a gas source 510, and an exhaust pump 520. Within plasma processing chamber 500, the substrate wafer 304 is positioned upon the lower electrode 508. The lower electrode 508 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for supporting the substrate wafer 304. The reactor top 528 incorporates the upper electrode 504 disposed immediately opposite the lower electrode 508. The upper electrode 504, lower electrode 508, and confinement rings 502 define the confined plasma volume 540. Gas is supplied to the confined plasma volume by gas source 510 through a gas inlet 543 and is exhausted from the confined plasma volume through the confinement rings 502 and an exhaust port by the exhaust pump 520. The exhaust pump 520 forms a gas outlet for the plasma processing chamber. A first RF source 544 is electrically connected to the upper electrode 504. A second RF source 548 is electrically connected to the lower electrode 508. Chamber walls 552 define a plasma enclosure in which the confinement rings 502, the upper electrode 504, and the lower electrode 508 are disposed. In one embodiment, the second RF source 548 may comprise a 27 MHz power source and a 2 MHz power source, while the upper electrode 504 is grounded. Different combinations of connecting RF power to the electrodes are possible. A heater 545 is connected to the upper electrode and is able to heat the upper electrode.
  • A controller 535 is controllably connected to the first RF source 544, the second RF source 548, the exhaust pump 520, the heater 545 and the gas source 510. A showerhead may be connected to the gas inlet 543. The gas inlet 543 may be a single inlet for each gas source or a different inlet for each gas source or a plurality of inlets for each gas source or other possible combinations.
  • FIGS. 6A and 6B illustrate a computer system 800, which is suitable for implementing a controller 535 used in embodiments of the present invention. FIG. 6A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 800 includes a monitor 802, a display 804, a housing 806, a disk drive 808, a keyboard 810, and a mouse 812. Disk 814 is a computer-readable medium used to transfer data to and from computer system 800.
  • FIG. 6B is an example of a block diagram for computer system 800. Attached to system bus 820 is a wide variety of subsystems. Processor(s) 822 (also referred to as central processing units or CPUs) are coupled to storage devices, including memory 824. Memory 824 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 826 is also coupled bi-directionally to CPU 822; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 826 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 826 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 824. Removable disk 814 may take the form of any of the computer-readable media described below.
  • CPU 822 is also coupled to a variety of input/output devices, such as display 804, keyboard 810, mouse 812 and speakers 830. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 822 optionally may be coupled to another computer or telecommunications network using network interface 840. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 822 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • FIG. 4A is a schematic top view of a trench 404 etched into a dielectric layer 408. The walls 412 of the trench exhibit substantial line edge roughness. FIG. 4B is a schematic top view of a trench 424 etched into a dielectric layer 428. The walls 432 of the trench 424 exhibit less line edge roughness. In a paper by Calvin Gabriel published in 2003 at the ICMI conference the an industry standard for measuring line edge roughness is discussed as follows:
      • “The 2002 ITRS metrology roadmap [4] gives one definition for how to quantify LER: local line width variation (3s total, all frequency components included, both edges) evaluated along a distance equal to four times the technology node. Such a definition is easily programmed on the VeraSEM or NanoSEM: these tools measure a critical dimension by performing 32 or more line-scans across the feature of interest, with each line-scan spaced apart from the previous one by a small increment according to the measurement box defined by the user. The 3-sigma standard deviation of these scans follows the ITRS definition and is reported by the software as Sigma(B).
      • The ITRS definition, however, suffers under the “four technology nodes” requirement. For a 65 nm technology, this requires a box only 260 nm tall. Such a small length of the line scanned may not uncover low frequency LER, and the situation will grow worse as technology advances to 45 nm and 32 nm.
  • Therefore, line edge roughness may be quantified by measuring the width of the trench at a series of locations and averaging the variation over an interval, which is at least four times as long as the feature is wide. A Hitachi CD SEM measures 32 points over a 1 um box to measure line edge roughness. An Applied Materials NanoSEM measures 60 points over a 2 um rectangle. Calvin's paper showed no advantage to going above 2 um for small features but, some sensitivity was lost if you went below a 2 um box.
  • An approach to reduce line-edge roughness is to provide an etch with a dielectric to photoresist etch that is more selective than 4:1 by providing a heavy polymer forming gas. Such heavy polymer formers form a heavy polymer over the top of the photoresist to protect the photoresist, improving etch selectivity. Without wishing to be bound by theory, it is believed that such heavy polymers are sticky and provide stress on the photoresist mask that increases line edge roughness. It is also believed that reactions occurring within the photoresist increase roughening.
  • Line edge roughening may also be caused by mouse biting which is believed to be related to the stress, which is induced in the top of the PR layer by the deposited polymers from the plasma. So, the polymer, which protects the PR, can induce mechanical forces, which deform the mask and result in a rougher sidewall for thin PR. This phenomena is not typically observed in thick PR mask situations (>300 nm). It has been found that with 193 nm PR that C4F6 gas results in polymer, which can deposit more heavily then CF4 or C4F8, but because of the deposition characteristics, striation in holes and roughness in trenches can result from modification of the PR mask. Also, roughening of the sidewall profile can cause micro-voids that follow the vertical plane. These can be caused by the break down of the photoresist integrity during the etch.
  • The invention reduces line edge roughness by reducing or eliminating heavy polymer formers that produce heavy polymers. Instead, cleaner component gases are used. Such cleaner component gases with a high fluorine to carbon ratio are most preferably CF4, with Ar, but, more generally, may also include gases with a high F dissociation like C2F6, or gases, which have a high fluorine dissociation like NF3 or SF6. Addition of gases to aid in the dissociation of F can also provide benefit for LER. The addition of a small amount of O2 (2-15 sccm) and/or a flow of N2 (20-200 sccm) are known to aid in the creation of more free fluorine by binding with carbon components of the reactant gas. Under conventional etching processes, it is believed that such component gases would not form protective polymer over the photoresist to increase selectivity. The invention provides plasma parameters, such that these clean component gases form some protective polymer over the photoresist to increase etch selectivity, where the selectivity of the etch of the dielectric to photoresist is not increased above 2:1. Such techniques provide polymers that are not as sticky as heavy polymers and for which deposition location of such polymers may be more easily controlled. One technique that would be helpful in doing this is to increase the temperature of the upper electrode from 20° C. to at least 140° C. It is believed that polymer from the cleaner component gases would deposit on the upper electrode, causing less deposition on the photoresist. By increasing the upper electrode to at least 140° C., the deposition on the upper electrode is reduced, indirectly causing more deposition on the photoresist surface. The heater 545 helps to increase and control the upper electrode temperature to at least 70° C. In a preferred embodiment, the upper electrode temperature is increased to at least 70° C. during the etch. In a more preferred embodiment, the upper electrode temperature is increased to at least 90° C. In the most preferred embodiment, the upper electrode is increased to at least 140° C. The upper electrode is the electrode to which the substrate is not mounted and is placed opposite the substrate, the non-mounting electrode. If the substrate was mounted on the upper electrode, then the lower electrode would be the electrode one which the substrate is not mounted and is opposite the substrate, so that the lower electrode is heated.
  • In addition, it has been found that providing higher power at high frequency (such as 27 MHz) creates a higher density plasma further increasing selectivity using clean etchants. In a preferred embodiment the high frequency power source provides a power of between 500 W and 2000 W. In a more preferred embodiment the high frequency power source provides a power between 500 W and 1200 W. In the most preferred embodiment the high frequency power source provides a power of between 500 W and 1000 W.
  • In addition, having a lower bias power, by providing less power to lower frequency RF (such as 2 MHz) reduces bombardment that increases selectivity. In a preferred embodiment the bias power source provides a power of between 0 W and 1000 W. In a more preferred embodiment the bias power source provides a power between 0 W and 600 W.
  • In addition, providing lower chamber pressure increases selectivity. For example, an 80 mTorr pressure has been found to be helpful. Therefore in a preferred embodiment a chamber pressure of between 60 mTorr and 400 mTorr. In a more preferred embodiment a chamber pressure between 70 mTorr and 300 mTorr is provided. In the most preferred embodiment, a chamber pressure of between 80 mTorr and 250 mTorr is provided.
  • Finally, some fine tuning of the process can be accomplished by further modification of the process to find a polymerization regime which maintains the overall CD while reducing the overall LER. The addition of gases, which are known to increase polymerization like C4F8,CH3F, H2, CH2F2, etc., can be added in small amounts to slow the erosion of the PR and help decrease LER slightly. For example, 5 sccm of C4F8 added to a very lean Ar/CF4/N2/O2 process reduced LER by ˜10% in one case. CHF3,CH2F2, CH3F or other hydrofluorcarbons can help but, the amount of gas needed would depend on the dissociation properties of the gas.
  • Therefore, this aspect of the invention controls where the polymer is deposited and provides a polymer from clean etchant gases, instead of increasing the total polymer deposited, even though the clean gases deposit with a low selectivity.
  • Preferably, the trench depth is kept to a minimum, while still providing sufficient electrical interconnections. One of the reasons, for this is that a thin trench depth allows for a thin photoresist mask, when the selectivity is low. A thin photoresist mask allows for better critical dimensions. In the preferred embodiment, the photoresist mask is thin enough to provide the same critical dimension as higher generation photoresist materials. This allows for improved critical dimensions without new and more expensive lithography and stepper systems. In addition, it is preferred that all or almost all of the photoresist is removed, so that just enough photoresist is used to provide the desired trench depth, to minimize CD.
  • For example, 248 nm photoresist may be used to form a stable photoresist mask if it is deposited to be 4000 Å thick. But a mask that thick can only be imaged to 0.2 nm. To increase resolution to image below 0.2 mn, the 248 photoresist mask is made thinner, for example 3200 Å thick. As mentioned above, the use of heavy polymer formers to increase selectivity to allow a thin photoresist mask to be used to etch a trench increases line edge roughness. Therefore, the invention uses cleaner polymer formers to increase selectivity to a point sufficient to etch a trench with reduced line edge roughness and yet have a selectivity of less than 2:1. The use of low selective chemistry will not be suitable to provide enough selectivity to ensure the barrier is not removed during the main etch, so an overetch with a higher selectivity is preferred for the final terminal steps of the etch.
  • EXAMPLES Example 1
  • In one example of the invention, a 2500 Å silicon oxide layer is formed over a 300 Å silicon nitride etch stop, formed over a substrate. Two different silicon oxynitride (SION) layers are formed over the silicon oxide layer to form an ARC layer. In this example, one SiON layer was 285 Å and the other SiON layer was 300 Å. A 3200 Å patterned photoresist mask of 210 nm photoresist was formed over the ARC layer. The ARC layer is opened using a conventional ARC etch.
  • The silicon oxide layer is etched with the following recipe. The upper electrode is allowed to be heated above 140° C. The pressure is set to 180 mTorr. The 27 MHz RF source provides 800 Watts. The 2 MHz RF source provides 0 Watts. The etchant gas chemistry is 70 sccm CF4, 100 sccm Ar, and 100 sccm N2. The etch takes place for 32 seconds.
  • An over etch step completes the etching of the silicon oxide layer, but provides a greater selectivity with respect to the silicon nitride etch stop. The upper electrode is maintained at at lease 140° C. The pressure is set to 80 mTorr. The 27 MHz RF source provides 600 Watts. The 2 MHz RF source provides 600 Watts. The etchant gas chemistry is 7 sccm C4F8, 3 sccm O2, 300 sccm Ar, and 100 sccm N2. The etch takes place for 14 seconds. The over etch more selectively etches the silicon oxide with respect to the trench barrier and photoresist. The final depth of the trench from the etch and over etch is between about 260 nm and 300 nm deep. Therefore, a 320 nm thick photoresist layer is used to etch a trench less than 300 nm deep. In such a process, only half of the photoresist is removed during the etch.
  • The photoresist is removed using an ashing step. To provide the ashing in this example, the pressure is set to 400 mTorr. The 27 MHz RF source provides 400 Watts. The 2 MHz RF source provides 0 Watts. The etchant gas chemistry is 500 sccm O2. The ashing takes place for 40 seconds.
  • The etch stop SiN layer is then opened. The pressure is set to 250 mTorr. The 27 MHz RF source provides 150 Watts. The 2 MHz RF source provides 150 Watts.
  • The etchant gas chemistry is 90 sccm CF4, 30 sccm CHF3, 200 sccm Ar, and 200 sccm N2. The opening takes place for 14 seconds.
  • Example 2
  • In a second example, the same substrate with the same silicon oxide layer, same ARC layer, and same patterned photoresist layer is used. The same opening process is used to open the ARC layer.
  • The silicon oxide layer is etched with the following recipe. The upper electrode is allowed to be heated above 140° C. The pressure is set to 180 mTorr. The 27 MHz RF source provides 800 Watts. The 2 MHz RF source provides 0 Watts. The etchant gas chemistry is 5 sccm C4F8, 70 sccm CF4, 100 sccm Ar, and 100 sccm N2. The etch takes place for 32 seconds to etch a trench between about 260 nm and 300 nm deep.
  • An over etch step completes the etching of the silicon oxide layer, but provides a greater selectivity with respect to the silicon nitride etch stop. The upper electrode is maintained at at lease 140° C. The pressure is set to 80 mTorr. The 27 MHz RF source provides 600 Watts. The 2 MHz RF source provides 600 Watts. The etchant gas chemistry is 7 sccm C4F8, 3 sccm O2, 300 sccm Ar, and 100 sccm N2. The etch takes place for 18 seconds.
  • The photoresist is removed using an ashing step. To provide the ashing in this example, the pressure is set to 400 mTorr. The 27 MHz RF source provides 400 Watts. The 2 MHz RF source provides 0 Watts. The etchant gas chemistry is 500 sccm O2. The ashing takes place for 40 seconds.
  • The etch stop SiN layer is then opened. The pressure is set to 250 mTorr. The 27 MHz RF source provides 150 Watts. The 2 MHz RF source provides 150 Watts. The etchant gas chemistry is 90 sccm CF4, 30 sccm CHF3, 200 sccm Ar, and 200 sccm N2. The opening takes place for 14 seconds.
  • The invention improves trench CD and line edge roughness. The more preferred embodiments are believed to reduce line-edge roughness more than the less preferred embodiments. These examples of the invention is able to provide a line edge roughness of 6-7 nm, where 32 measurements over a micron range are used to determine line edge roughness and where the photoresist mask may have an initial line edge roughness of 8-10 nm. Therefore, the invention may actually decrease line edge roughness compared to the original photoresist mask.
  • The invention is able to provide a feature width of between 0.11 to 0.14 microns using 90 nm node technology, which uses 248 nm or 193 nm photoresist.
  • The heater may not heat the upper electrode to 140° C. Instead the heater may heat the upper electrode to an intermediate temperature, such as 90° C., and then the etching process may add additional heat to heat the upper electrode to 140° C.
  • In other embodiments of the invention, the ARC is formed over the dielectric layer, so that the ARC is either on the dielectric layer or there are one or more layers between the ARC and dielectric layer. The ARC layer is provided to help the integrity of the lithography to help depth of focus on the bottom of the PR. The hardmask is used to perform a different designated task during the etch. A hard ARC (SiON) performs the same role as an Organic ARC for wafer patterning, the SiON may be used as a ‘stop-indicator’ during the Cu CMP step of metallization. A capping layer may be provided between the dielectric layer and the ARC to act as a diffusion barrier (i.e. such as a F diffusion barrier, since F can cause PR delamination on FSG) or a capping layer can provide a stronger layer to be more mechanically resistant to CMP, such as an Organic low k material with a TEOS or FSG cap. Such capping layers are desirable, if the dielectric is too ‘soft’ to stand up to mechanical polishing, thus requiring a tougher layer, sometimes a thin one, to maintain the structures integrity as etched.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, modifications and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, modifications, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (18)

1-13. (canceled)
14. An apparatus for etching a feature in a dielectric layer, comprising:
a plasma processing chamber, comprising:
a chamber wall forming a plasma processing chamber enclosure;
a substrate support for supporting a substrate within the plasma processing chamber enclosure;
a pressure regulator for regulating the pressure in the plasma processing chamber enclosure;
an electrode placed opposite from and spaced apart from the substrate support;
a heater connected to the electrode for heating the electrode;
a gas inlet for providing gas into the plasma processing chamber enclosure; and
a gas outlet for exhausting gas from the plasma processing chamber enclosure;
a gas source in fluid connection with the gas inlet,
a controller controllably connected to at least one of the gas source the electrode, the heater, the pressure regulator, the gas inlet, and the gas outlet.
15. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising:
computer readable code for providing an etch plasma for etching a feature into a dielectric layer; and
computer readable code for heating the electrode during etching so that the electrode reaches a temperature of at least 70° C.
16. The apparatus, as recited in claim 15, wherein the computer readable media, further comprises computer readable code for maintaining the pressure between 60 mTorr and 400 mTorr.
17. The apparatus, as recited in claim 16, wherein computer readable code for providing an etch plasma for etching a feature into the dielectric layer comprises computer readable code for provide a high frequency power between 500 W and 2000 W.
18. The apparatus, as recited in claim 17, wherein computer readable code for heating the electrode during etching heats the electrode so that the electrode reaches a temperature of at least 90° C.
19. The apparatus, as recited in claim 17, wherein computer readable code for heating the electrode during etching heats the electrode so that the electrode reaches a temperature of at least 140° C.
20. The apparatus, as recited in claim 19, wherein the computer readable media further comprises computer readable code for providing a bias power between 0 W and 1000 W.
21. The apparatus, as recited in claim 20, wherein the computer readable media further comprises computer readable code for providing an etchant gas selected from the group of CF4, C2F6, NF3, and SF6.
22. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising:
computer readable code for providing an etch plasma for etching a feature into a dielectric layer; and
computer readable code for heating the electrode during etching so that the electrode reaches a temperature of at least 90° C.
23. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising:
computer readable code for providing an etch plasma for etching a feature into a dielectric layer; and
computer readable code for heating the electrode during etching so that the electrode reaches a temperature of at least 140° C.
24. The apparatus, as recited in claim 23, wherein the computer readable media, further comprises computer readable code for maintaining the pressure between 60 mTorr and 400 mTorr.
25. The apparatus, as recited in claim 24, wherein the computer readable media further comprises computer readable code for providing a bias power between 0 W and 1000 W.
26. The apparatus, as recited in claim 25, wherein the computer readable media further comprises computer readable code for providing an etchant gas selected from the group of CF4, C2F6, NF3, and SF6.
27. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising computer readable code for maintaining the pressure between 60 mTorr and 400 mTorr.
28. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising computer readable code for providing a high frequency power between 500 W and 2000 W.
29. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising computer readable code for providing a bias power between 0 W and 1000 W.
30. The apparatus, as recited in claim 14, wherein the controller comprises:
at least one processor; and
computer readable media, comprising computer readable code for providing an etchant gas selected from the group of CF4, C2F6, NF3, and SF6.
US11/205,372 2003-11-12 2005-08-16 Line edge roughness reduction for trench etch Abandoned US20050277289A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/205,372 US20050277289A1 (en) 2003-11-12 2005-08-16 Line edge roughness reduction for trench etch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/712,410 US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch
US11/205,372 US20050277289A1 (en) 2003-11-12 2005-08-16 Line edge roughness reduction for trench etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/712,410 Division US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch

Publications (1)

Publication Number Publication Date
US20050277289A1 true US20050277289A1 (en) 2005-12-15

Family

ID=34552675

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/712,410 Expired - Fee Related US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch
US11/205,372 Abandoned US20050277289A1 (en) 2003-11-12 2005-08-16 Line edge roughness reduction for trench etch

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/712,410 Expired - Fee Related US6949460B2 (en) 2003-11-12 2003-11-12 Line edge roughness reduction for trench etch

Country Status (8)

Country Link
US (2) US6949460B2 (en)
EP (1) EP1683194A4 (en)
JP (1) JP4865564B2 (en)
KR (1) KR101134327B1 (en)
CN (1) CN100477135C (en)
IL (1) IL175527A0 (en)
TW (1) TWI351054B (en)
WO (1) WO2005050700A2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099816A1 (en) * 2004-11-08 2006-05-11 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US20070105389A1 (en) * 2005-11-04 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
US20080182419A1 (en) * 2007-01-16 2008-07-31 Naoki Yasui Plasma processing method
US20090197420A1 (en) * 2008-02-06 2009-08-06 Tokyo Electron Limited Method for etching a silicon-containing arc layer to reduce roughness and cd
US20110039416A1 (en) * 2009-08-17 2011-02-17 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US20110159699A1 (en) * 2009-12-28 2011-06-30 Gabriel Calvin T Line-edge roughness improvement for small pitches
US10734228B2 (en) 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7276409B2 (en) * 2003-06-24 2007-10-02 Micron Technology, Inc. Method of forming a capacitor
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
JP2005314531A (en) 2004-04-28 2005-11-10 Sony Corp Hybrid silica polymer, method for producing the same and proton-conductive material
US7556992B2 (en) * 2006-07-31 2009-07-07 Freescale Semiconductor, Inc. Method for forming vertical structures in a semiconductor device
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US8003522B2 (en) * 2007-12-19 2011-08-23 Fairchild Semiconductor Corporation Method for forming trenches with wide upper portion and narrow lower portion
CN103258729B (en) 2007-12-21 2016-07-06 朗姆研究公司 The manufacture of silicon structure and the deep silicon etch with morphology control
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
US8921726B2 (en) * 2009-02-06 2014-12-30 Lg Chem, Ltd. Touch screen and manufacturing method thereof
CN102041508B (en) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 Groove etching method
JP5655296B2 (en) * 2009-12-01 2015-01-21 セントラル硝子株式会社 Etching gas
US20130078815A1 (en) * 2011-09-23 2013-03-28 Nanya Technology Corporation Method for forming semiconductor structure with reduced line edge roughness
CN103854995B (en) * 2012-12-06 2016-10-19 中微半导体设备(上海)有限公司 A kind of etching technics improving sidewall streak and device thereof
JP6239365B2 (en) 2013-12-11 2017-11-29 東京エレクトロン株式会社 Method for etching a silicon layer
US11473191B2 (en) * 2019-02-27 2022-10-18 Applied Materials, Inc. Method for creating a dielectric filled nanostructured silica substrate for flat optical devices

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5914202A (en) * 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6518174B2 (en) * 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US20030032301A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Showerhead electrode design for semiconductor processing reactor
US20030155329A1 (en) * 2002-02-15 2003-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US20030181054A1 (en) * 2001-12-17 2003-09-25 Sung-Kwon Lee Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US20040149394A1 (en) * 2003-02-03 2004-08-05 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
US7337745B1 (en) * 1999-04-06 2008-03-04 Tokyo Electron Limited Electrode, susceptor, plasma processing apparatus and method of making the electrode and the susceptor

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05129244A (en) * 1991-11-05 1993-05-25 Kokusai Electric Co Ltd Plasma etching method and its apparatus
JP4022954B2 (en) * 1997-01-29 2007-12-19 ソニー株式会社 COMPOSITE MATERIAL AND ITS MANUFACTURING METHOD, SUBSTRATE TREATING APPARATUS AND ITS MANUFACTURING METHOD, SUBSTRATE MOUNTING STAGE AND ITS MANUFACTURING METHOD, AND SUBSTRATE TREATING METHOD
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6027861A (en) * 1998-03-20 2000-02-22 Taiwan Semiconductor Manufacturing Company VLSIC patterning process
AU2002222632A1 (en) * 2000-12-14 2002-06-24 Tokyo Electron Limited Method of etching porous insulating film, dual damascene process, and semiconductor device
JP2003077900A (en) * 2001-09-06 2003-03-14 Hitachi Ltd Method of manufacturing semiconductor device
TWI276153B (en) * 2001-11-12 2007-03-11 Hynix Semiconductor Inc Method for fabricating semiconductor device
US6495469B1 (en) * 2001-12-03 2002-12-17 Taiwan Semiconductor Manufacturing Company High selectivity, low etch depth micro-loading process for non stop layer damascene etch
JP3638266B2 (en) * 2001-12-26 2005-04-13 株式会社半導体先端テクノロジーズ Manufacturing method of semiconductor device

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US5914202A (en) * 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US7337745B1 (en) * 1999-04-06 2008-03-04 Tokyo Electron Limited Electrode, susceptor, plasma processing apparatus and method of making the electrode and the susceptor
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6518174B2 (en) * 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US20030032301A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Showerhead electrode design for semiconductor processing reactor
US20030181054A1 (en) * 2001-12-17 2003-09-25 Sung-Kwon Lee Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US20030155329A1 (en) * 2002-02-15 2003-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US6828251B2 (en) * 2002-02-15 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improved plasma etching control
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
US20040149394A1 (en) * 2003-02-03 2004-08-05 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US20080045022A1 (en) * 2004-09-17 2008-02-21 Masaru Kurihara Semiconductor Device Manufacturing Method
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US20070143721A1 (en) * 2004-11-08 2007-06-21 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US20060099816A1 (en) * 2004-11-08 2006-05-11 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US8049335B2 (en) 2004-11-08 2011-11-01 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US20070105389A1 (en) * 2005-11-04 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
US7622393B2 (en) * 2005-11-04 2009-11-24 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program
US20080124937A1 (en) * 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
US8497213B2 (en) * 2007-01-16 2013-07-30 Hitachi High-Technologies Corporation Plasma processing method
US20080182419A1 (en) * 2007-01-16 2008-07-31 Naoki Yasui Plasma processing method
US7998872B2 (en) * 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
US20090197420A1 (en) * 2008-02-06 2009-08-06 Tokyo Electron Limited Method for etching a silicon-containing arc layer to reduce roughness and cd
US20110039416A1 (en) * 2009-08-17 2011-02-17 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8236700B2 (en) * 2009-08-17 2012-08-07 Tokyo Electron Limited Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US20110159699A1 (en) * 2009-12-28 2011-06-30 Gabriel Calvin T Line-edge roughness improvement for small pitches
US8877641B2 (en) * 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
US20150050814A1 (en) * 2009-12-28 2015-02-19 Spansion Llc Line-edge roughness improvement for small pitches
US9368393B2 (en) * 2009-12-28 2016-06-14 Cypress Semiconductor Corporation Line-edge roughness improvement for small pitches
US10734228B2 (en) 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes

Also Published As

Publication number Publication date
CN100477135C (en) 2009-04-08
US20050101126A1 (en) 2005-05-12
CN1902745A (en) 2007-01-24
WO2005050700A2 (en) 2005-06-02
EP1683194A2 (en) 2006-07-26
TW200524002A (en) 2005-07-16
IL175527A0 (en) 2006-09-05
EP1683194A4 (en) 2008-06-25
WO2005050700A3 (en) 2005-12-01
US6949460B2 (en) 2005-09-27
JP2007511096A (en) 2007-04-26
JP4865564B2 (en) 2012-02-01
TWI351054B (en) 2011-10-21
KR101134327B1 (en) 2012-04-09
KR20060123312A (en) 2006-12-01

Similar Documents

Publication Publication Date Title
US20050277289A1 (en) Line edge roughness reduction for trench etch
US8614149B2 (en) Critical dimension reduction and roughness control
US7385287B2 (en) Preventing damage to low-k materials during resist stripping
KR101083622B1 (en) Reduction of feature critical dimensions
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
KR101611938B1 (en) A method for controlling cd of etch features
US7560388B2 (en) Self-aligned pitch reduction
US8124516B2 (en) Trilayer resist organic layer etch
US7390749B2 (en) Self-aligned pitch reduction
US8864931B2 (en) Mask trimming
US8158524B2 (en) Line width roughness control with arc layer open
US7307025B1 (en) Lag control
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US8470715B2 (en) CD bias loading control with ARC layer open
US20060223327A1 (en) Etch with photoresist mask
US7396769B2 (en) Method for stripping photoresist from etched wafer
US20060011578A1 (en) Low-k dielectric etch
US7544521B1 (en) Negative bias critical dimension trim

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION