US20050287747A1 - Doped nitride film, doped oxide film and other doped films - Google Patents

Doped nitride film, doped oxide film and other doped films Download PDF

Info

Publication number
US20050287747A1
US20050287747A1 US10/710,245 US71024504A US2005287747A1 US 20050287747 A1 US20050287747 A1 US 20050287747A1 US 71024504 A US71024504 A US 71024504A US 2005287747 A1 US2005287747 A1 US 2005287747A1
Authority
US
United States
Prior art keywords
film
precursor
doped
silicon
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/710,245
Inventor
Ashima Chakravarti
Judson Holt
Kevin Chan
Sadanand Deshpande
Rangarajan Jagannathan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US10/710,245 priority Critical patent/US20050287747A1/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DESPANDE, SADANAND V., JAGANNATHAN, RANGARAJAN, CHAKRAVARTI, ASHIMA B., CHAN, KEVIN K., HOLT, JUDSON
Priority to TW094118424A priority patent/TWI355684B/en
Priority to JP2005182180A priority patent/JP5078240B2/en
Priority to CNB2005100809411A priority patent/CN100428424C/en
Publication of US20050287747A1 publication Critical patent/US20050287747A1/en
Priority to US11/349,233 priority patent/US7361611B2/en
Priority to US11/428,648 priority patent/US20060237846A1/en
Priority to US11/924,825 priority patent/US7595010B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention generally relates to films used in manufacture of semiconductor devices, especially to nitride films and oxide films.
  • CMOS complementary metal oxide semiconductor
  • MOL middle-of-the-line
  • PMD pre-metal dielectric
  • Deposition regimes that result in either highly tensile or highly compressive nitride films are well known (e.g., rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma (HDP) using silicon (Si) precursor such as silane (SiH 4 ), di chloro silane (DCS), Disilane, Hexachlorodisilane, bis-tertiary butyl amino silane (BTBAS), and ammonia (NH 3 )).
  • RTCVD rapid thermal chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • HDP high density plasma
  • Si precursor such as silane (SiH 4 ), di chloro silane (DCS), Disilane, Hexachlorodisilane, bis-tertiary butyl amino silane (BTBAS), and ammonia (NH
  • DCS and NH 3 are used for depositing silicon nitride film at temperatures of 700C and higher.
  • Another object of the present invention is to provide the ability to produce good quality nitride films of varying stress levels, thus enhancing device performance as a “plug-in” solution, i.e., with no integration changes needed.
  • Another object of the present invention is to lower the temperature for deposition of a silicon nitride film, a silicon oxide film, a silicon oxynitride film or a silicon carbide film.
  • a further object of the present invention is to manipulate germanium addition during production of a silicon nitride film, silicon oxide film, silicon oxynitride film or silicon carbide film, to control stress in the produced film.
  • the present invention in one preferred embodiment which is a process in which at least one Si precursor is deposited, at least one Ge precursor and/or at least one C precursor is added, to produce a Ge- and/or C-doped silicon nitride or silicon oxide film with a tunable stress.
  • At least one chemical or physical property (such as a stress property) of a silicon nitride or a silicon oxide film being produced may be tuned by at least one precursor modification during deposition of the film.
  • lower-than-conventional temperature deposition can be obtained in depositing a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film according to the present invention.
  • the invention in one preferred embodiment provides a method of producing a doped nitride film, a doped oxide film, a doped oxynitride film or a doped carbide film, the method comprising at least: providing at least one silicon precursor (such as, e.g., SiH 4 , DCS, BTBAS, HCD, disilane, trisilane, etc.); providing at least one of: a nitrogen precursor (which may be the same as or different from the silicon precursor) or an oxygen precursor; further providing at least one non-silicon precursor (which may be the same as or different from the silicon precursor, the nitrogen precursor and/or the oxygen precursor); wherein a doped silicon nitride film, doped silicon oxide film, doped silicon oxynitride film or doped silicon carbide film is formed (provided that when the film is a doped oxide, the non-silicon precursor is not boron and not phosphorous).
  • silicon precursor such as, e.g., SiH 4 , DCS,
  • a germanium (Ge) precursor such as, e.g., an organogermanium compound, etc.; GeH 4 , GeH 3 CH 3 , etc.
  • alkyl hydrides or alkyl amino hydrides of germanium, carbon, boron, aluminum, aluminum, arsenic, hafnium, gallium, indium, etc. may be used as precursors.
  • the providing of at least one silicon precursor and the providing of at least one non-silicon precursor occurs simultaneously and is in a form of providing flow of a gas.
  • the inventive methods may be used for producing a variety of doped films, such as, e.g., a germanium- and/or carbon-doped silicon nitride or silicon oxide or silicon oxynitride or silicon carbide; etc.; a silicon nitride, a silicon oxide, a silicon oxynitride or a silicon carbide film with a tunable stress; a doped silicon nitride film having a uniformly distributed dopant concentration (such as, e.g., a Ge-doped silicon nitride film having a uniformly distributed Ge concentration); etc.
  • One example of a method according to the invention is, e.g., adding germane (a germanium precursor) to a mixture of silane and ammonia, and forming a Ge-doped Si nitride film.
  • a precursor modification (such as, e.g., a mixture of at least two precursors, etc.) may be applied to tune at least one chemical or physical property of a produced film (such as, e.g., stress of a produced film, wet etch rate; dry etch rate; etch end point; deposition rate; physical, electrical and/or optical property; etc.).
  • the inventive method optionally may further comprise a step of measuring a signal for a non-silicon dopant from the non-silicon precursor, said signal measuring for controlling an etch.
  • deposition advantageously may be at a lower temperature than if the non-silicon precursor were omitted, such as, e.g., a deposition temperature below about 700° C. (including but not limited to a deposition temperature as low as room temperature), etc.
  • a deposition temperature below about 700° C. (including but not limited to a deposition temperature as low as room temperature), etc.
  • Preferred examples of depositions in which the inventive method may be used are, e.g., RTCVD, PECVD, LPCVD, remote plasma nitride, atomic layer deposition (ALD), etc.
  • the invention in other preferred embodiments provides certain films, such as, e.g., a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film (such as, e.g., a germanium-doped film, etc.), having a tunable stress in a range of about 3 G Pa (compressive) to 3 G Pa (tensile); a silicon nitride film, wherein the film is a Ge-doped silicon nitride film with uniformly distributed Ge; an aluminum-doped silicon oxide film; a germanium-doped silicon nitride film; etc.; a Ge-doped film wherein the Ge-doped film has a stress that is at least about 1.0 G Pa greater (preferably, 1.2 G Pa greater) than a film that has been made by a same process except without Ge-doping.
  • a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film such as, e.g
  • Inventive films may include one or more dopants, such as a multitude of dopants.
  • dopants for use in inventive films include, e.g., germanium (Ge), carbon (C), boron (B), aluminum (Al), gallium (Ga), indium (In), etc., which dopants may be used singly or in combination.
  • FIG. 1 is a chart of ellipsometry measurements (49 points) for one silicon nitride film and two LPCVD SiGe Nitride films.
  • FIG. 2 is a chart of etch rate of one silicon nitride film and two SiGe nitride films, based on ellipsometry, 49 points, with FIG. 2 relating to the films charted in FIG. 1 .
  • FIG. 3 is a chart of deposition rate as function of Ge incorporation, with plots for with and without Ge, with FIG. 3 relating to the films charted in FIG. 1 .
  • FIG. 4 is a side view showing a stressed liner according to an embodiment of the invention, with the stressed liner in use with a spacer, a gate and a channel.
  • FIGS. 5A-5C depict an endpoint detection method according to an embodiment of the invention.
  • the deposition rate a chemical and/or physical property (such as, e.g., tunable stress) of the formed film.
  • This manipulation is accomplished by introducing an additional non-silicon precursor that is otherwise not a traditional reagent for producing a nitride film, an oxide film, an oxynitride film, or a carbide film, with examples of the additional non-silicon precursor being a germanium precursor and a carbon precursor.
  • the present invention accomplishes such advantages by including a non-silicon precursor dopant (such as, e.g., a Ge precursor, etc.) during the deposition process, such as deposition of a silicon nitride film, deposition of a silicon oxide film, deposition of a silicon oxynitride film, deposition of a silicon carbide film, etc.
  • a non-silicon precursor dopant such as, e.g., a Ge precursor, etc.
  • the present invention makes possible low-temperature deposition of a nitride film, an oxide film, an oxynitride film and/or a carbide film, by adding germanium to deposition of nitride films and oxide films and oxynitride films and carbide films, especially doped nitride or oxide films.
  • germanium silicon germanium (SiGe) epitaxy can be done at a lower temperature than silicon epitaxy, and further have discovered that the addition of a germanium (Ge) precursor to a silicon precursor lowers the temperature of the deposition of the film.
  • a germanium precursor used in the present invention may be, e.g., a known germanium precursor such as the germanium precursors mentioned, e.g., in U.S. Pat. No. 6,429,098 issued Aug. 6, 2002 and U.S. Pat. No. 6,117,750 issued Sep. 12, 2000 to Bensahel et al. (France Telecom) or in U.S. Pat. No. 6,258,664 issued Jul. 10, 2001 to Reinberg (Micron Technology, Inc.). Germanium precursors are commercially available.
  • An example of a germanium precursor used in the invention is GeH 4 .
  • the present invention provides for use of at least one germanium precursor in deposition of a silicon nitride, a silicon oxide, a silicon oxynitride, a silicon carbide, etc., which deposition advantageously may, if desired, by a low-temperature deposition, such as, e.g., a deposition at 700° C. or lower, such as, e.g., room temperature and other temperatures.
  • a low-temperature deposition such as, e.g., a deposition at 700° C. or lower, such as, e.g., room temperature and other temperatures.
  • an inventive method may proceed at room temperature in a P3i plasma immersion tool, to deposit nitride.
  • the production process otherwise may proceed conventionally with regard to ingredients, for example, use of a nitrogen precursor (such as, e.g., NH 3 , etc.) and a silicon precursor (such as DCS, etc.), etc.
  • a nitrogen precursor such as, e.g., NH 3 , etc.
  • a silicon precursor such as DCS, etc.
  • a nitrogen precursor is included.
  • an oxygen precursor is included.
  • a silicon precursor is included. It will be appreciated that the silicon precursor may be different or the same as the nitride or oxide precursor.
  • BTBAS may serve as a silicon precursor and a nitrogen precursor.
  • a reagent such as, e.g., BTBAS, etc.
  • BTBAS may be used as two or more kinds of precursors.
  • An exemplary temperature for nitride or oxide or oxynitride or carbide film forming using a germanium precursor and/or a carbon precursor according to the invention is preferably at a temperature less than 700° C., more preferably at a temperature less than 650° C., even more preferably at a temperature of 500° C. or lower.
  • an advantageous temperature of 500° C. or lower may be used for the deposition of a Ge-doped silicon nitride film.
  • the non-silicon precursor mentioned for use in the present invention is not particularly limited, and as examples may be mentioned a germanium precursor, a carbon precursor, an aluminum precursor, a boron precursor, an arsenic precursor, a hafnium precursor, a gallium precursor, an indium precursor, and, without limitation, other dopant precursors, etc.
  • the present invention also may be applied to MOL barrier technology.
  • MOL barrier nitride can enhance device reliability (negative bias temperature instability (NBTI), etc.).
  • NBTI negative bias temperature instability
  • the present invention provides, through use of the germanium precursor and/or the carbon precursor, an ability to tune the chemical and/or physical properties of the barrier nitride film using different precursor combinations. Such an ability may be used to achieve a significant device reliability gain.
  • Thickness of a film produced according to the present invention is not particularly limited, and a thickness may be selected depending on the application.
  • the film thickness may range from, on the thin end (such as, e.g., a film of 500 Angstroms, or of 10 Angstroms, or thinner), to the thick end (such as, e.g., a film of 1,000 Angstroms, or a film of 5,000 Angstroms, or thicker), and thicknesses in between, such as films in a range of about 10 to 5,000 Angstroms, and thinner or thicker as called for by the application.
  • the dopant concentration of a film made according to the invention is not particularly, and may be adjusted as desired.
  • An example of a dopant (such as Ge, etc.) concentration is in a range of, e.g., about 1 to 10%, or, in another example, about 1 to 50%.
  • the present invention includes an embodiment in which multiple non-silicon precursors are used, such as a germanium precursor and a carbon precursor; a germanium precursor and a boron precursor; etc.
  • multiple non-silicon precursors such as a germanium precursor and a carbon precursor; a germanium precursor and a boron precursor; etc.
  • adding multiple precursors during deposition of a silicon nitride or a silicon oxide film may provide an enhanced effect, as may be desired.
  • the present invention may be used, e.g., for signaling an etch end point.
  • an etch end point For example, when conventional silicon nitride etching is performed, there has been a problem with wanting to stop the etch at the end of the silicon nitride and not etch over onto the silicon. However, such an etch end point many times has not been sharp and etching into the silicon has been common with the conventional methods.
  • a doped silicon nitride such as a Ge-doped silicon nitride
  • the presence of the Ge in the silicon nitride may be used to signal the endpoint of the etch, thereby advantageously preventing over-etching, such as, e.g., by using optical emission spectroscopy to detect the Ge (e.g., a Ge-Fluoride signal may be searched-for).
  • Such an aforementioned etch-stop example is not limiting, and the invention is extended to a variety of signaling uses of a dopant in a doped nitride film or a doped oxide film.
  • a dopant in a doped nitride film or a doped oxide film there may be provided a thin silicon nitride layer doped as an etch stop layer (such as a Ge-doped silicon nitride layer, etc.), and the dopant signal (e.g., the Ge signal, etc.) may be monitored for determining where the layer begins.
  • a number of different implementations of the present invention may be provided, in the etching context.
  • Another example is a thin layer of carbon or boron doped oxide under a Ge-doped nitride.
  • a further example of using the invention in an etching process is use of two different dopants, such as providing one dopant in each of the respective layers, or providing the two different dopants in a same layer. It will be appreciated that the present invention includes use of different signals being controlled for maximal sensitivity, and that the above-mentioned are only some examples.
  • Another use of the present invention is to change stress of a produced film (e.g., a silicon nitride, silicon oxide) by including a dopant, compared to a film in which the dopant is not included.
  • a produced film e.g., a silicon nitride, silicon oxide
  • dopant e.g., silicon nitride, silicon oxide
  • inclusion of a Ge dopant has been found to change stress of the film to the tensile region.
  • RTCVD silicon nitride films have a stress of about 1 to 1.5 G Pa (tensile).
  • Including Ge in the silicon nitride films provides a significant change raising the stress of the film, such as a doped Ge-silicon nitride film with a stress exceeding 1.5 G Pa (tensile), such as a stress of 2 G Pa (tensile), or higher, etc.
  • a delta of 1 G Pa or greater preferably, such as a delta of 1.2 G Pa or greater
  • the present invention may be used to change the stress of a film from compressive to tensile, which signifies a significant change in the nature of a film.
  • the present invention advantageously may be used to tune stress of a silicon nitride or silicon oxide film or silicon oxynitride or silicon carbide film as desired.
  • the present invention may be used to produce doped silicon nitride films, doped silicon oxide films, doped silicon oxynitride films, and doped silicon carbide films, such as, e.g., a Ge-doped silicon nitride film, an Al-doped silicon oxide film, a boron-doped silicon nitride film, etc.
  • the bottom plot in FIG. 1 is for the film deposited at 650° C., with the same ratios as for the film deposited at 700° C.
  • germane to a mixture of a silicon precursor and ammonia allows for: increasing the deposition rate of an existing process making the process more manufacturable; lowering the deposition rate of a process to make it extendable to future technology; and/or manipulating stress of the formed film.
  • the present inventors have recognized that stress in a film may be modified by germanium addition during nitride film formation. There may be considered the following results, both for silicon substrates: (i) for a Si—N film, stress of 4 E9 Dyne/cm 2 (compressive); (ii) for a SiGe—N film, stress of 8.2 E9 Dyne/cm (tensile).
  • deposition rate and/or stress tuning are not limited to nitride films, and may be applicable for oxide films (such as silicon oxide films, etc.) and other films, such as other amorphous films.
  • Ge was added to a mixture of silane and ammonia, forming a Ge-doped Si nitride film.
  • the deposition rate was increased for the germane process, compared to an equivalent no-germane process.
  • the stress of the produced film was 0.4 GPa (compressive).
  • the stress was 0.8 GPa (tensile).
  • the use of Ge according to the invention achieved a change in stress of 1.2 GPa, which was a substantial improvement.
  • Stressed nitride liner 40 (produced according to the invention) is shown in use with spacer 41 , gate (POLY) with layer 44 (silicide), with the gate being over a channel (SOI).
  • POLY gate
  • SOI channel
  • FIGS. 5A-5C an example of a counter-doped nitride or oxide layer for endpoint detection according to the invention is shown.
  • a spacer nitride 51 (with a first dopant) is provided over a nitride or oxide layer 50 (with a second dopant).
  • the as-deposited films of FIG. 5A are processed according to an initial RIE step shown in FIG. 5B , wherein during initial RIE, the first dopant is detected.
  • a step of final RIE is performed, as shown in FIG. 5C , wherein an etch endpoint is reached.
  • a smaller amount of the first dopant (in the spacer nitride 51 or etched spacer nitride 51 ′) is detected, and detection of the second dopant (in the nitride or oxide layer 50 ) begins.
  • a controllably etched spacer nitride 51 ′ remains.

Abstract

Adding at least one non-silicon precursor (such as a germanium precursor, a carbon precursor, etc.) during formation of a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film improves the deposition rate and/or makes possible tuning of properties of the film, such as tuning of the stress of the film. Also, in a doped silicon oxide or doped silicon nitride or other doped structure, the presence of the dopant may be used for measuring a signal associated with the dopant, as an etch-stop or otherwise for achieving control during etching.

Description

    BACKGROUND OF INVENTION
  • The present invention generally relates to films used in manufacture of semiconductor devices, especially to nitride films and oxide films.
  • In order to improve drive current in complementary metal oxide semiconductor (CMOS) devices, stressed films have been used either as spacers or middle-of-the-line (MOL) liners (also known as pre-metal dielectric (PMD) liners). Deposition regimes that result in either highly tensile or highly compressive nitride films are well known (e.g., rapid thermal chemical vapor deposition (RTCVD), plasma enhanced chemical vapor deposition (PECVD), high density plasma (HDP) using silicon (Si) precursor such as silane (SiH4), di chloro silane (DCS), Disilane, Hexachlorodisilane, bis-tertiary butyl amino silane (BTBAS), and ammonia (NH3)). However, within a given deposition regime it only has been possible to modulate the stress within a small range. It has been very difficult to modulate the stress to a large extent without comprising the film quality.
  • Also, there has been a growing need for nitride/oxide films deposited at a lower temperature for MOL driven by the introduction of nitride silicon (NiSi) processes. Many low temperature precursors have been investigated, and none has turned out to be ideal.
  • Typically, in an LPCVD furnace, DCS and NH3 are used for depositing silicon nitride film at temperatures of 700C and higher.
  • SUMMARY OF INVENTION
  • It is therefore an object of the present invention to provide increased deposition rate compared to conventional processes, thus providing for more manufacturable films, especially silicon nitride films, silicon oxide films, silicon oxynitride films, and silicon carbide films.
  • Another object of the present invention is to provide the ability to produce good quality nitride films of varying stress levels, thus enhancing device performance as a “plug-in” solution, i.e., with no integration changes needed.
  • Another object of the present invention is to lower the temperature for deposition of a silicon nitride film, a silicon oxide film, a silicon oxynitride film or a silicon carbide film.
  • A further object of the present invention is to manipulate germanium addition during production of a silicon nitride film, silicon oxide film, silicon oxynitride film or silicon carbide film, to control stress in the produced film.
  • The present invention, in one preferred embodiment which is a process in which at least one Si precursor is deposited, at least one Ge precursor and/or at least one C precursor is added, to produce a Ge- and/or C-doped silicon nitride or silicon oxide film with a tunable stress.
  • Thus, at least one chemical or physical property (such as a stress property) of a silicon nitride or a silicon oxide film being produced may be tuned by at least one precursor modification during deposition of the film.
  • Advantageously, lower-than-conventional temperature deposition can be obtained in depositing a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film according to the present invention.
  • The invention in one preferred embodiment provides a method of producing a doped nitride film, a doped oxide film, a doped oxynitride film or a doped carbide film, the method comprising at least: providing at least one silicon precursor (such as, e.g., SiH4, DCS, BTBAS, HCD, disilane, trisilane, etc.); providing at least one of: a nitrogen precursor (which may be the same as or different from the silicon precursor) or an oxygen precursor; further providing at least one non-silicon precursor (which may be the same as or different from the silicon precursor, the nitrogen precursor and/or the oxygen precursor); wherein a doped silicon nitride film, doped silicon oxide film, doped silicon oxynitride film or doped silicon carbide film is formed (provided that when the film is a doped oxide, the non-silicon precursor is not boron and not phosphorous).
  • Examples of the non-silicon precursor for use in the inventive method are, e.g., a germanium (Ge) precursor (such as, e.g., an organogermanium compound, etc.; GeH4, GeH3CH3, etc.), a carbon precursor (such as, e.g., C2H4 etc.); diborane; an aluminum (Al) precursor (such as, e.g., trimethyl aluminum (TMA), AlH3, aluminum isopropoxide etc.); a boron (B) precursor; an arsenic precursor; a hafnium precursor; a gallium precursor (such as trimethyl Ga, trialkyl amino Ga, GaH3, etc.); an indium precursor (such as trimethyl In, trialkyl amino In, InH3, etc.); etc. Additionally, alkyl hydrides or alkyl amino hydrides of germanium, carbon, boron, aluminum, aluminum, arsenic, hafnium, gallium, indium, etc., may be used as precursors. In a particularly preferred example of an inventive method, the providing of at least one silicon precursor and the providing of at least one non-silicon precursor occurs simultaneously and is in a form of providing flow of a gas.
  • The inventive methods may be used for producing a variety of doped films, such as, e.g., a germanium- and/or carbon-doped silicon nitride or silicon oxide or silicon oxynitride or silicon carbide; etc.; a silicon nitride, a silicon oxide, a silicon oxynitride or a silicon carbide film with a tunable stress; a doped silicon nitride film having a uniformly distributed dopant concentration (such as, e.g., a Ge-doped silicon nitride film having a uniformly distributed Ge concentration); etc. One example of a method according to the invention is, e.g., adding germane (a germanium precursor) to a mixture of silane and ammonia, and forming a Ge-doped Si nitride film.
  • In a further preferred embodiment of an inventive method, a precursor modification (such as, e.g., a mixture of at least two precursors, etc.) may be applied to tune at least one chemical or physical property of a produced film (such as, e.g., stress of a produced film, wet etch rate; dry etch rate; etch end point; deposition rate; physical, electrical and/or optical property; etc.).
  • The inventive method optionally may further comprise a step of measuring a signal for a non-silicon dopant from the non-silicon precursor, said signal measuring for controlling an etch.
  • In certain embodiments of inventive methods, deposition advantageously may be at a lower temperature than if the non-silicon precursor were omitted, such as, e.g., a deposition temperature below about 700° C. (including but not limited to a deposition temperature as low as room temperature), etc. Preferred examples of depositions in which the inventive method may be used are, e.g., RTCVD, PECVD, LPCVD, remote plasma nitride, atomic layer deposition (ALD), etc.
  • The invention in other preferred embodiments provides certain films, such as, e.g., a silicon nitride, silicon oxide, silicon oxynitride or silicon carbide film (such as, e.g., a germanium-doped film, etc.), having a tunable stress in a range of about 3 G Pa (compressive) to 3 G Pa (tensile); a silicon nitride film, wherein the film is a Ge-doped silicon nitride film with uniformly distributed Ge; an aluminum-doped silicon oxide film; a germanium-doped silicon nitride film; etc.; a Ge-doped film wherein the Ge-doped film has a stress that is at least about 1.0 G Pa greater (preferably, 1.2 G Pa greater) than a film that has been made by a same process except without Ge-doping.
  • Inventive films may include one or more dopants, such as a multitude of dopants. Examples of dopants for use in inventive films include, e.g., germanium (Ge), carbon (C), boron (B), aluminum (Al), gallium (Ga), indium (In), etc., which dopants may be used singly or in combination.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which:
  • FIG. 1 is a chart of ellipsometry measurements (49 points) for one silicon nitride film and two LPCVD SiGe Nitride films.
  • FIG. 2 is a chart of etch rate of one silicon nitride film and two SiGe nitride films, based on ellipsometry, 49 points, with FIG. 2 relating to the films charted in FIG. 1.
  • FIG. 3 is a chart of deposition rate as function of Ge incorporation, with plots for with and without Ge, with FIG. 3 relating to the films charted in FIG. 1.
  • FIG. 4 is a side view showing a stressed liner according to an embodiment of the invention, with the stressed liner in use with a spacer, a gate and a channel.
  • FIGS. 5A-5C depict an endpoint detection method according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • In the present invention, during production of a doped nitride film, a doped oxide film, a doped oxynitride film or a doped carbide film, at least one of the following is manipulated: the deposition rate; a chemical and/or physical property (such as, e.g., tunable stress) of the formed film. This manipulation is accomplished by introducing an additional non-silicon precursor that is otherwise not a traditional reagent for producing a nitride film, an oxide film, an oxynitride film, or a carbide film, with examples of the additional non-silicon precursor being a germanium precursor and a carbon precursor.
  • The present invention accomplishes such advantages by including a non-silicon precursor dopant (such as, e.g., a Ge precursor, etc.) during the deposition process, such as deposition of a silicon nitride film, deposition of a silicon oxide film, deposition of a silicon oxynitride film, deposition of a silicon carbide film, etc.
  • For example, in one embodiment, the present invention makes possible low-temperature deposition of a nitride film, an oxide film, an oxynitride film and/or a carbide film, by adding germanium to deposition of nitride films and oxide films and oxynitride films and carbide films, especially doped nitride or oxide films. The present inventors exploit the fact that silicon germanium (SiGe) epitaxy can be done at a lower temperature than silicon epitaxy, and further have discovered that the addition of a germanium (Ge) precursor to a silicon precursor lowers the temperature of the deposition of the film.
  • A germanium precursor used in the present invention may be, e.g., a known germanium precursor such as the germanium precursors mentioned, e.g., in U.S. Pat. No. 6,429,098 issued Aug. 6, 2002 and U.S. Pat. No. 6,117,750 issued Sep. 12, 2000 to Bensahel et al. (France Telecom) or in U.S. Pat. No. 6,258,664 issued Jul. 10, 2001 to Reinberg (Micron Technology, Inc.). Germanium precursors are commercially available. An example of a germanium precursor used in the invention is GeH4.
  • The present invention provides for use of at least one germanium precursor in deposition of a silicon nitride, a silicon oxide, a silicon oxynitride, a silicon carbide, etc., which deposition advantageously may, if desired, by a low-temperature deposition, such as, e.g., a deposition at 700° C. or lower, such as, e.g., room temperature and other temperatures. In a preferred example, an inventive method may proceed at room temperature in a P3i plasma immersion tool, to deposit nitride.
  • When including the non-silicon precursor in the present invention for producing a silicon nitride or silicon oxide film or silicon oxynitride film or silicon carbide film, the production process otherwise may proceed conventionally with regard to ingredients, for example, use of a nitrogen precursor (such as, e.g., NH3, etc.) and a silicon precursor (such as DCS, etc.), etc. For producing a silicon nitride film, a nitrogen precursor is included. For producing a silicon oxide film, an oxygen precursor is included. For producing a silicon nitride or a silicon oxide film, a silicon precursor is included. It will be appreciated that the silicon precursor may be different or the same as the nitride or oxide precursor. For example, BTBAS may serve as a silicon precursor and a nitrogen precursor. In the present invention, in some embodiments a reagent (such as, e.g., BTBAS, etc.) optionally may be used as two or more kinds of precursors.
  • An exemplary temperature for nitride or oxide or oxynitride or carbide film forming using a germanium precursor and/or a carbon precursor according to the invention is preferably at a temperature less than 700° C., more preferably at a temperature less than 650° C., even more preferably at a temperature of 500° C. or lower. For example, in the case of Ge-doping, an advantageous temperature of 500° C. or lower may be used for the deposition of a Ge-doped silicon nitride film. It will be appreciated that, while the present invention advantageously makes possible relatively low deposition temperatures that are desirable, low deposition temperatures are not necessarily required to be used in all embodiments, such as, for example, a film may be advantageously stress-tuned according to the invention at a variety of deposition temperatures.
  • The non-silicon precursor mentioned for use in the present invention is not particularly limited, and as examples may be mentioned a germanium precursor, a carbon precursor, an aluminum precursor, a boron precursor, an arsenic precursor, a hafnium precursor, a gallium precursor, an indium precursor, and, without limitation, other dopant precursors, etc.
  • The present invention also may be applied to MOL barrier technology. For example, it is well known that the MOL barrier nitride can enhance device reliability (negative bias temperature instability (NBTI), etc.). The present invention provides, through use of the germanium precursor and/or the carbon precursor, an ability to tune the chemical and/or physical properties of the barrier nitride film using different precursor combinations. Such an ability may be used to achieve a significant device reliability gain.
  • Thickness of a film produced according to the present invention is not particularly limited, and a thickness may be selected depending on the application. The film thickness may range from, on the thin end (such as, e.g., a film of 500 Angstroms, or of 10 Angstroms, or thinner), to the thick end (such as, e.g., a film of 1,000 Angstroms, or a film of 5,000 Angstroms, or thicker), and thicknesses in between, such as films in a range of about 10 to 5,000 Angstroms, and thinner or thicker as called for by the application.
  • The dopant concentration of a film made according to the invention is not particularly, and may be adjusted as desired. An example of a dopant (such as Ge, etc.) concentration is in a range of, e.g., about 1 to 10%, or, in another example, about 1 to 50%.
  • The present invention includes an embodiment in which multiple non-silicon precursors are used, such as a germanium precursor and a carbon precursor; a germanium precursor and a boron precursor; etc. For example, adding multiple precursors during deposition of a silicon nitride or a silicon oxide film may provide an enhanced effect, as may be desired.
  • The present invention may be used, e.g., for signaling an etch end point. For example, when conventional silicon nitride etching is performed, there has been a problem with wanting to stop the etch at the end of the silicon nitride and not etch over onto the silicon. However, such an etch end point many times has not been sharp and etching into the silicon has been common with the conventional methods. With the present invention, if a doped silicon nitride is used (such as a Ge-doped silicon nitride), the presence of the Ge in the silicon nitride may be used to signal the endpoint of the etch, thereby advantageously preventing over-etching, such as, e.g., by using optical emission spectroscopy to detect the Ge (e.g., a Ge-Fluoride signal may be searched-for).
  • Such an aforementioned etch-stop example is not limiting, and the invention is extended to a variety of signaling uses of a dopant in a doped nitride film or a doped oxide film. For example, there may be provided a thin silicon nitride layer doped as an etch stop layer (such as a Ge-doped silicon nitride layer, etc.), and the dopant signal (e.g., the Ge signal, etc.) may be monitored for determining where the layer begins. A number of different implementations of the present invention may be provided, in the etching context. Another example is a thin layer of carbon or boron doped oxide under a Ge-doped nitride. For such a structure, drop in Ge signal and advent of C signal can be monitored, for better etching results. A further example of using the invention in an etching process is use of two different dopants, such as providing one dopant in each of the respective layers, or providing the two different dopants in a same layer. It will be appreciated that the present invention includes use of different signals being controlled for maximal sensitivity, and that the above-mentioned are only some examples.
  • Another use of the present invention is to change stress of a produced film (e.g., a silicon nitride, silicon oxide) by including a dopant, compared to a film in which the dopant is not included. For example, for a case of a silicon nitride film, inclusion of a Ge dopant has been found to change stress of the film to the tensile region. Conventionally, RTCVD silicon nitride films have a stress of about 1 to 1.5 G Pa (tensile). Including Ge in the silicon nitride films provides a significant change raising the stress of the film, such as a doped Ge-silicon nitride film with a stress exceeding 1.5 G Pa (tensile), such as a stress of 2 G Pa (tensile), or higher, etc. When measuring a film doped according to the invention and a comparable non-doped film on the same stress tool, a delta of 1 G Pa or greater (preferably, such as a delta of 1.2 G Pa or greater) may be obtained in the doped film. Also, the present invention may be used to change the stress of a film from compressive to tensile, which signifies a significant change in the nature of a film.
  • Thus, the present invention advantageously may be used to tune stress of a silicon nitride or silicon oxide film or silicon oxynitride or silicon carbide film as desired.
  • Also, the present invention may be used to produce doped silicon nitride films, doped silicon oxide films, doped silicon oxynitride films, and doped silicon carbide films, such as, e.g., a Ge-doped silicon nitride film, an Al-doped silicon oxide film, a boron-doped silicon nitride film, etc.
  • EXAMPLE 1
  • In an LPCVD furnace, GEH4 was added to a mixture of DCS and NH3 at two different temperatures, 700 and 650° C. respectively. A standard silicon nitride film was also deposited at 785° C. as a control. Two germanium-doped silicon nitride films and one standard silicon nitride film were thus deposited. The results are summarized in the charts which are FIGS. 1, 2 and 3.
  • In FIG. 1, the top plot is for the film deposited at 785° C., with DCS/NH3=0.3 The middle plot in FIG. 1 is for the film deposited at 700° C., with (DCS+Ge)/NH3=0.3, Ge/DCS=0.25. The bottom plot in FIG. 1 is for the film deposited at 650° C., with the same ratios as for the film deposited at 700° C.
  • From FIG. 3, it is clear that by adding GeH4 to the process gas, a significant increase in the deposition rate has been achieved. Also, the germanium-doped films of this Example 1 have a similar property (determined by wet etch rate) to the standard high temperature films.
  • The addition of germane to a mixture of a silicon precursor and ammonia allows for: increasing the deposition rate of an existing process making the process more manufacturable; lowering the deposition rate of a process to make it extendable to future technology; and/or manipulating stress of the formed film.
  • EXAMPLE 2
  • Importantly, the present inventors have recognized that stress in a film may be modified by germanium addition during nitride film formation. There may be considered the following results, both for silicon substrates: (i) for a Si—N film, stress of 4 E9 Dyne/cm2 (compressive); (ii) for a SiGe—N film, stress of 8.2 E9 Dyne/cm (tensile).
  • As the above data shows, there is almost an order of magnitude stress difference between a conventional silicon nitride film and an inventive germanium-doped silicon nitride film.
  • It will be appreciated that the advantages of the present invention with regard to deposition rate and/or stress tuning are not limited to nitride films, and may be applicable for oxide films (such as silicon oxide films, etc.) and other films, such as other amorphous films.
  • EXAMPLE 3
  • Ge was added to a mixture of silane and ammonia, forming a Ge-doped Si nitride film. The deposition rate was increased for the germane process, compared to an equivalent no-germane process. For the no-Ge processs, the stress of the produced film was 0.4 GPa (compressive). For the inventive process using Ge, the stress was 0.8 GPa (tensile). Thus, the use of Ge according to the invention achieved a change in stress of 1.2 GPa, which was a substantial improvement.
  • EXAMPLE 4
  • With reference to FIG. 4, an example of a stressed film according to one embodiment of the invention is shown. Stressed nitride liner 40 (produced according to the invention) is shown in use with spacer 41, gate (POLY) with layer 44 (silicide), with the gate being over a channel (SOI).
  • EXAMPLE 5
  • With reference to FIGS. 5A-5C, an example of a counter-doped nitride or oxide layer for endpoint detection according to the invention is shown. Referring to FIG. 5A, in a device including gate 52, a spacer nitride 51 (with a first dopant) is provided over a nitride or oxide layer 50 (with a second dopant). The as-deposited films of FIG. 5A are processed according to an initial RIE step shown in FIG. 5B, wherein during initial RIE, the first dopant is detected. Next, a step of final RIE is performed, as shown in FIG. 5C, wherein an etch endpoint is reached. During the final RIE step, a smaller amount of the first dopant (in the spacer nitride 51 or etched spacer nitride 51′) is detected, and detection of the second dopant (in the nitride or oxide layer 50) begins. After the etch endpoint step, a controllably etched spacer nitride 51′ remains.
  • While the invention has been described in terms of its preferred embodiment, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (28)

1. A method of producing a doped silicon nitride film, doped silicon oxide film, doped silicon oxynitride film or doped silicon carbide film, the method comprising at least:
providing at least one silicon precursor,
providing at least one of: a nitrogen precursor (which may be the same as or different from the silicon precursor) or an oxygen precursor,
further providing at least one non-silicon precursor (which may be the same as or different from the silicon precursor, the nitrogen precursor and/or the oxygen precursor),
wherein a doped silicon nitride film, a doped silicon oxide film, a doped silicon oxynitride film or a doped silicon carbide film is formed (provided that when the film is a doped oxide, the non-silicon precursor is not boron and not phosphorous).
2. The method of claim 1, wherein the providing of at least one silicon precursor and the providing of at least one non-silicon precursor occurs simultaneously and is in a form of providing flow of a gas.
3. The method of claim 1, wherein the non-silicon precursor is a germanium precursor.
4. The method of claim 1, wherein the non-silicon precursor is selected from the group consisting of a carbon precursor; a boron precursor; an aluminum precursor; an arsenic precursor; a hafnium precursor; a gallium precursor and an indium precursor.
5. The method of claim 1, wherein a produced film is a silicon nitride film.
6. The method of claim 1, wherein a produced film is germanium- and/or carbon-doped silicon nitride or silicon oxide.
7. The method of claim 1, wherein a produced film has a tunable stress.
8. The method of claim 1, wherein the non-silicon precursor is an organogermanium compound or a germanium precursor selected from the group consisting of GeH4 and GeH3CH3;
diborane;
trimethyl aluminum (TMA);
a C2H4 carbon precursor;
trimethyl Ga;
trimethyl In;
trialkyl amino Ga;
trialkyl amino In;
GaH3;
InH3;
AlH and
aluminum isopropoxide.
9. The method of claim 1, wherein the non-silicon precursor is an alkyl hydride or an alkyl amino hydride of germanium, carbon, aluminum, boron, arsenic, hafnium, gallium or indium.
10. The method of claim 1, including applying a precursor modification to tune at least one chemical or physical property of a produced film.
11. The method of claim 10, wherein the precursor modification is a mixture of at least two precursors.
12. The method of claim 10, wherein the at least one chemical or physical property is stress of a produced film.
13. The method of claim 10, wherein the at least one chemical or physical property is selected from the group consisting of: wet etch rate; dry etch rate; etch end point; deposition rate; and physical, electrical and/or optical property.
14. The method of claim 1, wherein deposition is at a lower temperature than if the non-silicon precursor were omitted.
15. The method of claim 1, conducted at a temperature below about 700° C.
16. The method of claim 1, wherein the deposition is RTCVD, PECVD, LPCVD, remote plasma nitride or ALD.
17. The method of claim 1, including adding germane to a mixture of silane and ammonia, and forming a Ge-doped Si nitride film.
18. The method of claim 1, further comprising a step of measuring a signal for a non-silicon dopant from the non-silicon precursor, said signal measuring for controlling an etch.
19. The method of claim 1, wherein the produced film is a Ge-doped silicon nitride film having a uniformly distributed Ge concentration.
20. A silicon nitride or silicon oxide film, having a tunable stress in a range of about 3 G Pa (compressive) to 3 G Pa (tensile).
21. The film of claim 20, wherein the film is germanium doped
22. The film of claim 20, wherein the film is boron-doped, aluminum-doped, carbon-doped, arsenic-doped, hafnium-doped, gallium-doped and/or indium-doped.
23. The film of claim 20, including two or more dopants
24. The film of claim 20, wherein the film is Ge-doped and wherein the Ge-doped film has a stress that is at least about 1.0 G Pa greater than a film that has been made by a same process except without Ge-doping.
25. An aluminum-doped silicon oxide film.
26. A germanium-doped silicon nitride film.
27. The film of claim 26, wherein the film is a Ge-doped silicon nitride film with uniformly distributed Ge.
28. The method of claim 1, wherein the deposition is conducted at room temperature.
US10/710,245 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films Abandoned US20050287747A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/710,245 US20050287747A1 (en) 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films
TW094118424A TWI355684B (en) 2004-06-29 2005-06-03 Doped intride film, doped oxide film and other dop
JP2005182180A JP5078240B2 (en) 2004-06-29 2005-06-22 Doped nitride film, doped oxide film, and other doped films
CNB2005100809411A CN100428424C (en) 2004-06-29 2005-06-24 Doped nitride film, doped oxide film and other doped films
US11/349,233 US7361611B2 (en) 2004-06-29 2006-02-08 Doped nitride film, doped oxide film and other doped films
US11/428,648 US20060237846A1 (en) 2004-06-29 2006-07-05 Doped nitride film, doped oxide film and other doped films and deposition rate improvement for rtcvd processes
US11/924,825 US7595010B2 (en) 2004-06-29 2007-10-26 Method for producing a doped nitride film, doped oxide film and other doped films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/710,245 US20050287747A1 (en) 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/349,233 Division US7361611B2 (en) 2004-06-29 2006-02-08 Doped nitride film, doped oxide film and other doped films
US11/428,648 Continuation-In-Part US20060237846A1 (en) 2004-06-29 2006-07-05 Doped nitride film, doped oxide film and other doped films and deposition rate improvement for rtcvd processes

Publications (1)

Publication Number Publication Date
US20050287747A1 true US20050287747A1 (en) 2005-12-29

Family

ID=35506413

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/710,245 Abandoned US20050287747A1 (en) 2004-06-29 2004-06-29 Doped nitride film, doped oxide film and other doped films
US11/349,233 Active 2024-07-17 US7361611B2 (en) 2004-06-29 2006-02-08 Doped nitride film, doped oxide film and other doped films
US11/428,648 Abandoned US20060237846A1 (en) 2004-06-29 2006-07-05 Doped nitride film, doped oxide film and other doped films and deposition rate improvement for rtcvd processes
US11/924,825 Expired - Fee Related US7595010B2 (en) 2004-06-29 2007-10-26 Method for producing a doped nitride film, doped oxide film and other doped films

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/349,233 Active 2024-07-17 US7361611B2 (en) 2004-06-29 2006-02-08 Doped nitride film, doped oxide film and other doped films
US11/428,648 Abandoned US20060237846A1 (en) 2004-06-29 2006-07-05 Doped nitride film, doped oxide film and other doped films and deposition rate improvement for rtcvd processes
US11/924,825 Expired - Fee Related US7595010B2 (en) 2004-06-29 2007-10-26 Method for producing a doped nitride film, doped oxide film and other doped films

Country Status (4)

Country Link
US (4) US20050287747A1 (en)
JP (1) JP5078240B2 (en)
CN (1) CN100428424C (en)
TW (1) TWI355684B (en)

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050085054A1 (en) * 2003-10-15 2005-04-21 Chakravarti Ashima B. Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060118880A1 (en) * 2004-12-08 2006-06-08 Kabushiki Kaisha Toshiba Semiconductor device including field-effect transistor
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US20070059870A1 (en) * 2005-09-13 2007-03-15 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070111546A1 (en) * 2005-11-12 2007-05-17 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20070269992A1 (en) * 2006-05-19 2007-11-22 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US20080036007A1 (en) * 2005-07-06 2008-02-14 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved pfet device performance
US20080054228A1 (en) * 2004-06-29 2008-03-06 Chakravarti Ashima B Doped nitride film, doped oxide film and other doped films
US20080076070A1 (en) * 2006-09-08 2008-03-27 Samsung Electronics Co., Ltd. Methods of Forming Fine Patterns In Integrated Circuits Using Atomic Layer Deposition
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20080146007A1 (en) * 2006-12-14 2008-06-19 Mihaela Balseanu Method to increase the compressive stress of pecvd dielectric films
EP2048699A2 (en) * 2007-10-12 2009-04-15 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090112009A1 (en) * 2007-10-31 2009-04-30 Advanced Technology Materials, Inc. Amorphous ge/te deposition process
US20090124039A1 (en) * 2006-05-12 2009-05-14 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
US20090280614A1 (en) * 2007-05-24 2009-11-12 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US20100317150A1 (en) * 2006-11-02 2010-12-16 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US20110001107A1 (en) * 2009-07-02 2011-01-06 Advanced Technology Materials, Inc. Hollow gst structure with dielectric fill
US20110008938A1 (en) * 2008-02-19 2011-01-13 Tokyo Electron Limited Thin film and method for manufacturing semiconductor device using the thin film
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
US7951730B2 (en) 2006-06-29 2011-05-31 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20110180905A1 (en) * 2008-06-10 2011-07-28 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRYSTALLINITY
WO2012167060A2 (en) 2011-06-03 2012-12-06 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US20130249012A1 (en) * 2012-03-21 2013-09-26 Qiuxia Xu CMOS Device and Method for Manufacturing the Same
US8617972B2 (en) 2009-05-22 2013-12-31 Advanced Technology Materials, Inc. Low temperature GST process
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US8852686B2 (en) 2007-10-11 2014-10-07 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US20140363123A1 (en) * 2013-06-07 2014-12-11 George J. Caporaso High voltage photoconductive switch package
US9012876B2 (en) 2010-03-26 2015-04-21 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9018104B2 (en) 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9090969B2 (en) 2011-06-22 2015-07-28 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
CN105047559A (en) * 2015-08-12 2015-11-11 沈阳拓荆科技有限公司 Method for obtaining silicon nitride films with different properties by adjusting heights of jewel balls
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9455137B2 (en) 2011-01-14 2016-09-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9537095B2 (en) 2008-02-24 2017-01-03 Entegris, Inc. Tellurium compounds useful for deposition of tellurium containing materials
WO2017044690A1 (en) * 2015-09-11 2017-03-16 Air Products And Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
WO2017062614A1 (en) * 2015-10-06 2017-04-13 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US20220195606A1 (en) * 2020-12-23 2022-06-23 Raytheon Technologies Corporation Method for metal vapor infiltration of cmc parts and articles containing the same

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
KR100772836B1 (en) 2006-07-21 2007-11-01 동부일렉트로닉스 주식회사 Method of fabricating a semiconductor device
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US8084356B2 (en) * 2007-09-29 2011-12-27 Lam Research Corporation Methods of low-K dielectric and metal process integration
US7994066B1 (en) * 2007-10-13 2011-08-09 Luxtera, Inc. Si surface cleaning for semiconductor circuits
US7994042B2 (en) * 2007-10-26 2011-08-09 International Business Machines Corporation Techniques for impeding reverse engineering
JP2009164260A (en) 2007-12-28 2009-07-23 Toshiba Corp Nonvolatile semiconductor memory
JP2009260151A (en) * 2008-04-18 2009-11-05 Tokyo Electron Ltd Method of forming metal doped layer, film forming apparatus, and storage medium
US8343824B2 (en) * 2008-04-29 2013-01-01 International Rectifier Corporation Gallium nitride material processing and related device structures
WO2010151856A2 (en) * 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
CN102194751A (en) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 Method for manufacturing complementary metal-oxide-semiconductor (CMOS) device
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8614478B2 (en) 2010-07-26 2013-12-24 Infineon Technologies Austria Ag Method for protecting a semiconductor device against degradation, a semiconductor device protected against hot charge carriers and a manufacturing method therefor
US8786012B2 (en) 2010-07-26 2014-07-22 Infineon Technologies Austria Ag Power semiconductor device and a method for forming a semiconductor device
CN102386089B (en) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 Method for preparing semiconductor device structure
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101381900B1 (en) * 2010-10-01 2014-04-04 한국전자통신연구원 Method of tuning the resonance wavelength ring resonator
JP5689398B2 (en) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 Method and apparatus for forming silicon nitride film
JP5793398B2 (en) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 Method for forming seed layer and method for forming silicon-containing thin film
TWI627303B (en) 2011-11-04 2018-06-21 Asm國際股份有限公司 Method for depositing doped silicon oxide on substrate in reaction chamber
JP5758829B2 (en) 2012-03-27 2015-08-05 東京エレクトロン株式会社 Method for forming boron-containing silicon oxycarbonitride film and method for forming silicon oxycarbonitride film
CN102623334B (en) * 2012-04-17 2014-10-22 上海华力微电子有限公司 Method for forming silicon nitride film with double stress layers
CN102623409B (en) * 2012-04-17 2014-08-13 上海华力微电子有限公司 Method for forming silicon nitride film with double stress layers
CN102623333B (en) * 2012-04-17 2014-09-03 上海华力微电子有限公司 Method for forming silicon nitride film with double stress layers
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US9799509B2 (en) 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
JP6378070B2 (en) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 Deposition method
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102307983B1 (en) * 2015-06-16 2021-09-30 버슘머트리얼즈 유에스, 엘엘씨 Halaidosilane Compounds and Compositions and Processes for Depositing Silicon-Containing Films Using the Same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105256375B (en) * 2015-09-02 2017-10-31 光昱(厦门)新能源有限公司 A kind of method for improving solar cell diffusion furnace silicon carbide paddle fatigue resistance
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
CN106449907B (en) * 2016-11-18 2019-04-12 电子科技大学 A kind of growing method of p-type exponential doping structure GaN photoelectric cathode materials
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN108417481B (en) * 2018-03-22 2021-02-23 京东方科技集团股份有限公司 Processing method of silicon nitride dielectric layer, thin film transistor and display device
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
US11674222B2 (en) 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4091169A (en) * 1975-12-18 1978-05-23 International Business Machines Corporation Silicon oxide/silicon nitride mask with improved integrity for semiconductor fabrication
US4126880A (en) * 1976-02-13 1978-11-21 Hitachi, Ltd. Germanium-containing silicon nitride film
US4403239A (en) * 1979-12-26 1983-09-06 Shunpei Yamazaki MIS Type semiconductor photoelectric conversion device
US4409134A (en) * 1980-03-03 1983-10-11 Shunpei Yamazaki Photoelectric conversion semiconductor and manufacturing method thereof
US4743563A (en) * 1987-05-26 1988-05-10 Motorola, Inc. Process of controlling surface doping
US4948482A (en) * 1987-12-29 1990-08-14 Hoya Corporation Method for forming silicon nitride film
US5347100A (en) * 1991-03-29 1994-09-13 Hitachi, Ltd. Semiconductor device, process for the production thereof and apparatus for microwave plasma treatment
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6146972A (en) * 1997-06-11 2000-11-14 Nec Corporation Method for fabricating semiconductor device
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US20030227441A1 (en) * 2002-03-29 2003-12-11 Kabushiki Kaisha Toshiba Display input device and display input system
US20040013009A1 (en) * 2002-04-04 2004-01-22 Kabushiki Kaisha Toshiba Semiconductor memory device having a gate electrode and a method of manufacturing thereof
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US6771342B1 (en) * 1996-03-05 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and display device
US20050093076A1 (en) * 2003-11-05 2005-05-05 International Business Machines Corporation METHOD AND STRUCTURE FOR FORMING STRAINED Si FOR CMOS DEVICES
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7002071B1 (en) * 1999-03-10 2006-02-21 Sumitomo Special Metals Co. Ltd. Thermoelectric conversion material and method of producing the same

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5298473A (en) * 1976-02-13 1977-08-18 Hitachi Ltd Thin film material
JPS56169333A (en) * 1980-05-29 1981-12-26 Fujitsu Ltd Semiconductor device
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JP2663508B2 (en) * 1988-05-12 1997-10-15 ソニー株式会社 Vapor growth method
JPH02233531A (en) * 1989-03-07 1990-09-17 Sony Corp Coating glass composition and semiconductor device
JPH0770531B2 (en) * 1989-06-30 1995-07-31 川崎製鉄株式会社 Method for forming buried oxide film
JPH04165623A (en) * 1990-10-30 1992-06-11 Nec Corp Method of forming silicon boron nitride film
JP3670277B2 (en) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション Method for depositing SiOx films with low intrinsic stress and / or low hydrogen content
US5478765A (en) * 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
EP0724286A1 (en) * 1995-01-25 1996-07-31 Applied Materials, Inc. A method of forming a thin film of silicon oxide for a semiconductor device
US5741737A (en) * 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5997634A (en) * 1996-11-14 1999-12-07 Micron Technology, Inc. Method of forming a crystalline phase material
US6306722B1 (en) * 1999-05-03 2001-10-23 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6462371B1 (en) * 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6768857B2 (en) * 2001-05-11 2004-07-27 International Business Machines Corporation Method for manufacturing an optical device with a defined total device stress
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
DE60213555T2 (en) * 2002-03-28 2007-08-09 Fluid Automation Systems S.A. Electromagnetic valve
US6624093B1 (en) * 2002-10-09 2003-09-23 Wisys Technology Foundation Method of producing high dielectric insulator for integrated circuit
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6930058B2 (en) * 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4091169A (en) * 1975-12-18 1978-05-23 International Business Machines Corporation Silicon oxide/silicon nitride mask with improved integrity for semiconductor fabrication
US4126880A (en) * 1976-02-13 1978-11-21 Hitachi, Ltd. Germanium-containing silicon nitride film
US4403239A (en) * 1979-12-26 1983-09-06 Shunpei Yamazaki MIS Type semiconductor photoelectric conversion device
US4409134A (en) * 1980-03-03 1983-10-11 Shunpei Yamazaki Photoelectric conversion semiconductor and manufacturing method thereof
US4743563A (en) * 1987-05-26 1988-05-10 Motorola, Inc. Process of controlling surface doping
US4948482A (en) * 1987-12-29 1990-08-14 Hoya Corporation Method for forming silicon nitride film
US5347100A (en) * 1991-03-29 1994-09-13 Hitachi, Ltd. Semiconductor device, process for the production thereof and apparatus for microwave plasma treatment
US6771342B1 (en) * 1996-03-05 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Liquid crystal display device and display device
US6146972A (en) * 1997-06-11 2000-11-14 Nec Corporation Method for fabricating semiconductor device
US5972765A (en) * 1997-07-16 1999-10-26 International Business Machines Corporation Use of deuterated materials in semiconductor processing
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6117750A (en) * 1997-12-29 2000-09-12 France Telecom Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively
US6429098B1 (en) * 1997-12-29 2002-08-06 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium or silicon on a substrate of single-crystal silicon or germanium, respectively, and multilayer products obtained
US6280651B1 (en) * 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6258664B1 (en) * 1999-02-16 2001-07-10 Micron Technology, Inc. Methods of forming silicon-comprising materials having roughened outer surfaces, and methods of forming capacitor constructions
US6261975B1 (en) * 1999-03-04 2001-07-17 Applied Materials, Inc. Method for depositing and planarizing fluorinated BPSG films
US7002071B1 (en) * 1999-03-10 2006-02-21 Sumitomo Special Metals Co. Ltd. Thermoelectric conversion material and method of producing the same
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US20030227441A1 (en) * 2002-03-29 2003-12-11 Kabushiki Kaisha Toshiba Display input device and display input system
US20040013009A1 (en) * 2002-04-04 2004-01-22 Kabushiki Kaisha Toshiba Semiconductor memory device having a gate electrode and a method of manufacturing thereof
US20050093078A1 (en) * 2003-10-30 2005-05-05 Victor Chan Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050093076A1 (en) * 2003-11-05 2005-05-05 International Business Machines Corporation METHOD AND STRUCTURE FOR FORMING STRAINED Si FOR CMOS DEVICES

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20050085054A1 (en) * 2003-10-15 2005-04-21 Chakravarti Ashima B. Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20080054228A1 (en) * 2004-06-29 2008-03-06 Chakravarti Ashima B Doped nitride film, doped oxide film and other doped films
US7595010B2 (en) * 2004-06-29 2009-09-29 International Business Machines Corporation Method for producing a doped nitride film, doped oxide film and other doped films
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060118880A1 (en) * 2004-12-08 2006-06-08 Kabushiki Kaisha Toshiba Semiconductor device including field-effect transistor
US20080079034A1 (en) * 2004-12-08 2008-04-03 Kabushiki Kaisha Toshiba Semiconductor device including field-effect transistor
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US7804136B2 (en) * 2005-07-06 2010-09-28 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US20080036007A1 (en) * 2005-07-06 2008-02-14 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved pfet device performance
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US7371649B2 (en) * 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070059870A1 (en) * 2005-09-13 2007-03-15 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US20070111546A1 (en) * 2005-11-12 2007-05-17 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US8288198B2 (en) 2006-05-12 2012-10-16 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
US8679894B2 (en) 2006-05-12 2014-03-25 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
US20090124039A1 (en) * 2006-05-12 2009-05-14 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
EP2302094A1 (en) * 2006-05-12 2011-03-30 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
US7514370B2 (en) 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7851376B2 (en) 2006-05-19 2010-12-14 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US20070269992A1 (en) * 2006-05-19 2007-11-22 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US20090137109A1 (en) * 2006-05-19 2009-05-28 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7951730B2 (en) 2006-06-29 2011-05-31 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080076070A1 (en) * 2006-09-08 2008-03-27 Samsung Electronics Co., Ltd. Methods of Forming Fine Patterns In Integrated Circuits Using Atomic Layer Deposition
US20100317150A1 (en) * 2006-11-02 2010-12-16 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
US8709863B2 (en) 2006-11-02 2014-04-29 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
US9219232B2 (en) 2006-11-02 2015-12-22 Entegris, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
US8008117B2 (en) 2006-11-02 2011-08-30 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
US8268665B2 (en) 2006-11-02 2012-09-18 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for CVD/ALD of metal thin films
WO2008073750A2 (en) * 2006-12-08 2008-06-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
WO2008073750A3 (en) * 2006-12-08 2009-03-19 Varian Semiconductor Equipment Technique for atomic layer deposition
US7790635B2 (en) 2006-12-14 2010-09-07 Applied Materials, Inc. Method to increase the compressive stress of PECVD dielectric films
US20080146007A1 (en) * 2006-12-14 2008-06-19 Mihaela Balseanu Method to increase the compressive stress of pecvd dielectric films
US20080145978A1 (en) * 2006-12-18 2008-06-19 Air Liquide Electronics U.S. Lp Deposition of silicon germanium nitrogen precursors for strain engineering
US20090280614A1 (en) * 2007-05-24 2009-11-12 Neng-Kuo Chen Method of making a P-type metal-oxide semiconductor transistor and method of making a complementary metal-oxide semiconductor transistor
US8852686B2 (en) 2007-10-11 2014-10-07 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
EP2048699A3 (en) * 2007-10-12 2010-11-03 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
EP2048699A2 (en) * 2007-10-12 2009-04-15 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090095346A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8093140B2 (en) 2007-10-31 2012-01-10 Advanced Technology Materials, Inc. Amorphous Ge/Te deposition process
US20090112009A1 (en) * 2007-10-31 2009-04-30 Advanced Technology Materials, Inc. Amorphous ge/te deposition process
US20110008938A1 (en) * 2008-02-19 2011-01-13 Tokyo Electron Limited Thin film and method for manufacturing semiconductor device using the thin film
US9537095B2 (en) 2008-02-24 2017-01-03 Entegris, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US20110180905A1 (en) * 2008-06-10 2011-07-28 Advanced Technology Materials, Inc. GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRYSTALLINITY
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US8617972B2 (en) 2009-05-22 2013-12-31 Advanced Technology Materials, Inc. Low temperature GST process
US9070875B2 (en) 2009-05-22 2015-06-30 Entegris, Inc. Low temperature GST process
US20110001107A1 (en) * 2009-07-02 2011-01-06 Advanced Technology Materials, Inc. Hollow gst structure with dielectric fill
US8410468B2 (en) 2009-07-02 2013-04-02 Advanced Technology Materials, Inc. Hollow GST structure with dielectric fill
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
US9012876B2 (en) 2010-03-26 2015-04-21 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9018104B2 (en) 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9334567B2 (en) 2010-04-09 2016-05-10 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US9217199B2 (en) 2010-04-09 2015-12-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9455137B2 (en) 2011-01-14 2016-09-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
EP3330404A2 (en) 2011-06-03 2018-06-06 Versum Materials US, LLC Compositions and processes for depositing carbon-doped siliconcontaining films
US10319584B2 (en) 2011-06-03 2019-06-11 Versum Materials Us, Llc Compositions and processes for depositing carbon-doped silicon-containing films
EP3929326A2 (en) 2011-06-03 2021-12-29 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US9447287B2 (en) 2011-06-03 2016-09-20 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
WO2012167060A2 (en) 2011-06-03 2012-12-06 Air Products And Chemicals, Inc. Compositions and processes for depositing carbon-doped silicon-containing films
US9184046B2 (en) 2011-06-22 2015-11-10 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
US9090969B2 (en) 2011-06-22 2015-07-28 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing and processing methods and apparatuses for forming a film
US9049061B2 (en) * 2012-03-21 2015-06-02 The Institute of Microelectronics Chinese Academy of Science CMOS device and method for manufacturing the same
US20130249012A1 (en) * 2012-03-21 2013-09-26 Qiuxia Xu CMOS Device and Method for Manufacturing the Same
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US9500773B2 (en) * 2013-06-07 2016-11-22 Lawrence Livermore National Security, Llc High voltage photoconductive switch package
US20140363123A1 (en) * 2013-06-07 2014-12-11 George J. Caporaso High voltage photoconductive switch package
CN105047559A (en) * 2015-08-12 2015-11-11 沈阳拓荆科技有限公司 Method for obtaining silicon nitride films with different properties by adjusting heights of jewel balls
US11104990B2 (en) 2015-09-11 2021-08-31 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
WO2017044690A1 (en) * 2015-09-11 2017-03-16 Air Products And Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US11732351B2 (en) 2015-09-11 2023-08-22 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
WO2017062614A1 (en) * 2015-10-06 2017-04-13 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US20220195606A1 (en) * 2020-12-23 2022-06-23 Raytheon Technologies Corporation Method for metal vapor infiltration of cmc parts and articles containing the same

Also Published As

Publication number Publication date
CN100428424C (en) 2008-10-22
TWI355684B (en) 2012-01-01
US20080054228A1 (en) 2008-03-06
US20060237846A1 (en) 2006-10-26
JP5078240B2 (en) 2012-11-21
CN1716548A (en) 2006-01-04
US7361611B2 (en) 2008-04-22
TW200614349A (en) 2006-05-01
US20060138566A1 (en) 2006-06-29
JP2006013503A (en) 2006-01-12
US7595010B2 (en) 2009-09-29

Similar Documents

Publication Publication Date Title
US7361611B2 (en) Doped nitride film, doped oxide film and other doped films
JP4906270B2 (en) New materials for contact and etch layers that improve device performance
US7585752B2 (en) Process for deposition of semiconductor films
CN100452318C (en) Method for producing gate stack sidewall spacers
US7351670B2 (en) Method for producing silicon nitride films and process for fabricating semiconductor devices using said method
US20060019032A1 (en) Low thermal budget silicon nitride formation for advance transistor fabrication
US6268299B1 (en) Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
JP2006013503A5 (en)
WO2012044622A2 (en) Low-temperature dielectric film formation by chemical vapor deposition
EP2673799B1 (en) Epitaxy of high tensile silicon alloy for tensile strain applications
US7119016B2 (en) Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
US20060216882A1 (en) Using Oxynitride Spacer to Reduce Parasitic Capacitance in CMOS Devices
EP0935284A1 (en) CVD of silicon containing film using Si2H6
CN101577221A (en) Polysilicon membrane forming method and polysilicon gate forming method
CN107749394B (en) Preparation method of silicon nitride film
CN101740620B (en) Semiconductor device with grid side wall layer and forming method thereof
KR101934773B1 (en) Low temperature process for forming a silicon-containing thin layer
US6429149B1 (en) Low temperature LPCVD PSG/BPSG process
Moradi et al. Properties of polysilicon films deposited on amorphous substrates using reactive plasma beam deposition technique
US20040188684A1 (en) Selective deposition of smooth silicon, germanium, and silicon-germanium alloy epitaxial films
US7910468B1 (en) Methods and compositions for preparing Ge/Si semiconductor substrates
Tsai Plasma deposition of amorphous and crystalline silicon: the effect of hydrogen on the growth, structure and electronic properties
CN101593700A (en) side wall, semiconductor device and forming method thereof
KR20150114628A (en) Method for manufacturing Silicon based insulating film

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAKRAVARTI, ASHIMA B.;HOLT, JUDSON;CHAN, KEVIN K.;AND OTHERS;REEL/FRAME:014789/0553;SIGNING DATES FROM 20040623 TO 20040628

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910