US20060040052A1 - Methods for depositing tungsten layers employing atomic layer deposition techniques - Google Patents

Methods for depositing tungsten layers employing atomic layer deposition techniques Download PDF

Info

Publication number
US20060040052A1
US20060040052A1 US10/418,728 US41872803A US2006040052A1 US 20060040052 A1 US20060040052 A1 US 20060040052A1 US 41872803 A US41872803 A US 41872803A US 2006040052 A1 US2006040052 A1 US 2006040052A1
Authority
US
United States
Prior art keywords
tungsten
substrate surface
soak
layer
nucleation layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/418,728
Inventor
Hongbin Fang
Hyungsuk Yoon
Ken Lai
Chi Young
Chao-Ming Huang
Ming Xi
Michael Yang
Hua Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/418,728 priority Critical patent/US20060040052A1/en
Priority to US11/038,592 priority patent/US7405158B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAI, KEN KAUNG, YOON, HYUNGSUK (ALEX), YANG, MICHAEL X., CHUNG, HUA, YOUNG, CHI CHUNG (YANG), XI, MING, HUANG, CHAO-MING, FANG, HONGBIN
Publication of US20060040052A1 publication Critical patent/US20060040052A1/en
Priority to US12/179,002 priority patent/US7745333B2/en
Priority to US12/239,046 priority patent/US7732327B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Definitions

  • Embodiments of the invention relate to the processing of semiconductor substrates. More particularly, embodiments of the invention relate to deposition of tungsten layers on semiconductor substrates using ALD techniques.
  • CVD Chemical Vapor Deposition
  • a variant of CVD that demonstrates superior step coverage, compared to CVD, is cyclical deposition or atomic layer deposition (ALD).
  • Cyclical deposition is based upon Atomic Layer Epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles.
  • the cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor and the purge gas.
  • the first and second precursors react to form a product compound as a film on the substrate surface.
  • the cycle is repeated to form the layer to a desired thickness.
  • Depositing tungsten by traditional CVD methods is attendant with several disadvantages.
  • ALD processes deposit tungsten films into vias containing high aspect ratios (e.g., 20), whereas CVD processes will usually cause similar vias to “pinch-off” and not completely fill.
  • blanket deposition of a tungsten layer on a semiconductor wafer is time-consuming at temperatures below 400° C.
  • the deposition rate of tungsten may be improved by increasing the deposition temperature to, for example, about 500° C. to about 550° C. However, temperatures in this higher range may compromise the structural and operational integrity of the underlying portions of the integrated circuit being formed.
  • tungsten has also frustrated photolithography steps during the manufacturing process as it results in a relatively rough surface having a reflectivity of 70% or less than that of silicon (thickness and wavelength dependent). Further, tungsten has proven difficult to deposit uniformly. Poor surface uniformity typically increases film resistivity.
  • Embodiments of the invention include an improved method for forming a tungsten layer on a substrate surface.
  • the method includes forming a tungsten layer on a substrate surface, comprising positioning the substrate surface in a processing chamber, exposing the substrate surface to a soak for a predetermined time, wherein the soak comprises a soak compound and depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas, wherein the reducing gas comprises a reductant different than the soak compound.
  • the method includes forming a tungsten layer on a substrate surface, comprising exposing a substrate surface to diborane at a pressure range from about 1 Torr to about 50 Torr and at a temperature range from about 100° C. to about 400° C., depositing a nucleation layer by alternately pulsing a tungsten-containing compound and silane gas and forming a bulk tungsten deposition film on the nucleation layer.
  • the method includes forming a tungsten layer on a substrate surface, comprising positioning the substrate surface in a processing chamber, exposing the substrate surface to a diborane soak for a predetermined time, depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and reducing gas, wherein the reducing gas comprises a reductant and forming a bulk tungsten deposition film on the nucleation layer.
  • FIG. 1 illustrates a process sequence for the formation of a tungsten layer using a cyclical deposition technique according to one embodiment described herein.
  • FIG. 2 depicts a schematic cross-sectional view of a process chamber useful for practicing the cyclical deposition techniques described herein.
  • FIG. 3A shows an exemplary integrated processing platform.
  • FIG. 3B shows another exemplary integrated processing platform.
  • FIGS. 4 A-C show cross sectional views of a via, a nucleated via and a filled via.
  • FIG. 5 shows a cross sectional view of an exemplary metal oxide gate device formed according to an embodiment of the invention.
  • FIG. 6 shows a cross sectional view of a conventional DRAM device formed according to an embodiment of the invention.
  • Embodiments of the invention provide an improved process for depositing tungsten films.
  • the process utilizes a cyclical deposition process, such as an atomic layer deposition (ALD) technique and provides tungsten films having significantly improved surface uniformity and production level throughput.
  • ALD atomic layer deposition
  • the process includes a soak prior to tungsten deposition to activate the underlying substrate surface.
  • the underlying surface is exposed to diborane (B 2 H 6 ) or silane (SiH 4 ) although it is believed that other borane soaks or silane soaks will achieve similar results.
  • the soak occurs in-situ in a range from about 5 seconds to about 90 seconds at similar processing conditions as a subsequent tungsten cyclical deposition process, thereby significantly increasing production throughput.
  • a “substrate surface”, as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride.
  • a substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the two or more reactive compounds are alternatively introduced into a reaction zone of a processing chamber.
  • Each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface.
  • a first precursor or compound A is pulsed into the reaction zone followed by a first time delay.
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone.
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • FIG. 1 illustrates an exemplary process sequence 100 for forming an improved tungsten film according to one embodiment of the present invention.
  • a substrate to be processed is first loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 110 ). The substrate is then exposed to a soak lasting in a range from about 5 seconds to about 90 seconds (step 120 ).
  • a pulse of a tungsten-containing compound accompanied with a suitable carrier gas is introduced into the processing chamber (step 130 ).
  • a pulse of gas is then pulsed into the processing chamber (step 140 ) to purge or otherwise remove any residual tungsten-containing compound or by-products.
  • a pulse of a reducing compound accompanied with a suitable carrier gas is introduced into the processing chamber (step 150 ).
  • the reducing gas may be the same compound as the gas used for the soak step (step 120 ) or alternatively, the reducing gas may be a different compound, depending on the product throughput requirements and the device applications.
  • a pulse of gas is then introduced into the processing chamber (step 160 ) to purge or otherwise remove any residual reducing compound.
  • Suitable carrier gases or purge gases include helium, argon, nitrogen, hydrogen, forming gas and combinations thereof.
  • the borane compounds utilize argon or nitrogen as a carrier gas and the silane compounds use hydrogen, argon or nitrogen as the carrier gas.
  • a “pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • the duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
  • each deposition cycle forms a layer with a thickness in the range from about 1 ⁇ to about 10 ⁇ .
  • subsequent deposition cycles may be needed to deposit tungsten nucleation layer having a desired thickness.
  • a deposition cycle (steps 130 through 160 ) can be repeated until the desired thickness for the tungsten film is achieved. Thereafter, the process is stopped as indicated by step 180 when the desired thickness is achieved.
  • Suitable tungsten-containing compounds include tungsten hexafluoride (WF 6 ), tungsten hexachloride (WCl 6 ), tungsten carbonyl (W(CO) 6 ), bis(cyclopentadienyl)tungsten dichloride (Cp 2 WCl 2 ) and mesitylene tungsten tricarbonyl (C 9 H 12 W(CO) 3 ), as well as derivatives thereof.
  • Suitable reducing compounds and soak compounds include silane compounds, borane compounds and hydrogen.
  • Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane and derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, triethylborane and derivatives thereof.
  • Preferred reducing compounds and soak compounds include silane, disilane, diborane and hydrogen.
  • the substrate surface is exposed to a soak at a temperature in the range from about 100° C. to about 600° C., preferably in the range from about 100° C. to about 400° C., more preferably in the range from about 300° C. to about 350° C.
  • the soak step (step 120 ) is typically performed at a pressure in the range from about 1 Torr to about 150 Torr, preferably in the range from about 5 Torr to about 90 Torr. In some embodiments, a pressure range is from about 5 Torr to about 20 Torr. In another embodiment, the pressure is about 40 Torr.
  • the soak is usually conducted to the substrate surface for a time in the range from about 5 seconds to about 90 seconds. In one aspect, the soak will last for about 60 seconds or less.
  • the soak will last for about 30 seconds or less. In another aspect, the soak will last for about 10 seconds.
  • the soak includes a soak compound and usually has a carrier gas.
  • the flow rate of the soak compound is generally in the range from about 10 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm.
  • the flow rate of the carrier gas is generally in the range from about 10 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm.
  • diborane is introduced with hydrogen, each having a flow rate between about 50 sccm and about 500 sccm.
  • the diborane and hydrogen gases are introduced in a 1:1 volumetric ratio.
  • silane is introduced with hydrogen, each having a flow rate between about 50 sccm and about 500 sccm. Therefore, in step 120 , the soak compound is preferably diborane or silane.
  • the cyclical deposition process or ALD process of FIG. 1 typically occurs at a pressure in the range from about 1 Torr to about 150 Torr, preferably in the range from about 5 Torr to about 90 Torr. In some embodiments, a pressure range is from about 5 Torr to about 20 Torr. In another embodiment, the pressure is about 40 Torr. In one embodiment, the pressure of the soak is maintained for the subsequent ALD process.
  • the temperature of the substrate can be as low as ambient temperature, about 20° C. However, the temperature is usually in the range from about 100° C. to about 600° C., preferably in the range from about 100° C. to about 400° C., more preferably in the range from about 300° C. to about 350° C. In an embodiment, the temperature of the soak is maintained for the subsequent ALD process.
  • the tungsten-containing compound is preferably tungsten hexafluoride and introduced at a rate in the range from about 5 sccm to about 200 sccm.
  • the tungsten-containing compound can be introduced with a carrier gas, such as argon with a flow rate in the range from about 50 sccm to about 1,000 sccm.
  • the reducing-compound is preferably diborane or silane and introduced at a rate in the range from about 5 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm.
  • the reducing-compound can be introduced with a carrier gas, such as hydrogen, with a flow rate in the range from about 50 sccm to about 2,000 sccm.
  • the pulses of a purge gas, preferably argon or nitrogen, at steps 140 and 160 are typically introduced at a rate from about 50 sccm to about 2,000 sccm, preferably at about 500 sccm.
  • Each processing step (steps 130 through 160 ) lasts from about 0.01 second to about 10 seconds, preferably in the range from about 0.1 second to about 1 second. Longer processing steps, such as about 30 seconds or about 60 seconds, achieve tungsten deposition. However, the throughput is reduced.
  • the specific pressures and times are obtained through experimentation. In one example, a 300 mm diameter wafer needs about twice the flow rate as a 200 mm diameter wafer in order to maintain similar throughput.
  • FIG. 2 illustrates a schematic, partial cross section of an exemplary processing chamber 16 useful for depositing a tungsten layer according to the embodiments described above.
  • a processing chamber 16 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques”, filed on Dec. 12, 2001, which is hereby incorporated by reference in its entirety.
  • the processing chamber 16 includes a chamber body 14 , a lid assembly 20 for gas delivery and a thermally controlled substrate support member 46 .
  • the thermally controlled substrate support member 46 includes a wafer support pedestal 48 connected to a support shaft 48 A.
  • the thermally controlled substrate support member 46 may be moved vertically within the chamber body 14 so that a distance between the support pedestal 48 and the lid assembly 20 may be controlled.
  • An example of a lifting mechanism for the support pedestal 48 is described in detail in commonly assigned U.S. Pat. No. 5,951,776, issued Sep. 14, 1999, entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
  • the support pedestal 48 includes an embedded thermocouple 50 A that may be used to monitor the temperature thereof.
  • a signal from the thermocouple 50 A may be used in a feedback loop to control the power applied by a power source 52 to a heater element 52 A.
  • the heater element 52 A may be a resistive heater element or other thermal transfer device disposed within or disposed in contact with the pedestal 48 utilized to control the temperature thereof.
  • the support pedestal 48 may be heated using a heat transfer fluid (not shown).
  • the support pedestal 48 may be formed from any process-compatible material, including aluminum, aluminum alloys, aluminum nitride and aluminum oxide (Al 2 O 3 or alumina) and may also be configured to hold a substrate 49 thereon employing a vacuum, i.e., support pedestal 48 may be a vacuum chuck. Using a vacuum chuck, the support pedestal 48 may include a plurality of vacuum holes (not shown) that are placed in fluid communication with a vacuum source via the support shaft 48 A.
  • the chamber body 14 includes a liner assembly 54 having a cylindrical portion and a planar portion.
  • the cylindrical portion and the planar portion may be formed from any suitable material such as aluminum, ceramic and the like.
  • the cylindrical portion surrounds the support pedestal 48 .
  • the cylindrical portion also includes an aperture 60 that aligns with the slit valve opening 44 disposed in a side wall 14 B of the housing 14 to allow entry and egress of substrates from the chamber 16 .
  • the planar portion of the liner assembly 54 extends transversely to the cylindrical portion and is disposed against a chamber bottom 14 A of the chamber body 14 .
  • the liner assembly 54 defines a chamber channel 58 between the chamber body 14 and both the cylindrical portion and planar portion of the liner assembly 54 .
  • a first portion of channel 58 is defined between the chamber bottom 14 A and planar portion of the liner assembly 54 .
  • a second portion of channel 58 is defined between the sidewall. 14 B of the chamber body 14 and the cylindrical portion of the liner assembly 54 .
  • a purge gas is introduced into the channel 58 to minimize unwanted deposition on the chamber walls and to control the rate of heat transfer between the chamber walls and the liner assembly 54 .
  • the chamber body 14 also includes a pumping channel 62 disposed along the sidewalls 14 B thereof.
  • the pumping channel 62 includes a plurality of apertures, one of which is shown as a first aperture 62 A.
  • the pumping channel 62 includes a second aperture 62 B that is coupled to a pump system 18 by a conduit 66 .
  • a throttle valve 18 A is coupled between the pumping channel 62 and the pump system 18 .
  • the pumping channel 62 , the throttle valve 18 A, and the pump system 18 control the amount of gas flow from the processing chamber 16 .
  • the size, number, and position of the apertures 62 A in communication with the chamber 16 are configured to achieve uniform flow of gases exiting the lid assembly 20 over the support pedestal 48 having a substrate disposed thereon.
  • the lid assembly 20 includes a lid plate 20 A having a gas manifold 34 mounted thereon.
  • the lid plate 20 A provides a fluid tight seal with an upper portion of the chamber body 14 when in a closed position.
  • the gas manifold 34 includes a plurality of control valves 32 (only one shown) to provide rapid and precise gas flow with valve open and close cycles of less than about one second, and in one embodiment, of less than about 0.1 second.
  • the valves 32 are surface mounted, electronically controlled valves. Values that may be utilized are available from Fujikin of Japan.
  • the lid assembly 20 further includes a plurality of gas sources 68 A, 68 B, 68 C, each in fluid communication with one of the valves 32 through a sequence of conduits (not shown) formed through the chamber body 14 , lid assembly 20 , and gas manifold 34 .
  • the processing chamber 16 further includes a reaction zone 75 that is formed within the chamber body 14 when the lid assembly 20 is in a closed position.
  • the reaction zone 75 includes the volume within the processing chamber 16 that is in fluid communication with a wafer 102 disposed therein.
  • the reaction zone 75 therefore, includes the volume downstream of each valve 32 within the lid assembly 20 , and the volume between the support pedestal 48 and the lower surface of the lid plate 20 . More particularly, the reaction zone 75 includes the volume between the outlet of each valve 32 and an upper surface of the substrate 49 .
  • a controller 70 regulates the operations of the various components of the processing chamber 16 .
  • the controller 70 includes a processor 72 in data communication with memory, such as random access memory 74 and a hard disk drive 76 and is in communication with at least the pump system 18 , the power source 52 , and the valves 32 .
  • Software routines are executed to initiate process recipes or sequences.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to aspects of the present invention.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • a tungsten nucleation layer as described above has shown particular utility when integrated with traditional bulk fill techniques to form features with excellent film properties.
  • An integration scheme can include ALD or cyclical deposition nucleation with bulk fill chemical vapor deposition (CVD) or physical vapor deposition (PVD) processes.
  • Integrated processing systems capable of performing such an integration scheme include an Endura®, Endura SL®, Centura® and Producer® processing systems, each available from Applied Materials, Inc. located in Santa Clara, Calif. Any of these systems can be configured to include at least one cyclical deposition chamber for depositing the nucleation layer and at least one CVD chamber or PVD chamber for bulk fill.
  • FIG. 3A is a schematic top-view diagram of an exemplary multi-chamber processing system 300 .
  • a similar multi-chamber processing system is disclosed in commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein.
  • the system 300 generally includes load lock chambers 302 , 304 for the transfer of substrates into and out from the system 300 .
  • the load lock chambers 302 , 304 may “pump down” the substrates introduced into the system 300 .
  • a first robot 310 may transfer the substrates between the load lock chambers 302 , 304 , and a first set of one or more substrate processing chambers 312 , 314 , 316 , 318 (four are shown). Each processing chamber 312 , 314 , 316 , 318 , can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, CVD, PVD, etch, pre-clean, de-gas, orientation and other substrate processes. The first robot 310 also transfers substrates to/from one or more transfer chambers 322 , 324 .
  • the transfer chambers 322 , 324 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 300 .
  • a second robot 330 may transfer the substrates between the transfer chambers 322 , 324 and a second set of one or more processing chambers 332 , 334 , 336 , 338 .
  • the processing chambers 332 , 334 , 336 , 338 can be outfitted to perform a variety of substrate processing operations, such as cyclical deposition, CVD, PVD, etch, pre-clean, de-gas, and orientation, for example. Any of the substrate processing chambers 312 , 314 , 316 , 318 , 332 , 334 , 336 , 338 may be removed from the system 300 if not necessary for a particular process to be performed by the system 300 .
  • each processing chamber 332 and 338 may be a cyclical deposition chamber adapted to deposit a nucleation layer; each processing chamber 334 and 336 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to form a bulk fill deposition layer; each processing chamber 312 and 314 may be a physical vapor deposition chamber, a chemical t vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 316 and 318 may be an etch chamber outfitted to etch apertures or openings for interconnect features.
  • This one particular arrangement of the system 300 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • Another integrated system may include nucleation deposition as well as bulk fill deposition in a single chamber.
  • a chamber configured to operate in both a cyclical deposition mode as well as a conventional CVD mode can be used.
  • One example of such a chamber is described in commonly assigned U.S. patent application Ser. No. 10/016,300, filed on Dec. 12, 2001, which is incorporated herein by reference.
  • one or more cyclical deposition nucleation chambers are integrated onto a first processing system while one or more bulk layer deposition chambers are integrated onto a second processing system.
  • substrates are first processed in the first system where a nucleation layer is deposited on a substrate. Thereafter, the substrates are moved to the second processing system where bulk deposition occurs.
  • FIG. 3B is a schematic top-view diagram of an exemplary multi-chamber processing system 350 .
  • the system 350 generally includes load lock chambers 352 , 354 for the transfer of substrates into and out from the system 350 .
  • the load lock chambers 352 , 354 may “pump down” the substrates introduced into the system 350 .
  • a robot 360 may transfer the substrates between the load lock chambers 352 , 354 , and substrate processing chambers 362 , 364 , 366 , 368 , 370 and 372 .
  • Each processing chamber 362 , 364 , 366 , 368 , 370 and 372 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes.
  • the robot 360 also transfers substrates to/from a transfer chamber 356 . Any of the substrate processing chambers 362 , 364 , 366 , 368 , 370 and 372 may be removed from the system 350 if not necessary for a particular process to be performed by the system 350 .
  • each processing chamber 364 and 370 may be a cyclical deposition chamber adapted to deposit a nucleation layer; each processing chamber 366 and 368 may be a cyclical deposition chamber, a chemical vapor deposition chamber or a physical vapor deposition chamber adapted to form a bulk fill deposition layer.
  • This one particular arrangement of the system 350 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • a carousel type batch processing system having a plurality of stations in a single chamber can be adapted to incorporate nucleation and bulk layer deposition into a single processing system.
  • a purge gas curtain such as an argon gas curtain
  • the substrates are loaded into the system sequentially and then rotated through each station and processed at least partially at each station.
  • a substrate may be exposed to a cyclical deposition nucleation step at a first station and then to partial bulk fill CVD steps at each of the subsequent stations.
  • nucleation may occur at more than one station and bulk fill may occur at one or more stations.
  • the nucleation layer and the bulk layer may be deposited in separate carousel type systems.
  • the soak and the nucleation steps are completed in one carousel while the bulk steps are done on another carousel, wherein both carousels are part of the same process system.
  • Each platen can be temperature controlled to provide at least some process control at each station.
  • the process pressure typically remains the same between stations because the stations are housed in a single chamber. Some pressure control may be available in a micro or mini environment present at each station due to the inert gas curtain.
  • the nucleation layer is typically deposited to a thickness ranging from about 10 ⁇ to about 200 ⁇ and the bulk fill has a thickness in the range from about 100 ⁇ to about 10,000 ⁇ , preferably in the range from about 1,000 ⁇ to about 5,000 ⁇ .
  • the thickness of these films can vary depending on the feature sizes and aspect ratios of a given application. Accordingly, the films are suitably sized to accommodate the geometries of a given application.
  • the following are some exemplary geometries and applications that can benefit from a nucleation layer deposited according to embodiments described herein. The following descriptions are intended for illustrative purposes only, and are not intended to limit the uses of the present invention.
  • FIGS. 4 A-C show cross sectional views of a semiconductor feature that an embodiment of the process is utilized to fill a via 460 .
  • the substrate 450 includes at least one via 460 .
  • a barrier layer 451 such as titanium nitride, is deposited by ALD, CVD or PVD techniques to the substrate 450 with via 460 .
  • a soak is administered to barrier layer 451 prior to the nucleation of a tungsten layer 452 , as depicted in FIG. 4B .
  • the soak process renders the sidewalls, of the barrier layer 451 within the via 460 , to adhere and grow the tungsten layer 452 at about the same rate as the barrier layer 451 outside the via 460 .
  • tungsten layer 452 on the sidewalls is not constant with respect to the growth of tungsten layer 452 outside the via 460 .
  • the bulk fill of tungsten layer 452 is progressed to fill the via 460 , as demonstrated in FIG. 4C .
  • an ALD process is continued after deposition of a tungsten nucleation layer to deposit the tungsten bulk fill layer.
  • FIG. 5 shows a cross sectional view of an exemplary metal oxide gate device 400 utilizing a nucleation layer deposited according to embodiments described herein.
  • the device 400 generally includes an exposed gate 410 surrounded by spacers 416 and silicon source/drain areas 420 formed within a substrate surface 412 .
  • the spacers 416 typically include an oxide, such as silicon dioxide, or a nitride, such as silicon nitride.
  • the metal gate 410 includes an oxide layer 411 , a polysilicon layer 414 , a titanium nitride barrier layer 415 and a tungsten layer 422 .
  • the oxide layer 411 separates the substrate 412 from the polysilicon layer 414 .
  • the oxide layer 411 and the polysilicon layer 414 are deposited using conventional deposition techniques.
  • the titanium nitride barrier layer 415 is deposited on the polysilicon layer 414 .
  • the titanium nitride barrier layer 415 may be a bi-layer stack formed by depositing a PVD titanium layer followed by a CVD titanium nitride layer.
  • the titanium nitride barrier layer 415 may also be deposited using a cyclical deposition technique, such as the process shown and described in commonly assigned and co-pending U.S. patent application Ser. No. 10/032,293, filed on Dec. 21, 2001, entitled “Chamber Hardware Design for Titanium Nitride Atomic Layer Deposition”, which is incorporated by reference herein.
  • a soak process is administered to the substrate surface.
  • the soak includes a silane compound or a borane compound along with at least one carrier gas.
  • a preferred silane compound is silane, a preferred borane compound is diborane and a preferred carrier gas is either hydrogen and/or argon.
  • silane has a flow rate in the range from about 25 sccm to about 500 sccm and hydrogen has a flow rate in the range from about 200 sccm to about 700 sccm.
  • the soak is conducted at a temperature in the range from about 100° C.
  • diborane has a flow rate in the range from about 25 sccm to about 500 sccm and hydrogen and/or argon has a flow rate in the range from about 200 sccm to about 700 sccm.
  • the soak is conducted at a temperature in the range from about 100° C.
  • a nucleation layer 417 is then cyclically deposited over the barrier layer 415 following treatment of the substrate surface with a soak process.
  • the nucleation layer 417 is cyclically deposited using alternating pulses of tungsten hexafluoride and diborane.
  • the tungsten hexafluoride is pulsed at a rate of between about 1 sccm and about 100 sccm, such as between about 5 sccm and about 50 sccm, for about 0.3 seconds.
  • a carrier gas such as argon, is provided along with the tungsten hexafluoride at a rate of about 100 sccm to about 1,000 sccm, such as between about 100 sccm to about 500 sccm.
  • the diborane is pulsed at a rate of about 50 sccm and about 1,000 sccm, such as between about 400 sccm and about 600 sccm, for about 0.3 seconds.
  • a carrier gas, such as hydrogen is provided along with the diborane at a rate between about 50 sccm to about 500 sccm, such as between about 100 sccm to about 300 sccm.
  • the substrate is maintained at a temperature between about 100° C.
  • argon is pulsed for about 0.5 seconds to purge or otherwise remove any reactive compounds from the processing chamber.
  • the nucleation layer 417 is cyclically deposited using alternating pulses of tungsten hexafluoride and silane.
  • the tungsten hexafluoride is pulsed as described above with argon for about 0.5 seconds.
  • the silane is pulsed at a rate of about 1 sccm to about 100 sccm, such as between about 5 sccm to about 50 sccm, for about 0.5 seconds.
  • a carrier gas, such as hydrogen, is provided along with the silane at a rate of about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 500 sccm.
  • Argon is pulsed at a rate of about 100 sccm to about 1,000 sccm, such as between about 300 sccm to about 700 sccm, for about 0.5 seconds between the pulses of the tungsten hexafluoride and the pulses of silane.
  • the substrate is maintained at a temperature between about 100° C. and about 400° C., preferably at about 300° C., at a chamber pressure between about 1 Torr and about 30 Torr.
  • a nucleation layer formed by alternating pulses of tungsten hexafluoride and a reducing compound with a soak treatment has advantages over a nucleation layer formed by alternating pulses of tungsten hexafluoride and the same reducing compound without the prior soak.
  • the tungsten film shows less stress for the integrated film, as well as, less fluorine content at the interface of the nucleation layer.
  • the nucleation layer deposited post a soak treatment has higher uniformity coverage and is deposited quicker due to a reduced incubation period.
  • a tungsten bulk fill 422 is then deposited on the tungsten nucleation layer 417 .
  • the tungsten bulk fill 422 may be deposited by alternately adsorbing a tungsten-containing compound and a reducing compound as described above.
  • a more detailed description of tungsten deposition using a cyclical depositon technique may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques”, filed on Dec. 12, 2001 and in commonly assigned U.S. patent application Ser. No.
  • the top portion of the resulting structure 400 may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example.
  • Portions of the tungsten bulk fill 422 are removed from the top of the structure leaving a fully planar surface.
  • the intermediate surfaces of the structure may be planarized between the depositions of the subsequent layers described above.
  • FIG. 6 is a cross sectional view of a conventional DRAM device having a transistor 520 positioned adjacent a top portion of a trench capacitor 530 .
  • the access transistor 520 for the DRAM device 510 is positioned adjacent a top portion of the trench capacitor 530 .
  • the access transistor 520 comprises an n-p-n transistor having a source region 522 , a gate region 524 and a drain region 526 .
  • the gate region 524 is a P-doped silicon epi-layer disposed over the P+ substrate.
  • the source region 522 of the access transistor 520 is an N+ doped material disposed on a first side of the gate region 524 and the drain region 526 is an N+ doped material disposed on a second side of the gate region 524 , opposite the source region 522 .
  • the source and drain regions 522 , 524 may be connected to a tungsten plug 560 .
  • Each tungsten plug 560 includes a titanium liner 562 , a tungsten nucleation layer 564 , and a bulk tungsten fill 566 .
  • the titanium liner 562 may be a bi-layer stack comprising PVD titanium followed by CVD titanium nitride.
  • the titanium liner 562 may be a bi-layer stack comprising ALD deposited titanium followed by ALD deposited titanium nitride.
  • the tungsten nucleation layer 564 is formed using the soak and cyclical deposition techniques as described above.
  • the tungsten bulk fill 566 may be deposited using any conventional deposition techniques, including ALD, CVD and PVD.
  • the trench capacitor 530 generally includes a first electrode 532 , a second electrode 534 and a dielectric material 536 disposed therebetween.
  • the P+ substrate serves as a first electrode 532 of the trench capacitor 530 and is connected to a ground connection 541 .
  • a trench 538 is formed in the P+ substrate and filled with a heavily doped N+ polysilicon that serves as the second electrode 534 of the trench capacitor 530 .
  • the dielectric material 536 is disposed between the first electrode 532 (i.e., P+ substrate) and the second electrode 534 (i.e., N+ polysilicon).
  • the trench capacitor 530 also includes a first tungsten nitride barrier layer 540 disposed between the dielectric material 536 and the first electrode 532 .
  • a second tungsten nitride barrier layer 542 is disposed between the dielectric material 536 and the second electrode 534 .
  • the barrier layers 540 , 542 are a combination film, such as W/WN.
  • DRAM device utilizes an n-p-n transistor, a P+ substrate as a first electrode, and an N+ polysilicon as a second electrode of the capacitor
  • transistor designs and electrode materials are contemplated by the present invention to form DRAM devices.
  • other devices, such as crown capacitors for example, are contemplated by the present invention.
  • Ti titanium
  • TiN titanium nitride
  • Duration about 10 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 50 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 2 percent.
  • Duration about 30 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 100 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 4 percent.
  • Duration about 60 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 75 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 3 percent.
  • Duration about 10 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 50 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 1 percent.
  • substrates were prepared with a barrier layer prior to a soak and subsequent tungsten deposition.
  • a titanium (Ti) layer was deposited by PVD on a 300 mm substrate surface to a thickness of about 100 ⁇ for Examples 5-8.
  • a titanium nitride (TiN) layer was deposited on the Ti layer using an atomic layer deposition (ALD) process to a thickness of about 80 ⁇ to form a Ti/TiN barrier layer.
  • ALD atomic layer deposition
  • Duration about 15 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 50 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 2 percent.
  • Duration about 10 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 100 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 4 percent.
  • Duration about 60 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 75 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 3 percent.
  • Duration about 10 seconds.
  • tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • the cycle was repeated until the nucleation layer had a thickness of about 50 ⁇ .
  • a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 ⁇ .
  • the resulting tungsten bulk fill film exhibited a uniformity variance of less than about 1 percent.

Abstract

A method for forming a tungsten layer on a substrate surface is provided. In one aspect, the method includes positioning the substrate surface in a processing chamber and exposing the substrate surface to a soak. A nucleation layer is then deposited on the substrate surface in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas selected from a group consisting of silane, disilane, dichlorosilane and derivatives thereof. A tungsten bulk layer may then be deposited on the nucleation layer using cyclical deposition, chemical vapor deposition, or physical vapor deposition techniques.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation-in-part of U.S. patent application Ser. No. 10/268,195, entitled “Method for Depositing Refractory Metal Layers Employing Sequential Deposition Techniques”, filed on Oct. 10, 2002, which claims priority to U.S. Provisional Patent Application Ser. No. 60/328,451, entitled “Method and Apparatus for Depositing Refractory Metal Layers Employing Sequential Deposition Techniques”, filed on Oct. 10, 2001, which are both hereby incorporated by reference in their entirety.
  • BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention
  • Embodiments of the invention relate to the processing of semiconductor substrates. More particularly, embodiments of the invention relate to deposition of tungsten layers on semiconductor substrates using ALD techniques.
  • 2. Description of the Related Art
  • The semiconductor processing industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area of the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer thickness rises. As a result, various technologies have been developed to deposit layers on substrates in a cost-effective manner, while maintaining control over the characteristics of the layer.
  • Chemical Vapor Deposition (CVD) is one of the most common deposition processes employed for depositing layers on a substrate. CVD is a flux-dependent deposition technique that requires precise control of the substrate temperature and the precursors introduced into the processing chamber in order to produce a desired layer of uniform thickness. These requirements become more critical as substrate size increases, creating a need for more complexity in chamber design and gas flow technique to maintain adequate uniformity.
  • A variant of CVD that demonstrates superior step coverage, compared to CVD, is cyclical deposition or atomic layer deposition (ALD). Cyclical deposition is based upon Atomic Layer Epitaxy (ALE) and employs chemisorption techniques to deliver precursor molecules on a substrate surface in sequential cycles. The cycle exposes the substrate surface to a first precursor, a purge gas, a second precursor and the purge gas. The first and second precursors react to form a product compound as a film on the substrate surface. The cycle is repeated to form the layer to a desired thickness.
  • Formation of film layers at a high deposition rate while providing adequate step coverage are conflicting characteristics often necessitating the sacrifice of one to obtain the other. This conflict is true particularly when refractory metal layers are deposited over gaps or vias during the formation of contacts interconnecting adjacent metallic layers separated by dielectric layers. Historically, CVD techniques have been employed to deposit conductive material such as refractory metals in order to inexpensively and quickly form contacts. Due to the increasing integration of semiconductor circuitry, tungsten has been used based upon superior step coverage. As a result, deposition of tungsten employing CVD techniques enjoys wide application in semiconductor processing due to the high throughput of the process.
  • Depositing tungsten by traditional CVD methods, however, is attendant with several disadvantages. For example, ALD processes deposit tungsten films into vias containing high aspect ratios (e.g., 20), whereas CVD processes will usually cause similar vias to “pinch-off” and not completely fill. Also, blanket deposition of a tungsten layer on a semiconductor wafer is time-consuming at temperatures below 400° C. The deposition rate of tungsten may be improved by increasing the deposition temperature to, for example, about 500° C. to about 550° C. However, temperatures in this higher range may compromise the structural and operational integrity of the underlying portions of the integrated circuit being formed. Use of tungsten has also frustrated photolithography steps during the manufacturing process as it results in a relatively rough surface having a reflectivity of 70% or less than that of silicon (thickness and wavelength dependent). Further, tungsten has proven difficult to deposit uniformly. Poor surface uniformity typically increases film resistivity.
  • Therefore, there is a need for an improved technique to deposit conductive layers with good uniformity using cyclical deposition techniques.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention include an improved method for forming a tungsten layer on a substrate surface. In one aspect, the method includes forming a tungsten layer on a substrate surface, comprising positioning the substrate surface in a processing chamber, exposing the substrate surface to a soak for a predetermined time, wherein the soak comprises a soak compound and depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas, wherein the reducing gas comprises a reductant different than the soak compound.
  • In another aspect, the method includes forming a tungsten layer on a substrate surface, comprising exposing a substrate surface to diborane at a pressure range from about 1 Torr to about 50 Torr and at a temperature range from about 100° C. to about 400° C., depositing a nucleation layer by alternately pulsing a tungsten-containing compound and silane gas and forming a bulk tungsten deposition film on the nucleation layer.
  • In yet another aspect, the method includes forming a tungsten layer on a substrate surface, comprising positioning the substrate surface in a processing chamber, exposing the substrate surface to a diborane soak for a predetermined time, depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and reducing gas, wherein the reducing gas comprises a reductant and forming a bulk tungsten deposition film on the nucleation layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 illustrates a process sequence for the formation of a tungsten layer using a cyclical deposition technique according to one embodiment described herein.
  • FIG. 2 depicts a schematic cross-sectional view of a process chamber useful for practicing the cyclical deposition techniques described herein.
  • FIG. 3A shows an exemplary integrated processing platform.
  • FIG. 3B shows another exemplary integrated processing platform.
  • FIGS. 4A-C show cross sectional views of a via, a nucleated via and a filled via.
  • FIG. 5 shows a cross sectional view of an exemplary metal oxide gate device formed according to an embodiment of the invention.
  • FIG. 6 shows a cross sectional view of a conventional DRAM device formed according to an embodiment of the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the invention provide an improved process for depositing tungsten films. The process utilizes a cyclical deposition process, such as an atomic layer deposition (ALD) technique and provides tungsten films having significantly improved surface uniformity and production level throughput. In one aspect, the process includes a soak prior to tungsten deposition to activate the underlying substrate surface. Preferably, the underlying surface is exposed to diborane (B2H6) or silane (SiH4) although it is believed that other borane soaks or silane soaks will achieve similar results. In general, the soak occurs in-situ in a range from about 5 seconds to about 90 seconds at similar processing conditions as a subsequent tungsten cyclical deposition process, thereby significantly increasing production throughput.
  • A “substrate surface”, as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Barrier layers, metals or metal nitrides on a substrate surface include titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride. A substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface. The two or more reactive compounds are alternatively introduced into a reaction zone of a processing chamber. Each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first precursor or compound A is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay a purge gas, such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the desired thickness.
  • FIG. 1 illustrates an exemplary process sequence 100 for forming an improved tungsten film according to one embodiment of the present invention. A substrate to be processed is first loaded into a process chamber capable of performing cyclical deposition and the process conditions are adjusted (step 110). The substrate is then exposed to a soak lasting in a range from about 5 seconds to about 90 seconds (step 120). A pulse of a tungsten-containing compound accompanied with a suitable carrier gas is introduced into the processing chamber (step 130). A pulse of gas is then pulsed into the processing chamber (step 140) to purge or otherwise remove any residual tungsten-containing compound or by-products. Next, a pulse of a reducing compound accompanied with a suitable carrier gas is introduced into the processing chamber (step 150). The reducing gas may be the same compound as the gas used for the soak step (step 120) or alternatively, the reducing gas may be a different compound, depending on the product throughput requirements and the device applications. A pulse of gas is then introduced into the processing chamber (step 160) to purge or otherwise remove any residual reducing compound.
  • Suitable carrier gases or purge gases include helium, argon, nitrogen, hydrogen, forming gas and combinations thereof. Typically, the borane compounds utilize argon or nitrogen as a carrier gas and the silane compounds use hydrogen, argon or nitrogen as the carrier gas.
  • A “pulse” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. The duration of each pulse is variable depending upon a number of factors such as, for example, the volume capacity of the process chamber employed, the vacuum system coupled thereto, and the volatility/reactivity of the particular compound itself.
  • Referring to step 170, after each deposition cycle (steps 130 through 160), a tungsten nucleation layer having a particular thickness will be deposited on the substrate surface. Usually, each deposition cycle forms a layer with a thickness in the range from about 1 Å to about 10 Å. Depending on specific device requirements, subsequent deposition cycles may be needed to deposit tungsten nucleation layer having a desired thickness. As such, a deposition cycle (steps 130 through 160) can be repeated until the desired thickness for the tungsten film is achieved. Thereafter, the process is stopped as indicated by step 180 when the desired thickness is achieved.
  • Suitable tungsten-containing compounds include tungsten hexafluoride (WF6), tungsten hexachloride (WCl6), tungsten carbonyl (W(CO)6), bis(cyclopentadienyl)tungsten dichloride (Cp2WCl2) and mesitylene tungsten tricarbonyl (C9H12W(CO)3), as well as derivatives thereof. Suitable reducing compounds and soak compounds include silane compounds, borane compounds and hydrogen. Silane compounds include silane, disilane, trisilane, tetrasilane, chlorosilane, dichlorosilane, tetrachlorosilane, hexachlorodisilane and derivatives thereof, while borane compounds include borane, diborane, triborane, tetraborane, pentaborane, triethylborane and derivatives thereof. Preferred reducing compounds and soak compounds include silane, disilane, diborane and hydrogen.
  • The substrate surface is exposed to a soak at a temperature in the range from about 100° C. to about 600° C., preferably in the range from about 100° C. to about 400° C., more preferably in the range from about 300° C. to about 350° C. The soak step (step 120) is typically performed at a pressure in the range from about 1 Torr to about 150 Torr, preferably in the range from about 5 Torr to about 90 Torr. In some embodiments, a pressure range is from about 5 Torr to about 20 Torr. In another embodiment, the pressure is about 40 Torr. The soak is usually conducted to the substrate surface for a time in the range from about 5 seconds to about 90 seconds. In one aspect, the soak will last for about 60 seconds or less. In another aspect, the soak will last for about 30 seconds or less. In another aspect, the soak will last for about 10 seconds. The soak includes a soak compound and usually has a carrier gas. The flow rate of the soak compound is generally in the range from about 10 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm. The flow rate of the carrier gas is generally in the range from about 10 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm.
  • In one aspect, diborane is introduced with hydrogen, each having a flow rate between about 50 sccm and about 500 sccm. Preferably, the diborane and hydrogen gases are introduced in a 1:1 volumetric ratio. In another aspect, silane is introduced with hydrogen, each having a flow rate between about 50 sccm and about 500 sccm. Therefore, in step 120, the soak compound is preferably diborane or silane.
  • The cyclical deposition process or ALD process of FIG. 1 typically occurs at a pressure in the range from about 1 Torr to about 150 Torr, preferably in the range from about 5 Torr to about 90 Torr. In some embodiments, a pressure range is from about 5 Torr to about 20 Torr. In another embodiment, the pressure is about 40 Torr. In one embodiment, the pressure of the soak is maintained for the subsequent ALD process. The temperature of the substrate can be as low as ambient temperature, about 20° C. However, the temperature is usually in the range from about 100° C. to about 600° C., preferably in the range from about 100° C. to about 400° C., more preferably in the range from about 300° C. to about 350° C. In an embodiment, the temperature of the soak is maintained for the subsequent ALD process.
  • In step 130, the tungsten-containing compound is preferably tungsten hexafluoride and introduced at a rate in the range from about 5 sccm to about 200 sccm. The tungsten-containing compound can be introduced with a carrier gas, such as argon with a flow rate in the range from about 50 sccm to about 1,000 sccm. In step 150, the reducing-compound is preferably diborane or silane and introduced at a rate in the range from about 5 sccm to about 2,000 sccm, preferably in the range from about 50 sccm to about 500 sccm. The reducing-compound can be introduced with a carrier gas, such as hydrogen, with a flow rate in the range from about 50 sccm to about 2,000 sccm. The pulses of a purge gas, preferably argon or nitrogen, at steps 140 and 160, are typically introduced at a rate from about 50 sccm to about 2,000 sccm, preferably at about 500 sccm. Each processing step (steps 130 through 160) lasts from about 0.01 second to about 10 seconds, preferably in the range from about 0.1 second to about 1 second. Longer processing steps, such as about 30 seconds or about 60 seconds, achieve tungsten deposition. However, the throughput is reduced. The specific pressures and times are obtained through experimentation. In one example, a 300 mm diameter wafer needs about twice the flow rate as a 200 mm diameter wafer in order to maintain similar throughput.
  • FIG. 2 illustrates a schematic, partial cross section of an exemplary processing chamber 16 useful for depositing a tungsten layer according to the embodiments described above. Such a processing chamber 16 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques”, filed on Dec. 12, 2001, which is hereby incorporated by reference in its entirety.
  • Referring to FIG. 2, the processing chamber 16 includes a chamber body 14, a lid assembly 20 for gas delivery and a thermally controlled substrate support member 46. The thermally controlled substrate support member 46 includes a wafer support pedestal 48 connected to a support shaft 48A. The thermally controlled substrate support member 46 may be moved vertically within the chamber body 14 so that a distance between the support pedestal 48 and the lid assembly 20 may be controlled. An example of a lifting mechanism for the support pedestal 48 is described in detail in commonly assigned U.S. Pat. No. 5,951,776, issued Sep. 14, 1999, entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
  • The support pedestal 48 includes an embedded thermocouple 50A that may be used to monitor the temperature thereof. For example, a signal from the thermocouple 50A may be used in a feedback loop to control the power applied by a power source 52 to a heater element 52A. The heater element 52A may be a resistive heater element or other thermal transfer device disposed within or disposed in contact with the pedestal 48 utilized to control the temperature thereof. Optionally, the support pedestal 48 may be heated using a heat transfer fluid (not shown).
  • The support pedestal 48 may be formed from any process-compatible material, including aluminum, aluminum alloys, aluminum nitride and aluminum oxide (Al2O3 or alumina) and may also be configured to hold a substrate 49 thereon employing a vacuum, i.e., support pedestal 48 may be a vacuum chuck. Using a vacuum chuck, the support pedestal 48 may include a plurality of vacuum holes (not shown) that are placed in fluid communication with a vacuum source via the support shaft 48A.
  • The chamber body 14 includes a liner assembly 54 having a cylindrical portion and a planar portion. The cylindrical portion and the planar portion may be formed from any suitable material such as aluminum, ceramic and the like. The cylindrical portion surrounds the support pedestal 48. The cylindrical portion also includes an aperture 60 that aligns with the slit valve opening 44 disposed in a side wall 14B of the housing 14 to allow entry and egress of substrates from the chamber 16.
  • The planar portion of the liner assembly 54 extends transversely to the cylindrical portion and is disposed against a chamber bottom 14A of the chamber body 14. The liner assembly 54 defines a chamber channel 58 between the chamber body 14 and both the cylindrical portion and planar portion of the liner assembly 54. Specifically, a first portion of channel 58 is defined between the chamber bottom 14A and planar portion of the liner assembly 54. A second portion of channel 58 is defined between the sidewall. 14B of the chamber body 14 and the cylindrical portion of the liner assembly 54. A purge gas is introduced into the channel 58 to minimize unwanted deposition on the chamber walls and to control the rate of heat transfer between the chamber walls and the liner assembly 54.
  • The chamber body 14 also includes a pumping channel 62 disposed along the sidewalls 14B thereof. The pumping channel 62 includes a plurality of apertures, one of which is shown as a first aperture 62A. The pumping channel 62 includes a second aperture 62B that is coupled to a pump system 18 by a conduit 66. A throttle valve 18A is coupled between the pumping channel 62 and the pump system 18. The pumping channel 62, the throttle valve 18A, and the pump system 18 control the amount of gas flow from the processing chamber 16. The size, number, and position of the apertures 62A in communication with the chamber 16 are configured to achieve uniform flow of gases exiting the lid assembly 20 over the support pedestal 48 having a substrate disposed thereon.
  • The lid assembly 20 includes a lid plate 20A having a gas manifold 34 mounted thereon. The lid plate 20A provides a fluid tight seal with an upper portion of the chamber body 14 when in a closed position. The gas manifold 34 includes a plurality of control valves 32 (only one shown) to provide rapid and precise gas flow with valve open and close cycles of less than about one second, and in one embodiment, of less than about 0.1 second. The valves 32 are surface mounted, electronically controlled valves. Values that may be utilized are available from Fujikin of Japan.
  • The lid assembly 20 further includes a plurality of gas sources 68A, 68B, 68C, each in fluid communication with one of the valves 32 through a sequence of conduits (not shown) formed through the chamber body 14, lid assembly 20, and gas manifold 34.
  • The processing chamber 16 further includes a reaction zone 75 that is formed within the chamber body 14 when the lid assembly 20 is in a closed position. Generally, the reaction zone 75 includes the volume within the processing chamber 16 that is in fluid communication with a wafer 102 disposed therein. The reaction zone 75, therefore, includes the volume downstream of each valve 32 within the lid assembly 20, and the volume between the support pedestal 48 and the lower surface of the lid plate 20. More particularly, the reaction zone 75 includes the volume between the outlet of each valve 32 and an upper surface of the substrate 49.
  • A controller 70 regulates the operations of the various components of the processing chamber 16. The controller 70 includes a processor 72 in data communication with memory, such as random access memory 74 and a hard disk drive 76 and is in communication with at least the pump system 18, the power source 52, and the valves 32.
  • Software routines are executed to initiate process recipes or sequences. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. For example, software routines may be used to precisely control the activation of the electronic control valves for the execution of process sequences according to aspects of the present invention. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software or hardware.
  • Process Integration
  • A tungsten nucleation layer as described above has shown particular utility when integrated with traditional bulk fill techniques to form features with excellent film properties. An integration scheme can include ALD or cyclical deposition nucleation with bulk fill chemical vapor deposition (CVD) or physical vapor deposition (PVD) processes. Integrated processing systems capable of performing such an integration scheme include an Endura®, Endura SL®, Centura® and Producer® processing systems, each available from Applied Materials, Inc. located in Santa Clara, Calif. Any of these systems can be configured to include at least one cyclical deposition chamber for depositing the nucleation layer and at least one CVD chamber or PVD chamber for bulk fill.
  • FIG. 3A is a schematic top-view diagram of an exemplary multi-chamber processing system 300. A similar multi-chamber processing system is disclosed in commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein. The system 300 generally includes load lock chambers 302, 304 for the transfer of substrates into and out from the system 300. Typically, since the system 300 is under vacuum, the load lock chambers 302, 304 may “pump down” the substrates introduced into the system 300. A first robot 310 may transfer the substrates between the load lock chambers 302, 304, and a first set of one or more substrate processing chambers 312, 314, 316, 318 (four are shown). Each processing chamber 312, 314, 316, 318, can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, CVD, PVD, etch, pre-clean, de-gas, orientation and other substrate processes. The first robot 310 also transfers substrates to/from one or more transfer chambers 322, 324.
  • The transfer chambers 322, 324, are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 300. A second robot 330 may transfer the substrates between the transfer chambers 322, 324 and a second set of one or more processing chambers 332, 334, 336, 338. Similar to processing chambers 312, 314, 316, 318, the processing chambers 332, 334, 336, 338 can be outfitted to perform a variety of substrate processing operations, such as cyclical deposition, CVD, PVD, etch, pre-clean, de-gas, and orientation, for example. Any of the substrate processing chambers 312, 314, 316, 318, 332, 334, 336, 338 may be removed from the system 300 if not necessary for a particular process to be performed by the system 300.
  • In one arrangement, each processing chamber 332 and 338 may be a cyclical deposition chamber adapted to deposit a nucleation layer; each processing chamber 334 and 336 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to form a bulk fill deposition layer; each processing chamber 312 and 314 may be a physical vapor deposition chamber, a chemical t vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 316 and 318 may be an etch chamber outfitted to etch apertures or openings for interconnect features. This one particular arrangement of the system 300 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • Another integrated system may include nucleation deposition as well as bulk fill deposition in a single chamber. A chamber configured to operate in both a cyclical deposition mode as well as a conventional CVD mode can be used. One example of such a chamber is described in commonly assigned U.S. patent application Ser. No. 10/016,300, filed on Dec. 12, 2001, which is incorporated herein by reference.
  • In another integration scheme, one or more cyclical deposition nucleation chambers are integrated onto a first processing system while one or more bulk layer deposition chambers are integrated onto a second processing system. In this configuration, substrates are first processed in the first system where a nucleation layer is deposited on a substrate. Thereafter, the substrates are moved to the second processing system where bulk deposition occurs.
  • FIG. 3B is a schematic top-view diagram of an exemplary multi-chamber processing system 350. The system 350 generally includes load lock chambers 352, 354 for the transfer of substrates into and out from the system 350. Typically, since the system 350 is under vacuum, the load lock chambers 352, 354 may “pump down” the substrates introduced into the system 350. A robot 360 may transfer the substrates between the load lock chambers 352, 354, and substrate processing chambers 362, 364, 366, 368, 370 and 372. Each processing chamber 362, 364, 366, 368, 370 and 372 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, CVD, PVD, etch, pre-clean, de-gas, heat, orientation and other substrate processes. The robot 360 also transfers substrates to/from a transfer chamber 356. Any of the substrate processing chambers 362, 364, 366, 368, 370 and 372 may be removed from the system 350 if not necessary for a particular process to be performed by the system 350.
  • In one arrangement, each processing chamber 364 and 370 may be a cyclical deposition chamber adapted to deposit a nucleation layer; each processing chamber 366 and 368 may be a cyclical deposition chamber, a chemical vapor deposition chamber or a physical vapor deposition chamber adapted to form a bulk fill deposition layer. This one particular arrangement of the system 350 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • Alternatively, a carousel type batch processing system having a plurality of stations in a single chamber can be adapted to incorporate nucleation and bulk layer deposition into a single processing system. In such a processing system a purge gas curtain, such as an argon gas curtain, can be established between each station creating a micro or mini environment at each station. The substrates are loaded into the system sequentially and then rotated through each station and processed at least partially at each station. For example, a substrate may be exposed to a cyclical deposition nucleation step at a first station and then to partial bulk fill CVD steps at each of the subsequent stations. Alternatively, nucleation may occur at more than one station and bulk fill may occur at one or more stations. Still further, the nucleation layer and the bulk layer may be deposited in separate carousel type systems. In another aspect, the soak and the nucleation steps are completed in one carousel while the bulk steps are done on another carousel, wherein both carousels are part of the same process system. Each platen can be temperature controlled to provide at least some process control at each station. However, the process pressure typically remains the same between stations because the stations are housed in a single chamber. Some pressure control may be available in a micro or mini environment present at each station due to the inert gas curtain.
  • Regardless of the integration scheme, the nucleation layer is typically deposited to a thickness ranging from about 10 Å to about 200 Å and the bulk fill has a thickness in the range from about 100 Å to about 10,000 Å, preferably in the range from about 1,000 Å to about 5,000 Å. However, the thickness of these films can vary depending on the feature sizes and aspect ratios of a given application. Accordingly, the films are suitably sized to accommodate the geometries of a given application. The following are some exemplary geometries and applications that can benefit from a nucleation layer deposited according to embodiments described herein. The following descriptions are intended for illustrative purposes only, and are not intended to limit the uses of the present invention.
  • FIGS. 4A-C show cross sectional views of a semiconductor feature that an embodiment of the process is utilized to fill a via 460. In FIG. 4A, the substrate 450 includes at least one via 460. A barrier layer 451, such as titanium nitride, is deposited by ALD, CVD or PVD techniques to the substrate 450 with via 460. Prior to the nucleation of a tungsten layer 452, as depicted in FIG. 4B, a soak is administered to barrier layer 451. The soak process renders the sidewalls, of the barrier layer 451 within the via 460, to adhere and grow the tungsten layer 452 at about the same rate as the barrier layer 451 outside the via 460. When the soak process is omitted, growth of tungsten layer 452 on the sidewalls is not constant with respect to the growth of tungsten layer 452 outside the via 460. Once nucleation of tungsten layer 452 has been deposited, then the bulk fill of tungsten layer 452 is progressed to fill the via 460, as demonstrated in FIG. 4C. In one embodiment, an ALD process is continued after deposition of a tungsten nucleation layer to deposit the tungsten bulk fill layer.
  • Tungsten Metal Gate
  • FIG. 5 shows a cross sectional view of an exemplary metal oxide gate device 400 utilizing a nucleation layer deposited according to embodiments described herein. The device 400 generally includes an exposed gate 410 surrounded by spacers 416 and silicon source/drain areas 420 formed within a substrate surface 412. The spacers 416 typically include an oxide, such as silicon dioxide, or a nitride, such as silicon nitride.
  • The metal gate 410 includes an oxide layer 411, a polysilicon layer 414, a titanium nitride barrier layer 415 and a tungsten layer 422. The oxide layer 411 separates the substrate 412 from the polysilicon layer 414. The oxide layer 411 and the polysilicon layer 414 are deposited using conventional deposition techniques.
  • The titanium nitride barrier layer 415 is deposited on the polysilicon layer 414. The titanium nitride barrier layer 415 may be a bi-layer stack formed by depositing a PVD titanium layer followed by a CVD titanium nitride layer. The titanium nitride barrier layer 415 may also be deposited using a cyclical deposition technique, such as the process shown and described in commonly assigned and co-pending U.S. patent application Ser. No. 10/032,293, filed on Dec. 21, 2001, entitled “Chamber Hardware Design for Titanium Nitride Atomic Layer Deposition”, which is incorporated by reference herein.
  • A soak process is administered to the substrate surface. The soak includes a silane compound or a borane compound along with at least one carrier gas. A preferred silane compound is silane, a preferred borane compound is diborane and a preferred carrier gas is either hydrogen and/or argon. In one aspect, silane has a flow rate in the range from about 25 sccm to about 500 sccm and hydrogen has a flow rate in the range from about 200 sccm to about 700 sccm. The soak is conducted at a temperature in the range from about 100° C. to about 400° C., preferably at about 300° C., a pressure in the range from about 1 Torr to about 120 Torr, preferably at about 30 Torr to about 120 Torr and a period of time from 5 seconds to about 90 seconds. In another aspect, diborane has a flow rate in the range from about 25 sccm to about 500 sccm and hydrogen and/or argon has a flow rate in the range from about 200 sccm to about 700 sccm. The soak is conducted at a temperature in the range from about 100° C. to about 400° C., preferably at about 300° C., a pressure in the range from about 1 Torr to about 120 Torr, preferably at about 1 Torr to about 50 Torr, and a period of time from 5 seconds to about 90 seconds, preferably less than about 60 seconds.
  • A nucleation layer 417 is then cyclically deposited over the barrier layer 415 following treatment of the substrate surface with a soak process. In one aspect, the nucleation layer 417 is cyclically deposited using alternating pulses of tungsten hexafluoride and diborane. The tungsten hexafluoride is pulsed at a rate of between about 1 sccm and about 100 sccm, such as between about 5 sccm and about 50 sccm, for about 0.3 seconds. A carrier gas, such as argon, is provided along with the tungsten hexafluoride at a rate of about 100 sccm to about 1,000 sccm, such as between about 100 sccm to about 500 sccm. The diborane is pulsed at a rate of about 50 sccm and about 1,000 sccm, such as between about 400 sccm and about 600 sccm, for about 0.3 seconds. A carrier gas, such as hydrogen, is provided along with the diborane at a rate between about 50 sccm to about 500 sccm, such as between about 100 sccm to about 300 sccm. The substrate is maintained at a temperature between about 100° C. and about 400° C., preferably at about 300° C., at a chamber pressure between about 1 Torr and about 120 Torr, preferably between about 1 Torr and about 50 Torr. In between pulses of the tungsten hexafluoride and the diborane, argon is pulsed for about 0.5 seconds to purge or otherwise remove any reactive compounds from the processing chamber.
  • In another aspect, the nucleation layer 417 is cyclically deposited using alternating pulses of tungsten hexafluoride and silane. The tungsten hexafluoride is pulsed as described above with argon for about 0.5 seconds. The silane is pulsed at a rate of about 1 sccm to about 100 sccm, such as between about 5 sccm to about 50 sccm, for about 0.5 seconds. A carrier gas, such as hydrogen, is provided along with the silane at a rate of about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 500 sccm. Argon is pulsed at a rate of about 100 sccm to about 1,000 sccm, such as between about 300 sccm to about 700 sccm, for about 0.5 seconds between the pulses of the tungsten hexafluoride and the pulses of silane. The substrate is maintained at a temperature between about 100° C. and about 400° C., preferably at about 300° C., at a chamber pressure between about 1 Torr and about 30 Torr.
  • A nucleation layer formed by alternating pulses of tungsten hexafluoride and a reducing compound with a soak treatment has advantages over a nucleation layer formed by alternating pulses of tungsten hexafluoride and the same reducing compound without the prior soak. The tungsten film shows less stress for the integrated film, as well as, less fluorine content at the interface of the nucleation layer. Also, the nucleation layer deposited post a soak treatment has higher uniformity coverage and is deposited quicker due to a reduced incubation period.
  • A tungsten bulk fill 422 is then deposited on the tungsten nucleation layer 417. Although any metal deposition process, such as conventional chemical vapor deposition or physical vapor deposition, may be used, the tungsten bulk fill 422 may be deposited by alternately adsorbing a tungsten-containing compound and a reducing compound as described above. A more detailed description of tungsten deposition using a cyclical depositon technique may be found in commonly assigned U.S. patent application Ser. No. 10/016,300, entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques”, filed on Dec. 12, 2001 and in commonly assigned U.S. patent application Ser. No. 10/082,048, entitled “Deposition Of Tungsten Films For Dynamic Random Access Memory (DRAM) Application”, filed on Feb. 20, 2002, which are both incorporated herein by reference. Fewer volcanos appear on the surface of the tungsten film deposited utilizing a soak, as compared to tungsten films deposited without exploiting a soak, post tungsten bulk-fill.
  • Following deposition, the top portion of the resulting structure 400 may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Santa Clara, Calif., for example. Portions of the tungsten bulk fill 422 are removed from the top of the structure leaving a fully planar surface. Optionally, the intermediate surfaces of the structure may be planarized between the depositions of the subsequent layers described above.
  • FIG. 6 is a cross sectional view of a conventional DRAM device having a transistor 520 positioned adjacent a top portion of a trench capacitor 530. The access transistor 520 for the DRAM device 510 is positioned adjacent a top portion of the trench capacitor 530. Preferably, the access transistor 520 comprises an n-p-n transistor having a source region 522, a gate region 524 and a drain region 526. The gate region 524 is a P-doped silicon epi-layer disposed over the P+ substrate. The source region 522 of the access transistor 520 is an N+ doped material disposed on a first side of the gate region 524 and the drain region 526 is an N+ doped material disposed on a second side of the gate region 524, opposite the source region 522.
  • The source and drain regions 522, 524 may be connected to a tungsten plug 560. Each tungsten plug 560 includes a titanium liner 562, a tungsten nucleation layer 564, and a bulk tungsten fill 566. The titanium liner 562 may be a bi-layer stack comprising PVD titanium followed by CVD titanium nitride. Alternatively, the titanium liner 562 may be a bi-layer stack comprising ALD deposited titanium followed by ALD deposited titanium nitride. The tungsten nucleation layer 564 is formed using the soak and cyclical deposition techniques as described above. The tungsten bulk fill 566 may be deposited using any conventional deposition techniques, including ALD, CVD and PVD.
  • The trench capacitor 530 generally includes a first electrode 532, a second electrode 534 and a dielectric material 536 disposed therebetween. The P+ substrate serves as a first electrode 532 of the trench capacitor 530 and is connected to a ground connection 541. A trench 538 is formed in the P+ substrate and filled with a heavily doped N+ polysilicon that serves as the second electrode 534 of the trench capacitor 530. The dielectric material 536 is disposed between the first electrode 532 (i.e., P+ substrate) and the second electrode 534 (i.e., N+ polysilicon).
  • The trench capacitor 530 also includes a first tungsten nitride barrier layer 540 disposed between the dielectric material 536 and the first electrode 532. Preferably, a second tungsten nitride barrier layer 542 is disposed between the dielectric material 536 and the second electrode 534. Alternatively, the barrier layers 540, 542 are a combination film, such as W/WN.
  • Although the above-described DRAM device utilizes an n-p-n transistor, a P+ substrate as a first electrode, and an N+ polysilicon as a second electrode of the capacitor, other transistor designs and electrode materials are contemplated by the present invention to form DRAM devices. Additionally, other devices, such as crown capacitors for example, are contemplated by the present invention.
  • EXAMPLES
  • Substrates were prepared with a barrier layer prior to a soak and subsequent tungsten deposition. A titanium (Ti) layer was deposited by PVD on a 200 mm substrate surface to a thickness of about 100 Å for Examples 1-4. A titanium nitride (TiN) layer was deposited on the Ti layer using an atomic layer deposition (ALD) process to a thickness of about 80 Å to form a Ti/TiN barrier layer.
  • Example 1 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: B2H6;
  • Pressure: about 5 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 150 sccm B2H6 and 150 sccm H2; and
  • Duration: about 10 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and B2H6;
  • Pressure: about 5 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 20 sccm WF6 and 300 sccm Ar;
      • pulse B: 150 sccm B2H6 and 150 sccm H2;
      • Ar-purge: 500 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.2 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.2 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 50 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 2 percent.
  • Example 2 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: SiH4;
  • Pressure: about 90 Torr;
  • Temperature: about 350° C.;
  • Flow rates: 75 sccm SiH4 and 500 sccm H2; and
  • Duration: about 30 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 10 Torr;
  • Temperature: about 350° C.;
  • Flow rates: pulse A: 30 sccm WF6 and 300 sccm Ar;
      • pulse B: 20 sccm SiH4 and 300 sccm H2;
      • Ar purge: 500 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.3 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.3 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 100 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 4 percent.
  • Example 3 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: SiH4;
  • Pressure: about 90 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 75 sccm SiH4 and 500 sccm H2; and
  • Duration: about 60 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 20 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 20 sccm WF6 and 300 sccm Ar;
      • pulse B: 15 sccm SiH4 and 300 sccm H2;
      • Ar purge: 500 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.5 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.5 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 75 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 3 percent.
  • Example 4 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: B2H6;
  • Pressure: about 15 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 150 sccm B2H6 and 150 sccm H2; and
  • Duration: about 10 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 15 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 20 sccm WF6 and 300 sccm Ar;
      • pulse B: 15 sccm SiH4 and 300 sccm H2;
      • Ar purge: 500 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.3 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.3 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 50 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 1 percent.
  • In another set of examples, substrates were prepared with a barrier layer prior to a soak and subsequent tungsten deposition. A titanium (Ti) layer was deposited by PVD on a 300 mm substrate surface to a thickness of about 100 Å for Examples 5-8. A titanium nitride (TiN) layer was deposited on the Ti layer using an atomic layer deposition (ALD) process to a thickness of about 80 Å to form a Ti/TiN barrier layer.
  • Example 5 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: B2H6;
  • Pressure: about 5 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 200 sccm B2H6 and 500 sccm Ar; and
  • Duration: about 15 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and B2H6;
  • Pressure: about 5 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 50 sccm WF6 and 600 sccm Ar;
      • pulse B: 150 sccm B2H6 and 500 sccm Ar;
      • Ar-purge: 1,000 sccm;
  • Cycle duration: Ar-purge: 0.3 seconds;
      • pulse A: 0.2 seconds;
      • Ar-purge: 0.3 seconds; and
      • pulse B: 0.3 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 50 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 2 percent.
  • Example 6 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: SiH4;
  • Pressure: about 90 Torr;
  • Temperature: about 350° C.;
  • Flow rates: 200 sccm SiH4; 1,000 sccm H2 and 1,000 sccm Ar;
  • Duration: about 10 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 90 Torr;
  • Temperature: about 350° C.;
  • Flow rates: pulse A: 50 sccm WF6 and 600 sccm Ar;
      • pulse B: 30 sccm SiH4 and 500 sccm Ar;
      • Ar purge: 1,000 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.3 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.3 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 100 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 4 percent.
  • Example 7 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: SiH4;
  • Pressure: about 90 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 150 sccm SiH4 and 1,000 sccm H2; and
  • Duration: about 60 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 20 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 40 sccm WF6 and 600 sccm Ar;
      • pulse B: 30 sccm SiH4 and 600 sccm H2;
      • Ar purge: 1,000 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.5 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.5 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 75 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 3 percent.
  • Example 8 The Substrate Surface was Exposed to a Soak Under the Following Conditions
  • Reagent: B2H6;
  • Pressure: about 15 Torr;
  • Temperature: about 300° C.;
  • Flow rates: 300 sccm B2H6 and 300 sccm H2; and
  • Duration: about 10 seconds.
  • Next, a tungsten nucleation layer was formed on the barrier layer using an ALD process under the following conditions:
  • Reagents: WF6 and SiH4;
  • Pressure: about 15 Torr;
  • Temperature: about 300° C.;
  • Flow rates: pulse A: 40 sccm WF6 and 600 sccm Ar;
      • pulse B: 30 sccm SiH4 and 600 sccm H2;
      • Ar purge: 1,000 sccm;
  • Cycle duration: Ar-purge: 0.5 seconds;
      • pulse A: 0.3 seconds;
      • Ar-purge: 0.5 seconds; and
      • pulse B: 0.3 seconds.
  • The cycle was repeated until the nucleation layer had a thickness of about 50 Å. Finally, a bulk tungsten layer was deposited on the nucleation layer using CVD to a thickness of about 2,500 Å. The resulting tungsten bulk fill film exhibited a uniformity variance of less than about 1 percent.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (36)

1. A method for forming a tungsten layer on a substrate surface, comprising:
positioning the substrate surface in a processing chamber;
exposing the substrate surface to a soak for a predetermined time, wherein the soak comprises a soak compound; and
depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas,-wherein the reducing gas comprises a reductant different than the soak compound.
2. The method of claim 1, wherein the reductant is selected from the group consisting of hydrogen, silane, disilane, trisilane, dichlorosilane, borane, diborane, derivatives thereof, and combinations thereof.
3. The method of claim 2, wherein the nucleation layer is deposited by alternately pulsing tungsten hexafluoride and silane.
4. The method of claim 2, wherein the nucleation layer is deposited by alternately pulsing tungsten hexafluoride and diborane.
5. The method of claim 4, wherein the nucleation layer has a thickness in a range from about 10 Å to about 200 Å.
6. The method of claim 2, wherein the tungsten-containing compound is selected from the group consisting of tungsten hexafluoride and tungsten carbonyl.
7. The method of claim 6, wherein the soak compound is selected from the group consisting of hydrogen, borane, diborane, hydrogen, silane, disilane, trisilane, dichlorosilane, derivatives thereof and combinations thereof.
8. The method of claim 7, wherein exposing the substrate surface to the soak for the predetermined time is in a range from about 5 seconds to about 90 seconds.
9. The method of claim 1, further comprising forming a bulk tungsten deposition film on the nucleation layer using atomic layer deposition, chemical vapor deposition or physical vapor deposition techniques.
10. The method of claim 7, wherein exposing the substrate surface to the soak is at a temperature in a range from about 100° C. to about 400° C.
11. The method of claim 7, wherein the substrate surface comprises titanium nitride.
12. A method for forming a tungsten layer on a substrate surface, comprising:
exposing a substrate surface to diborane at a pressure range from about 1 Torr to about 50 Torr and at a temperature range from about 100° C. to about 400° C.;
depositing a nucleation layer by alternately pulsing a tungsten-containing compound and silane gas; and
forming a bulk tungsten deposition film on the nucleation layer.
13. The method of claim 12, wherein exposing the substrate surface to diborane and depositing the nucleation layer occurs in the same chamber.
14. The method of claim 13, wherein the nucleation layer has a thickness in a range from about 10 Å to about 200 Å.
15. The method of claim 14, wherein the bulk tungsten deposition film has a thickness in a range from about 100 Å to about 5,000 Å.
16. The method of claim 13, wherein exposing the substrate surface to diborane for a predetermined time is in a range from about 5 seconds to about 90 seconds.
17. The method of claim 12, wherein the substrate surface comprise a barrier layer selected from the group consisting of titanium, titanium nitride, tungsten nitride, tantalum and tantalum nitride.
18. A method for forming a tungsten layer on a substrate surface, comprising:
positioning the substrate surface in a processing chamber;
exposing the substrate surface to a diborane soak for a predetermined time;
depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas, wherein the reducing gas comprises a reductant; and
forming a bulk tungsten deposition film on the nucleation layer.
19. The method of claim 18, wherein the nucleation layer has a thickness in a range from about 10 Å to about 200 Å.
20. The method of claim 19, wherein the bulk tungsten deposition film has a thickness in a range from about 100 Å to about 5,000 Å.
21. The method of claim 19, wherein the tungsten-containing compound is selected from the group consisting of tungsten hexafluoride and tungsten carbonyl.
22. The method of claim 21, wherein exposing the substrate surface to the diborane soak for the predetermined time is in a range from about 5 seconds to about 90 seconds.
23. The method of claim 22, wherein exposing the substrate surface to the diborane soak is at a temperature in a range from about 100° C. to about 400° C.
24. The method of claim 18, wherein the reductant is selected from the group consisting of hydrogen, silane, disilane, trisilane, dichlorosilane, borane, diborane, derivatives thereof, and combinations thereof.
25. The method of claim 24, wherein the tungsten-containing compound is tungsten hexafluoride and the reductant is silane.
26. The method of claim 24, wherein the tungsten-containing compound is tungsten hexafluoride and the reductant is diborane.
27. A method for forming a tungsten layer on a substrate surface, comprising:
positioning the substrate surface in a processing chamber;
exposing the substrate surface to a soak for a predetermined time, wherein the soak comprises a soak compound selected from the group consisting of hydrogen, borane, diborane, hydrogen, silane, disilane, trisilane, dichlorosilane, derivatives thereof and combinations thereof; and
depositing a nucleation layer in the same processing chamber by alternately pulsing a tungsten-containing compound and a reducing gas, wherein the reducing gas comprises a reductant different than the soak compound.
28. The method of claim 27, wherein the reductant is selected from the group consisting of hydrogen, silane, disilane, trisilane, dichlorosilane, borane, diborane, derivatives thereof, and combinations thereof.
29. The method of claim 28, wherein the nucleation layer is deposited by alternately pulsing tungsten hexafluoride and silane.
30. The method of claim 28, wherein the nucleation layer is deposited by alternately pulsing tungsten hexafluoride and diborane.
31. The method of claim 30, wherein the nucleation layer has a thickness in a range from about 10 Å to about 200 Å.
32. The method of claim 28, wherein the tungsten-containing compound is selected from the group consisting of tungsten hexafluoride and tungsten carbonyl.
33. The method of claim 32, wherein exposing the substrate surface to the soak for the predetermined time is in a range from about 5 seconds to about 90 seconds.
34. The method of claim 28, further comprising forming a bulk tungsten deposition film on the nucleation layer using atomic layer deposition, chemical vapor deposition or physical vapor deposition techniques.
35. The method of claim 32, wherein exposing the substrate surface to the soak is at a temperature in a range from about 100° C. to about 400° C.
36. The method of claim 32, wherein the substrate surface comprises titanium nitride.
US10/418,728 2000-06-28 2003-04-18 Methods for depositing tungsten layers employing atomic layer deposition techniques Abandoned US20060040052A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/418,728 US20060040052A1 (en) 2001-10-10 2003-04-18 Methods for depositing tungsten layers employing atomic layer deposition techniques
US11/038,592 US7405158B2 (en) 2000-06-28 2005-01-19 Methods for depositing tungsten layers employing atomic layer deposition techniques
US12/179,002 US7745333B2 (en) 2000-06-28 2008-07-24 Methods for depositing tungsten layers employing atomic layer deposition techniques
US12/239,046 US7732327B2 (en) 2000-06-28 2008-09-26 Vapor deposition of tungsten materials

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32845101P 2001-10-10 2001-10-10
US10/268,195 US6797340B2 (en) 2001-10-10 2002-10-10 Method for depositing refractory metal layers employing sequential deposition techniques
US10/418,728 US20060040052A1 (en) 2001-10-10 2003-04-18 Methods for depositing tungsten layers employing atomic layer deposition techniques

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/268,195 Continuation-In-Part US6797340B2 (en) 2000-06-28 2002-10-10 Method for depositing refractory metal layers employing sequential deposition techniques
US10/268,195 Continuation US6797340B2 (en) 2000-06-28 2002-10-10 Method for depositing refractory metal layers employing sequential deposition techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/038,592 Continuation-In-Part US7405158B2 (en) 2000-06-28 2005-01-19 Methods for depositing tungsten layers employing atomic layer deposition techniques

Publications (1)

Publication Number Publication Date
US20060040052A1 true US20060040052A1 (en) 2006-02-23

Family

ID=23281040

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/268,195 Expired - Lifetime US6797340B2 (en) 2000-06-28 2002-10-10 Method for depositing refractory metal layers employing sequential deposition techniques
US10/418,728 Abandoned US20060040052A1 (en) 2000-06-28 2003-04-18 Methods for depositing tungsten layers employing atomic layer deposition techniques
US10/879,448 Abandoned US20040247788A1 (en) 2000-06-28 2004-06-29 Method for depositing refractory metal layers employing sequential deposition techniques

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/268,195 Expired - Lifetime US6797340B2 (en) 2000-06-28 2002-10-10 Method for depositing refractory metal layers employing sequential deposition techniques

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/879,448 Abandoned US20040247788A1 (en) 2000-06-28 2004-06-29 Method for depositing refractory metal layers employing sequential deposition techniques

Country Status (5)

Country Link
US (3) US6797340B2 (en)
JP (2) JP4174424B2 (en)
KR (1) KR100978993B1 (en)
TW (1) TW589684B (en)
WO (1) WO2003031679A2 (en)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US20050250321A1 (en) * 2004-05-06 2005-11-10 Eui-Seong Hwang Method for fabricating semiconductor device having diffusion barrier layer
US20060089007A1 (en) * 1998-10-01 2006-04-27 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20060176736A1 (en) * 2002-10-28 2006-08-10 Eliyahou Harari Flash Memory Cell Arrays Having Dual Control Gates Per Memory Cell Charge Storage Element
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080268636A1 (en) * 2001-07-25 2008-10-30 Ki Hwan Yoon Deposition methods for barrier and tungsten materials
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080305629A1 (en) * 2002-02-26 2008-12-11 Shulin Wang Tungsten nitride atomic layer deposition processes
US20080317954A1 (en) * 2001-07-13 2008-12-25 Xinliang Lu Pulsed deposition process for tungsten nucleation
US20090053893A1 (en) * 2005-01-19 2009-02-26 Amit Khandelwal Atomic layer deposition of tungsten materials
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7951669B2 (en) 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
US20170117155A1 (en) * 2015-05-27 2017-04-27 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020210260A1 (en) * 2019-04-11 2020-10-15 Lam Research Corporation High step coverage tungsten deposition
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
CN115011947A (en) * 2022-06-30 2022-09-06 厦门韫茂科技有限公司 Tungsten-deposited diamond powder and composite copper powder material and preparation method thereof
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11549175B2 (en) * 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (en) * 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
AU3229600A (en) * 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
JP2005518088A (en) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4938962B2 (en) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. Metal nitride deposition by ALD using gettering reactant
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7398090B2 (en) * 2002-09-13 2008-07-08 Hewlett-Packard Development Company, L.P. Defining a smart area
JP4031704B2 (en) 2002-12-18 2008-01-09 東京エレクトロン株式会社 Deposition method
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
JP2007523994A (en) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド Atomic layer deposition of barrier materials
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7410864B2 (en) * 2004-04-23 2008-08-12 Infineon Technologies Ag Trench and a trench capacitor and method for forming the same
DE602005016933D1 (en) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc ATOMIC SEPARATION SYSTEM AND METHOD
KR100615093B1 (en) * 2004-08-24 2006-08-22 삼성전자주식회사 Method of manufacturing a non-volatile memory device with nanocrystal storage
KR100550346B1 (en) * 2004-08-24 2006-02-08 삼성전자주식회사 Semiconductor device, method of manufacturing the same and method of manufacturing a shallow trench isolation using the same
KR100648252B1 (en) * 2004-11-22 2006-11-24 삼성전자주식회사 Method of forming a tungsten layer and method of forming a semicondcutor device using the same
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR100745066B1 (en) 2005-03-24 2007-08-01 주식회사 하이닉스반도체 Method for fabricating metal plug of semiconductor device
JP4945937B2 (en) 2005-07-01 2012-06-06 東京エレクトロン株式会社 Tungsten film forming method, film forming apparatus, and storage medium
JP2007048926A (en) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W based film forming method, gate electrode forming method, semiconductor device manufacturing method, and computer-readable storage medium
JP2007046134A (en) * 2005-08-11 2007-02-22 Tokyo Electron Ltd Method for forming metallic film, and recording medium with program recorded therein
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
JP2007194468A (en) * 2006-01-20 2007-08-02 Renesas Technology Corp Semiconductor device, and method of manufacturing same
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101485506B1 (en) 2008-11-19 2015-01-28 주식회사 원익아이피에스 Method for depositing thin film on wafer
KR101462154B1 (en) 2008-12-15 2014-11-14 주식회사 원익아이피에스 Method for depositing W thin film
CN102265383B (en) * 2008-12-31 2014-06-11 应用材料公司 Method of depositing tungsten film with reduced resistivity and improved surface morphology
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
TWI427704B (en) * 2009-07-31 2014-02-21 Ulvac Inc Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
JP5809152B2 (en) 2009-10-20 2015-11-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Method for passivating a dielectric film
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8633109B2 (en) * 2010-08-04 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Soft error rate (SER) reduction in advanced silicon processes
CA2839406C (en) 2011-06-16 2019-10-29 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US8734514B2 (en) 2011-06-16 2014-05-27 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
KR102064627B1 (en) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 Tungsten feature fill
KR101435100B1 (en) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 Atomic layer deposition apparatus
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
KR101971547B1 (en) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 Metal Layer Fabrication Method for Semiconductor Device
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
WO2016011352A1 (en) 2014-07-17 2016-01-21 Applied Materials, Inc. Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102397797B1 (en) * 2015-05-27 2022-05-12 램 리써치 코포레이션 Deposition of low fluorine tungsten by sequential cvd process
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
WO2017070634A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
KR20170120443A (en) * 2016-04-21 2017-10-31 삼성전자주식회사 Method of forming tungsten film and method of fabricating semiconductor device using the same
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (en) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. Plasma Enhanced Deposition Processes for Controlled Formation of Oxygen Containing Thin Film
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11201227B2 (en) * 2018-04-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with barrier layer and method for forming the same
CN114269963A (en) * 2019-08-12 2022-04-01 朗姆研究公司 Tungsten deposition
CN112201748A (en) * 2020-09-27 2021-01-08 昕原半导体(上海)有限公司 Preparation method of tungsten film of resistive random access memory
US20230340662A1 (en) * 2022-04-26 2023-10-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Citations (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010007797A1 (en) * 2000-01-06 2001-07-12 Seong-Dai Jang Method of forming a tungsten plug in a semiconductor device
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020019121A1 (en) * 2000-06-20 2002-02-14 Pyo Sung Gyu Method of forming a metal wiring in a semiconductor device
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020037630A1 (en) * 2000-06-08 2002-03-28 Micron Technology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048880A1 (en) * 2000-08-09 2002-04-25 Joo-Won Lee Method of manufacturing a semiconductor device including metal contact and capacitor
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020105088A1 (en) * 2001-02-08 2002-08-08 Samsung Electronics Co., Ltd. Semiconductor device having multilayer interconnection structure and manfacturing method thereof
US20020105055A1 (en) * 2000-09-28 2002-08-08 Buchanan Walter R. Method for making high voltage device
US20020106846A1 (en) * 2001-02-02 2002-08-08 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020109168A1 (en) * 2001-02-12 2002-08-15 Samsung Electronics Co., Ltd. Ferroelectric memory device and method of forming the same
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US20020121342A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Lid assembly for a processing system to facilitate sequential deposition techniques
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US20030143839A1 (en) * 2000-05-15 2003-07-31 Ivo Raaijmakers Sealing porous structures
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014315A1 (en) * 2001-07-16 2004-01-22 Applied Materials, Inc. Formation of composite tungsten films
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040041320A1 (en) * 2002-08-30 2004-03-04 Honda Giken Kogyo Kabushiki Kaisha Hydraulic shock absorber mounting structure
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002008A (en) * 1933-05-25 1935-05-21 Benjamin Howard Benson Apparatus for blood transfusion
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
FI117944B (en) 1999-10-15 2007-04-30 Asm Int A method for growing transition metal nitride thin films
JPS6065004A (en) * 1983-09-20 1985-04-13 Hiroaki Egawa Chelating resin and its production
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JPH05206081A (en) * 1992-01-28 1993-08-13 Sony Corp Dry etching method
JP3415207B2 (en) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 Metal thin film formation method by chemical vapor deposition
JPH0794727A (en) * 1993-09-21 1995-04-07 Toshiba Corp Method for manufacturing semiconductor device
JPH0794425A (en) * 1993-09-24 1995-04-07 Toshiba Corp Method and device for forming metallic thin film
JP3358328B2 (en) * 1994-10-27 2002-12-16 ソニー株式会社 Method of forming high melting point metal film
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
JP4097747B2 (en) * 1997-08-07 2008-06-11 株式会社アルバック Barrier film formation method
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
WO2000067936A1 (en) 1998-05-06 2000-11-16 H.C. Starck, Inc. Metal powders produced by the reduction of the oxides with gaseous magnesium
KR100278657B1 (en) 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
DE19847012A1 (en) 1998-10-13 2000-04-20 Starck H C Gmbh Co Kg Niobium powder and process for its manufacture
JP3580159B2 (en) 1998-12-18 2004-10-20 東京エレクトロン株式会社 Method of forming tungsten film
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6064847A (en) 1999-06-21 2000-05-16 Sharp Kabushiki Kaisha Developing device
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
JP5173098B2 (en) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. Conformal lining layer for damascene metallization
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6601126B1 (en) * 2000-01-20 2003-07-29 Palmchip Corporation Chip-core framework for systems-on-a-chip
US6274484B1 (en) * 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
KR20030025494A (en) * 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US7041069B2 (en) * 2002-07-23 2006-05-09 Health South Corporation Powered gait orthosis and method of utilizing same

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6451119B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20010007797A1 (en) * 2000-01-06 2001-07-12 Seong-Dai Jang Method of forming a tungsten plug in a semiconductor device
US6599572B2 (en) * 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030129826A1 (en) * 2000-03-07 2003-07-10 Werkhoven Christiaan J. Graded thin films
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US6686271B2 (en) * 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US20030143839A1 (en) * 2000-05-15 2003-07-31 Ivo Raaijmakers Sealing porous structures
US20030134508A1 (en) * 2000-05-15 2003-07-17 Ivo Raaijmakers Controlled conformality with alternating layer deposition
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20020004293A1 (en) * 2000-05-15 2002-01-10 Soininen Pekka J. Method of growing electrical conductors
US20020037630A1 (en) * 2000-06-08 2002-03-28 Micron Technology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20020055235A1 (en) * 2000-06-08 2002-05-09 Micron Technology, Inc., Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20020019121A1 (en) * 2000-06-20 2002-02-14 Pyo Sung Gyu Method of forming a metal wiring in a semiconductor device
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020048880A1 (en) * 2000-08-09 2002-04-25 Joo-Won Lee Method of manufacturing a semiconductor device including metal contact and capacitor
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US20020105055A1 (en) * 2000-09-28 2002-08-08 Buchanan Walter R. Method for making high voltage device
US20020090829A1 (en) * 2000-11-21 2002-07-11 Gurtej Sandhu ALD method to improve surface coverage
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020061612A1 (en) * 2000-11-21 2002-05-23 Gurtej Sandhu Novel film composition
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020106846A1 (en) * 2001-02-02 2002-08-08 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020105088A1 (en) * 2001-02-08 2002-08-08 Samsung Electronics Co., Ltd. Semiconductor device having multilayer interconnection structure and manfacturing method thereof
US20020109168A1 (en) * 2001-02-12 2002-08-15 Samsung Electronics Co., Ltd. Ferroelectric memory device and method of forming the same
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121342A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6420189B1 (en) * 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) * 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20040014315A1 (en) * 2001-07-16 2004-01-22 Applied Materials, Inc. Formation of composite tungsten films
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030104126A1 (en) * 2001-10-10 2003-06-05 Hongbin Fang Method for depositing refractory metal layers employing sequential deposition techniques
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030153181A1 (en) * 2002-02-11 2003-08-14 Applied Materials, Inc. Deposition of tungsten films
US20030161952A1 (en) * 2002-02-26 2003-08-28 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040041320A1 (en) * 2002-08-30 2004-03-04 Honda Giken Kogyo Kabushiki Kaisha Hydraulic shock absorber mounting structure

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20090130837A1 (en) * 1998-10-01 2009-05-21 Applied Materials, Inc. In situ deposition of a low k dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20060089007A1 (en) * 1998-10-01 2006-04-27 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US20100093170A1 (en) * 2000-06-28 2010-04-15 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US20090156004A1 (en) * 2000-06-28 2009-06-18 Moris Kori Method for forming tungsten materials during vapor deposition processes
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US20080280438A1 (en) * 2000-06-28 2008-11-13 Ken Kaung Lai Methods for depositing tungsten layers employing atomic layer deposition techniques
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US20080317954A1 (en) * 2001-07-13 2008-12-25 Xinliang Lu Pulsed deposition process for tungsten nucleation
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US20080268636A1 (en) * 2001-07-25 2008-10-30 Ki Hwan Yoon Deposition methods for barrier and tungsten materials
US20080305629A1 (en) * 2002-02-26 2008-12-11 Shulin Wang Tungsten nitride atomic layer deposition processes
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20100047982A1 (en) * 2002-10-28 2010-02-25 Eliyahou Harari Flash Memory Cell Arrays Having Dual Control Gates Per Memory Cell Charge Storage Element
US7303956B2 (en) 2002-10-28 2007-12-04 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7638834B2 (en) 2002-10-28 2009-12-29 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7486555B2 (en) 2002-10-28 2009-02-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7502261B2 (en) 2002-10-28 2009-03-10 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7994004B2 (en) 2002-10-28 2011-08-09 Sandisk Technologies Inc. Flash memory cell arrays having dual control gates per memory cell charge storage element
US8334180B2 (en) 2002-10-28 2012-12-18 Sandisk Technologies Inc Flash memory cell arrays having dual control gates per memory cell charge storage element
US20060176736A1 (en) * 2002-10-28 2006-08-10 Eliyahou Harari Flash Memory Cell Arrays Having Dual Control Gates Per Memory Cell Charge Storage Element
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US20050250321A1 (en) * 2004-05-06 2005-11-10 Eui-Seong Hwang Method for fabricating semiconductor device having diffusion barrier layer
US20090053893A1 (en) * 2005-01-19 2009-02-26 Amit Khandelwal Atomic layer deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070128863A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7951669B2 (en) 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529722B2 (en) 2015-02-11 2020-01-07 Lam Research Corporation Tungsten for wordline applications
US20170117155A1 (en) * 2015-05-27 2017-04-27 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9978605B2 (en) * 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10546751B2 (en) 2015-05-27 2020-01-28 Lam Research Corporation Forming low resistivity fluorine free tungsten film without nucleation
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11560804B2 (en) 2018-03-19 2023-01-24 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11603767B2 (en) 2018-03-19 2023-03-14 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11384648B2 (en) 2018-03-19 2022-07-12 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US11761094B2 (en) 2018-04-27 2023-09-19 Applied Materials, Inc. Protection of components from corrosion
US11753726B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US11753727B2 (en) 2018-04-27 2023-09-12 Applied Materials, Inc. Protection of components from corrosion
US11549175B2 (en) * 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
CN113710830A (en) * 2019-04-11 2021-11-26 朗姆研究公司 High step coverage tungsten deposition
WO2020210260A1 (en) * 2019-04-11 2020-10-15 Lam Research Corporation High step coverage tungsten deposition
US20220181158A1 (en) * 2019-04-11 2022-06-09 Lam Research Corporation High step coverage tungsten deposition
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN115011947A (en) * 2022-06-30 2022-09-06 厦门韫茂科技有限公司 Tungsten-deposited diamond powder and composite copper powder material and preparation method thereof

Also Published As

Publication number Publication date
US20040247788A1 (en) 2004-12-09
WO2003031679A2 (en) 2003-04-17
WO2003031679A3 (en) 2003-08-21
JP4174424B2 (en) 2008-10-29
US20030104126A1 (en) 2003-06-05
US6797340B2 (en) 2004-09-28
TW589684B (en) 2004-06-01
JP2008303466A (en) 2008-12-18
WO2003031679B1 (en) 2004-05-13
KR20040050073A (en) 2004-06-14
KR100978993B1 (en) 2010-08-30
JP2005505690A (en) 2005-02-24
JP5048602B2 (en) 2012-10-17

Similar Documents

Publication Publication Date Title
US6797340B2 (en) Method for depositing refractory metal layers employing sequential deposition techniques
US7745333B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US8513116B2 (en) Atomic layer deposition of tungsten materials
US7732327B2 (en) Vapor deposition of tungsten materials
US7115499B2 (en) Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6827978B2 (en) Deposition of tungsten films
KR101263856B1 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US6939804B2 (en) Formation of composite tungsten films
KR20080101745A (en) Atomic layer deposition of tungsten materials
US20110100295A1 (en) System and method for forming an integrated barrier layer
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
WO2009042713A1 (en) Vapor deposition of tungsten materials

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, HONGBIN;YOON, HYUNGSUK (ALEX);LAI, KEN KAUNG;AND OTHERS;REEL/FRAME:017080/0903;SIGNING DATES FROM 20050809 TO 20050829

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION