US20060042754A1 - Plasma etching apparatus - Google Patents

Plasma etching apparatus Download PDF

Info

Publication number
US20060042754A1
US20060042754A1 US11/192,029 US19202905A US2006042754A1 US 20060042754 A1 US20060042754 A1 US 20060042754A1 US 19202905 A US19202905 A US 19202905A US 2006042754 A1 US2006042754 A1 US 2006042754A1
Authority
US
United States
Prior art keywords
gas
etching apparatus
plasma etching
injection portion
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/192,029
Inventor
Ryoichi Yoshida
Tetsuo Yoshida
Michishige Saito
Toshikatsu Wakaki
Hayato Aoyama
Akira Obi
Hiroshi Suzuki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2004349608A external-priority patent/JP4559202B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/192,029 priority Critical patent/US20060042754A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AOYAMA, HAYATO, OBI, AKIRA, SAITO, MICHISHIGE, SUZUKI, HIROSHI, WAKAKI, TOSHIKATSU, YOSHIDA, RYOICHI, YOSHIDA, TETSUO
Publication of US20060042754A1 publication Critical patent/US20060042754A1/en
Priority to US12/700,571 priority patent/US8821742B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to a plasma etching apparatus; and, more particularly, to a parallel plate plasma etching apparatus.
  • a generation of high-density plasma is indispensable for a miniaturization of a semiconductor device structure or a high-rate etching process for a substrate to be processed (a semiconductor wafer, a glass substrate or the like).
  • a frequency of a plasma exciting radio frequency RF from a conventional standard frequency of 13.56 MHz to a remarkably high frequency (e.g., 40 MHz or higher).
  • an upper electrode serves as a shower head having a plurality of gas injection openings, and an etching gas is discharged through the shower head toward a substrate on a lower electrode. Further, a glow discharge occurs between the electrodes due to a radio frequency power applied thereto, thereby generating a plasma of the etching gas.
  • the etching gas there has been widely used a mixed gas wherein an etchant gas containing halogen atoms such as chlorine or fluorine is mixed with an inert gas, e.g., Ar, and/or an additive gas, e.g., O 2 .
  • an etchant gas containing halogen atoms such as chlorine or fluorine
  • an inert gas e.g., Ar
  • an additive gas e.g., O 2 .
  • an object of the present invention to provide a parallel plate plasma etching apparatus capable of improving a controllability of etching characteristics by way of precisely and freely controlling a flow or a density distribution of a processing gas introduced into a processing chamber.
  • a first plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; an upper gas inlet for introducing a first gas including etchant gas through the upper electrode into the plasma generation region; and a side gas inlet for introducing a second gas including dilution gas through a sidewall of the processing chamber into the plasma generation region.
  • the first gas including etchant gas is introduced downward through the upper gas inlet into the plasma generation region between the upper electrode and the lower electrode and, at the same time, the second gas including dilution gas is introduced inwardly through the side gas inlet into the plasma generation region.
  • gas introduction manner for introducing and mixing different etching gas species from two directions of the upper and the side portion gas species, gas mixing ratios and gas flow rates of each system can be properly selected and adjusted and, further, a balance between both systems can be controlled. Accordingly, it is possible to precisely and freely control a flow or a density distribution of a processing gas in the plasma generation region, thereby improving a controllability of etching characteristics on a substrate.
  • the upper gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
  • the first gas is a mixed gas, it is preferable to provide at the upper gas inlet a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
  • the upper gas inlet has an upper gas injection portion provided at the upper electrode, for injecting the first gas toward the plasma generation region.
  • the upper gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals.
  • the upper gas inlet has a first gas supply line for supplying the first gas toward the upper gas injection portion and an upper buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper gas injection portion.
  • the side gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
  • the second gas is a mixed gas
  • the side gas inlet has a side gas injection portion provided at the sidewall of the processing chamber, for injecting the second gas toward the plasma generation region.
  • the side gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals.
  • the side gas inlet has a second gas supply line for supplying the second gas toward the side gas injection portion and a side gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the upper gas injection portions.
  • the side gas injection portion is preferably made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • a gas to be added to the etchant gas can be introduced through the upper gas inlet and the side gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the upper gas inlet or the side gas inlet.
  • a second plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; an upper central gas inlet for introducing a first gas including dilution gas through a central portion of the upper electrode into the plasma generation region; an upper peripheral gas inlet for introducing a second gas including etchant gas through a peripheral portion provided at an outside of the central portion of the upper electrode along its radial direction into the plasma generation region; and a side gas inlet for introducing a third gas including dilution gas through a sidewall of the processing chamber into the plasma generation region.
  • the first gas including dilution gas is introduced downward through the upper central gas inlet into the plasma generation region between the upper electrode and the lower electrode; the second gas including etchant gas is introduced downward through the upper peripheral gas inlet into the plasma generation region; and the third gas including dilution gas is introduced inwardly (toward the center) through the side gas inlet.
  • the upper central gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
  • the first gas is a mixed gas
  • the upper central gas inlet has upper central gas injection portions provided at a central portion of the upper electrode, for injecting the first gas toward the plasma generation region.
  • the upper central gas injection portions preferably have a plurality of gas injection openings disposed at regular intervals.
  • the upper central gas inlet preferably has a first gas supply line for supplying the first gas toward the upper central gas injection portions and an upper central gas buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper central gas injection portions.
  • the upper peripheral gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
  • the upper peripheral gas inlet preferably has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
  • the upper peripheral gas inlet has an upper peripheral gas injection portion provided at an outside of the central portion of the upper electrode along its radial direction, for injecting the second gas toward the plasma generation region.
  • the upper peripheral gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals.
  • the upper peripheral gas inlet preferably has a second gas supply line for supplying the second gas toward the upper peripheral gas injection portion and an upper peripheral gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the upper peripheral gas injection portion.
  • the side gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas.
  • the side gas inlet preferably has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas.
  • the side gas inlet has side gas injection portions provided on a sidewall of the processing chamber, for injecting the third gas toward the plasma generation region.
  • the side gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals and preferably are circumferentially provided on the sidewall of the processing chamber at regular intervals.
  • the side gas inlet preferably has a third gas supply line for supplying the third gas toward the side gas injection portion and a side buffer space for accumulating the third gas supplied through the third gas supply line in front of the side gas injection portion.
  • the side gas inlet is preferably made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • a gas to be added to the etchant gas can be introduced through the upper central gas inlet, the upper peripheral gas inlet and the side gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the upper central gas inlet or the upper peripheral gas inlet and the side gas inlet.
  • a third plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; a first gas inlet for introducing a first gas including dilution gas through a first region containing a central portion of the upper electrode into the plasma generation region; a second gas inlet for introducing a second gas including etchant gas through a second region of the upper electrode provided at an outside of the first region along its radial direction into the plasma generation region; and a third gas inlet for introducing a third gas including dilution gas through a third region of the upper electrode provided at an outside of the second region along its radial direction into the plasma generation region.
  • the first gas including dilution gas is introduced downward through the first upper gas inlet into the plasma generation region between the upper electrode and the lower electrode; the second gas including etchant gas is introduced downward through the second upper gas inlet into the plasma generation region; and the third gas including dilution gas is introduced downward through the third gas inlet into the plasma generation region.
  • the first upper gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
  • the first upper gas inlet preferably has a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
  • the first upper gas inlet has a first upper gas injection portion provided in the first region of the upper electrode, for injecting the first gas toward the plasma generation region.
  • the first upper gas injection portion preferably has a plurality of gas injection openings disposed at predetermined specific intervals.
  • the first upper gas inlet preferably has a first gas supply line for supplying the first gas toward the first upper gas injection portion and a first upper gas buffer space for accumulating the first gas supplied through the first upper gas supply line in front of the first upper gas injection portion.
  • the second upper gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
  • the second upper gas inlet preferably has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
  • the second upper gas inlet has a second upper gas injection portion provided in the second region of the upper electrode, for injecting the second gas toward the plasma generation region. It is preferable that the second upper gas injection portion has a plurality of gas injection openings disposed at predetermined specific intervals.
  • the second upper gas inlet preferably has a second gas supply line for supplying the second gas toward the second upper gas injection portion and a second upper buffer space for accumulating the second gas supplied from the second gas supply line in front of the second upper gas injection portion.
  • the third upper gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas.
  • the third upper gas inlet has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas.
  • the third upper gas inlet has a third upper gas injection portion provided in the third region of the upper electrode, for injecting the third gas toward the plasma generation region.
  • the third upper gas injection portion has a plurality of gas injection openings disposed at predetermined specific intervals.
  • the third upper gas inlet has a third gas supply line for supplying the third gas toward the third upper gas injection portion and a third upper buffer space for accumulating the third gas supplied through the third gas supply line in front of the third upper gas injection portion.
  • the third upper gas inlet is made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • a gas to be added to the etchant gas can be introduced through the first, the second and the third upper gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the second upper gas inlet or the first and the third upper gas inlet.
  • FIG. 1 is a schematic cross-sectional view of a composition of a plasma etching apparatus in accordance with a preferred embodiment of the present invention
  • FIG. 2 shows an enlarged cross-sectional view of principal parts of a composition of surroundings of an upper electrode of the plasma etching apparatus
  • FIG. 3 illustrates a fragmentary sectional top view depicting a detailed composition of a side gas inlet in accordance with a first preferred embodiment
  • FIG. 4 describes a processing gas flow rate control system in accordance with the first preferred embodiment
  • FIG. 5 provides a top view showing a distribution pattern of gas injection openings in an upper shower head in accordance with the first preferred embodiment
  • FIG. 6 presents a top view illustrating a distribution pattern of gas injection openings in a side shower head in accordance with the first preferred embodiment
  • FIG. 7 represents a schematic cross-sectional view schematically depicting a processing gas flow in a chamber in accordance with the first preferred embodiment
  • FIG. 8 offers a schematic top view schematically depicting the processing gas flow in the chamber in the first preferred embodiment
  • FIG. 9 illustrates a composition of principal parts of a gas introduction mechanism in accordance with a second preferred embodiment
  • FIG. 10 describes a composition of a processing gas flow rate control system in accordance with the second preferred embodiment
  • FIG. 11 provides a schematic sectional view schematically depicting a processing gas flow in a chamber in accordance with the second preferred embodiment
  • FIG. 12 presents an additional example of a processing gas flow rate control system in accordance with the second preferred embodiment
  • FIG. 13A represents a graph of a pressure being monitored for a maintenance of two PCVs forming a flow rate ratio control unit of the processing gas flow rate control system;
  • FIG. 13B depicts a monitored pressure difference in FIG. 13A ;
  • FIG. 14A is a wave form chart showing time characteristics of a monitored pressure (pressure measurement value) obtained from an examination of ‘gas pressure stability check’;
  • FIG. 14B illustrates another wave form chart depicting time characteristics of a monitored pressure (pressure measurement value) obtained from an examination of ‘gas pressure stability check’;
  • FIG. 15A provides span characteristics of a correlation between a gas flow rate and a pressure (responsiveness of the gas flow rate to the pressure);
  • FIG. 15B depicts another span characteristics of a correlation between a gas flow rate and a pressure
  • FIG. 16 describes a schematic sectional view illustrating locations of coolant passageways in an upper electrode of the plasma etching apparatus in accordance with the preferred embodiments
  • FIG. 17 is a top view showing coolant flow directions in the coolant passageways of the upper electrode
  • FIG. 18 provides a cross-sectional view illustrating cross sectional shapes of the coolant passageways of the upper electrode
  • FIG. 19 presents a fragmentary sectional view showing a composition of a joint portion of a processing gas introduction line connected to an upper shower head;
  • FIG. 20A is a fragmentary enlarged side view (separated state) of principal parts of a chamber separating/coupling portion in a plasma etching apparatus in accordance with a preferred embodiment
  • FIG. 20B offers a fragmentary enlarged sectional view (separated state) of the principal parts in FIG. 20A ;
  • FIG. 21A provides a fragmentary enlarged side view (coupled state) of the principal parts of the chamber separating/coupling portion in the plasma etching apparatus in accordance with a preferred embodiment
  • FIG. 21B offers a fragmentary enlarged sectional view (coupled state) of the principal parts in FIG. 21A ;
  • FIG. 22 depicts an overall perspective view illustrating an attachment state and a structure of an EMI shield spiral in a preferred embodiment
  • FIG. 23 represents a perspective view describing a structure of the EMI shield spiral in the preferred embodiment.
  • FIG. 1 shows a composition of a plasma etching apparatus in accordance with a preferred embodiment of the present invention.
  • the plasma etching apparatus is a capacitively coupled plasma etching apparatus of a parallel plate electrode structure having a cylindrical chamber (processing chamber) 10 made of aluminum, wherein an inner wall surface thereof is covered with an alumina film or an yttrium oxide (Y 2 O 3 ) film.
  • the chamber 10 is frame grounded.
  • a columnar susceptor support 14 is provided on a bottom of the chamber 10 via an insulating plate 12 made of ceramic or the like. Further, a susceptor 16 made of, e.g., aluminum is installed on the susceptor support 14 .
  • the susceptor 16 forms a lower electrode of a parallel plate electrode structure, and a semiconductor wafer W as a substrate to be processed is mounted thereon.
  • the electrostatic chuck 18 for supporting the semiconductor wafer W with the help of an electrostatic adsorptive force.
  • the electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is inserted by being sandwiched between a pair of insulating layers or sheets, and a DC power supply 22 is electrically connected to the electrode 20 . Further, the semiconductor wafer W is adsorptively held on the electrostatic chuck 18 by a Coulomb force generated by a DC voltage from the DC power supply 22 .
  • a focus ring 24 made of, e.g., silicon for improving an etching uniformity.
  • a cylindrical inner wall member 26 made of, e.g., quartz is provided on lateral surfaces of the susceptor 16 and the susceptor support 14 .
  • a coolant chamber 28 is circumferentially provided inside the susceptor support 14 .
  • a coolant e.g., cooling water kept at a predetermined temperature is supplied from a chiller unit (not shown) installed at an outside into the coolant chambers 28 through lines 30 a and 30 b to be circulated therein, so that a processing temperature of the semiconductor wafer W on the susceptor 16 can be controlled by using the temperature of the coolant.
  • a thermally conductive gas e.g., He gas, is supplied from a thermally conductive gas supply unit (not shown) to a space between the top surface of the electrostatic chuck 18 and a bottom surface of the semiconductor wafer W through a gas supply line 32 .
  • An upper electrode 34 is installed above the susceptor 16 so as to face the susceptor 16 in parallel. Furthermore, a space between the upper and the lower electrode 16 and 34 forming a parallel plate electrode structure becomes a plasma generation region PS.
  • the upper electrode 34 forms a facing surface, i.e., a surface being in contact with the plasma generation region PS while facing the semiconductor wafer W on the susceptor (lower electrode) 16 .
  • the upper electrode 34 includes an annular or a donut-shaped outer upper electrode 36 which faces the susceptor 16 and is separated therefrom by a predetermined distance; and an insulated circular plate shaped inner upper electrode 38 provided in an inner space of the outer upper electrode 36 along its radial direction.
  • the outer and the inner upper electrode 36 and 38 play a main and a secondary role in a plasma generation, respectively.
  • the outer upper electrode 36 is divided into an upper electrode member 36 A and a lower electrode member 36 B.
  • the upper electrode member 36 A that is a main body is formed of, e.g., alumite-treated aluminum.
  • the replaceable lower electrode member 36 B is made of, e.g., silicon and detachably fixed to the upper electrode member 36 A with bolts (not shown) or the like in such a way that it is protruded downwardly from a bottom surface of the inner upper electrode 38 by a protruding amount H.
  • a coating or sheet 40 for increasing a thermal conductance.
  • the protruding amount H and an inner diameter ⁇ of the lower electrode member 36 B of the outer upper electrode 36 determine a strength, a direction or the like of an electric field applied from the outer or the inner upper electrode 36 or 38 to the plasma generation region and further serve as factors for controlling spatial distribution characteristics of a plasma density.
  • the protruding amount H affects uniformity in an electron density spatial distribution along a diametrical direction of the semiconductor wafer.
  • the protruding amount H is preferably smaller than or equal to 25 mm and, more preferably, about 20 mm.
  • the lower electrode member 36 B i.e., a protrusion of the outer upper electrode 36 , serves to confine the plasma in the plasma generation region by forming an electric field from a peripheral portion toward an inner portion thereof along its radial direction in the plasma generation region.
  • the lower electrode member 36 B is preferably positioned further outside in a radial direction from an edge portion of the semiconductor wafer W. Meanwhile, a radial width of the lower electrode member 36 B is not critical, so that it can be arbitrarily selected.
  • the lower electrode member 36 B is formed to have a tapered surface 37 such that the protruding amount thereof gradually decreases toward its central portion, thereby resulting in no right-angled portion (corner portion) formed thereon.
  • a tapered surface structure having no angled portion it is possible to avoid or suppress an adhesion of reaction products generated by a plasma etching.
  • annular gap of about 0.25 to 2.0 mm is formed between the outer upper electrode 36 and the inner upper electrode 38 .
  • a dielectric material 42 made of, e.g., quartz is provided in the gap, thereby forming a capacitor between the electrodes 36 and 38 having the dielectric material 42 inbetween.
  • the capacitance C 42 is selected or adjusted to be set at a desired value determined on the basis of a size of the gap and a dielectric constant of the dielectric material 42 .
  • Airtightly attached between the outer upper electrode 36 and a sidewall of the chamber 10 is an annular insulating shielding member 44 made of, e.g., alumina (Al 2 O 3 ).
  • a first radio frequency power supply 54 is electrically connected to the upper electrode member 36 A of the outer upper electrode 36 via a matching unit 46 , an upper power feed rod 48 , a connector 50 and a cylindrical power feeder 52 .
  • the first radio frequency power supply 54 outputs a radio frequency power of 40 MHz or higher, e.g., 60 MHz, thereby generating a high-density plasma in the plasma generation region.
  • the matching unit 46 matches a load impedance to an internal (or output) impedance of the first radio frequency power supply 54 . When the plasma is generated in the chamber 10 , the matching unit 46 serves to make the output impedance of the first radio frequency power supply 54 and the load impedance be seemingly matched to each other.
  • An output terminal of the matching unit 46 is connected to a top end of the upper power feed rod 48 .
  • the power feeder 52 is made of a conductive plate, e.g., an aluminum or copper plate, of a cylindrical or conical shape or the like. A lower portion thereof is connected to the upper electrode member 36 A of the outer upper electrode 36 continuously along a circumferential direction, whereas an upper portion thereof is electrically connected to a lower portion of the upper power feed rod 48 by the connector 50 . Outside the power feeder 52 , a sidewall of the chamber 10 upwardly extends to a position higher than the upper electrode 34 to form a cylindrical grounding conductor 10 a . An upper portion of the cylindrical grounding conductor 10 a is electrically insulated from the upper power feed rod 48 by a general insulation member 56 .
  • a coaxial cable path having the power feeder 52 and the outer upper electrode 36 as a waveguide is formed by the power feeder 52 , the outer upper electrode 36 and the cylindrical grounding conductor 10 a in a load circuit, viewed from the connector 50 .
  • a shielding member 58 is provided on bottom surfaces of a part of the lower electrode member 36 B of the outer upper electrode 36 and the insulating shielding member 44 .
  • the shielding member 58 is made of, e.g., a thin aluminum plate having an alumite-treated surface, and physically and electrically coupled to a sidewall of the processing chamber 10 . Further, the shielding member 58 is horizontally extended from the sidewall of the processing chamber 10 and covers the bottom surfaces of the lower electrode member 36 B and the insulating shielding member 44 in a non-contact state or an insulating state.
  • the shielding member 58 serves to block or seal a radio frequency discharge from the bottom surfaces of the lower electrode member 36 B of the outer upper electrode 36 and the insulating shielding member 44 , thereby suppressing a plasma generation right under those bottom surfaces. Accordingly, the plasma can be more effectively confined in a region right above the semiconductor wafer W.
  • the inner upper electrode 38 includes an electrode plate 60 having a plurality of gas injection openings 60 a , the electrode plate 60 being formed of a semiconductor material such as silicon, silicon carbide or the like; and an electrode support 62 formed of a conductive material, e.g., aluminum, whose surface is treated by an anodic oxidization, for detachably supporting the electrode plate 60 .
  • the electrode plate 60 being formed of a semiconductor material such as silicon, silicon carbide or the like
  • an electrode support 62 formed of a conductive material, e.g., aluminum, whose surface is treated by an anodic oxidization, for detachably supporting the electrode plate 60 .
  • the inner upper electrode 38 serves as a part of an upper gas introduction mechanism to be described later.
  • two upper buffer spaces i.e., an upper central buffer space 66 and an upper peripheral buffer space 68 , partitioned by an annular partition member 64 formed of, e.g., an O-ring.
  • an upper central shower head 66 a is formed by the upper central buffer space 66 and a plurality of gas injection openings 60 a provided in a bottom surface thereof
  • an upper peripheral shower head 68 a is formed by the upper peripheral buffer space 68 and a plurality of gas injection openings 60 a provided in a bottom surface thereof.
  • Gas types, gas mixing ratios, gas flow rates or the like can be independently selected or controlled in the upper central shower head 66 a and the upper peripheral shower head 68 a , respectively.
  • the electrode plate 60 of the upper electrode 34 is an exchangeable component consumed by an exposure to a plasma. Further, since reaction products are attached to surfaces of the electrode plate 60 and the gas injection openings 60 a , a maintenance work is required to remove them. Accordingly, the chamber 10 is dividable into an upper and a lower chamber assembly along a line X 1 -X 1 shown in FIG. 1 , and in-chamber members can be taken out by opening and removing the upper assembly.
  • a variable capacitor 72 for variably controlling a capacitance is provided in the middle of the lower power feed rod 70 .
  • the variable capacitor 72 adjusts a ratio, i.e., a balance between an outer electric field strength right under the outer upper electrode 36 (or an input power to the outer upper electrode 36 ) and an inner electric field strength right under the inner upper electrode 38 (or an input power to the inner upper electrode 38 ).
  • a ratio i.e., a balance between an outer electric field strength right under the outer upper electrode 36 (or an input power to the outer upper electrode 36 ) and an inner electric field strength right under the inner upper electrode 38 (or an input power to the inner upper electrode 38 ).
  • a coolant chamber or a coolant passageway (not shown) is provided at a top portion of the outer and the inner upper electrode 36 and 38 . Due to a coolant flowing in the coolant passageway from a chiller unit provided at an outside, a temperature of the upper electrode 34 can be regularly controlled.
  • a gas exhaust port 74 is provided at a bottom portion of the chamber 10 , and a gas exhaust unit 78 is connected to the gas exhaust port 74 via a gas exhaust line 76 .
  • the gas exhaust unit 78 can depressurize the plasma generation region in the chamber 10 to a desired vacuum level with a vacuum pump such as a turbo vacuum pump or the like.
  • a gate valve (not illustrated) for opening/closing a gate for loading/unloading the semiconductor wafer W.
  • a second radio frequency power supply 82 is electrically connected to the susceptor 16 serving as a lower electrode via a matching unit 80 .
  • the second radio frequency power supply 82 outputs a radio frequency power ranging from 2 MHz to 20 MHz, e.g., 2 MHz.
  • the second radio frequency power supply 82 serves to attract ions from the high-density plasma to the semiconductor wafer W.
  • a low pass filter (LPF) 84 for passing the radio frequency (2 MHz) from the second radio frequency power supply 82 through the ground without passing the radio frequency (60 MHz) from the first radio frequency power supply 54 therethrough.
  • the LPF 84 preferably includes an LR filter or an Lc filter, it may also include a single conducting wire capable of applying sufficient reactance to the radio frequency (60 MHz) from the first radio frequency power supply 54 .
  • a high pass filter (HPF) 86 for passing the radio frequency (60 MHz) from the first radio frequency power supply 54 to the ground.
  • a gas introduction mechanism for introducing a processing gas (etching gas) into the chamber 10 in the plasma etching apparatus.
  • a gas inlet for introducing an etching gas into the plasma generation region PS in the chamber 10 there are provided an upper gas inlet (the upper central shower head 66 a and the upper peripheral shower head 68 a ) for introducing a gas through the upper electrode 38 side and a side gas inlet 104 for introducing a gas through the sidewall side of the chamber 10 .
  • the side gas inlet 104 has a side shower head 108 attached to the sidewall of the chamber 10 .
  • a processing gas supply source 88 provides an etchant gas to a gas supply line 90 at a desired flow rate and a dilution gas to a gas supply line 94 at a desired flow rate.
  • the gas supply line 90 communicates with the upper peripheral shower head 68 a , and an opening/closing valve 92 is provided therein. Further, the processing gas supply source 88 provides the dilution gas to gas supply branch lines 94 a and 94 b at desired flow rates, respectively.
  • the gas supply branch line 94 a communicates with the upper central shower head 66 a , and the gas supply branch line 94 b communicates with the side shower head 108 .
  • MFC mass flow controllers
  • the etchant gas is discharged (introduced) through the upper peripheral shower head 68 a toward the plasma generation region PS in the chamber 10 and, at the same time, the dilution gas is discharged (introduced) through the upper central shower head 66 a and the side shower head 108 toward the plasma generation region PS in the chamber 10 . Accordingly, the etchant gas and the dilution gas are mixed in the plasma generation region PS, thereby generating a plasma of the mixed gas.
  • a gas control unit 106 can arbitrarily control a flow rate and a flow rate ratio of the dilution gas in the upper central shower head 66 a and the side shower head 108 . Further, the gas control unit 106 controls a mass flow control unit in the processing gas supply source 88 .
  • FIG. 3 depicts a detailed composition of the side gas inlet 104 in this embodiment.
  • a plurality of (four in this example) side shower heads 108 ( 108 a , 108 b , 108 c and 108 d ), which are circumferentially spaced from each other at regular intervals (away from the wafer gate), are installed at the sidewall of the chamber 10 .
  • the side shower heads 108 ( 108 a , 108 b , 108 c and 108 d ) have gas injection portions 110 ( 110 a , 110 b , 110 c and 110 d ) inserted in the chamber 10 with a thickness of 40 mm to face the plasma generation region PS and side buffer spaces 112 ( 112 a , 112 b , 112 c and 112 d ) of a manifold structure provided at an outer wall of the chamber 10 to communicate with the gas injection portions 110 , respectively.
  • the gas injection portions 110 are provided with a plurality of gas injection openings 114 ( 114 a , 11 b , 114 c and 114 d ).
  • the gas injection portions 110 and the side buffer spaces 112 are preferably made of a semiconductor material such as Si or SiC causing no contamination problem or a heat-resistance material such as quartz.
  • a diameter of the gas injection opening 114 is preferably about 1 mm, for example.
  • the gas supply line 94 b from the processing gas supply source 88 is divided into a plurality of (four) gas supply branch lines 116 ( 116 a , 116 b , 116 c and 116 d ) communicating with the respective buffer spaces 112 ( 112 a , 112 b , 112 c and 112 d ) of the side shower heads 108 ( 108 a , 108 b , 108 c and 108 d ).
  • the gas supply branch lines 116 ( 116 a , 116 b , 116 c and 116 d ) are provided with flow rate control valves 118 ( 118 a , 118 b , 118 c and 118 d ), respectively.
  • FIG. 4 provides a composition of a processing gas flow rate control system in this embodiment.
  • the processing gas supply source 88 has separate gas supply sources for supplying respective different gases and MFCs.
  • the separate gas supply sources are selected depending on a material to be etched or a processing condition.
  • CxFy indicates a fluorocarbon-based fluorine compound, e.g., CF 4 , C 4 F 6 , C 4 F 8 and C 5 F 8 .
  • CxHyFz indicates a perfluorocarbon-based fluorine compound, e.g., CH 2 F 2 and CHF 3 .
  • each of the separate gas supply sources is turned on/off under the control of the control unit 106 , and a combination of gas species used in the etching process can be arbitrarily selected.
  • a CxFy gas from the CxFy supply source or a CxHyFz gas from the CxHyFz supply source is provided to the gas supply line 90 via the MFC 124 or 126 and then supplied to the upper peripheral shower head 68 a provided at a top portion of the chamber 10 through the gas supply line 90 .
  • the control unit 106 controls a flow rate of the etchant gas, i.e., the CxFy gas or the CxHyFx gas supplied to the upper peripheral shower head 68 a , by controlling the MFC 124 or 126 .
  • CO gas from the CO supply source, O 2 gas from the O 2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128 , 130 and 132 , respectively, and then mixed in the gas supply line 94 .
  • the control unit 106 controls flow rates of the CO gas, the O 2 gas and the Ar gas by controlling the MFCs 128 , 130 and 132 and hence a mixing ratio of the mixed CO/O 2 /Ar gas.
  • a part of the mixed dilution gas of CO/O 2 /Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via the MFC 96 and then supplied to the upper central shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a .
  • a remaining mixed dilution gas of CO/O 2 /Ar is provided to the gas supply line 94 b via the MFC 100 and then supplied to the side shower heads 108 ( 108 a , 108 b , 108 c and 108 d ) provided on the sidewall of the chamber 10 through the gas supply line 94 b .
  • the control unit 106 controls a flow rate and a flow rate ratio of the mixed dilution gas of CO/O 2 /Ar supplied to the upper central shower head 66 a and those of the mixed dilution gas of CO/O 2 /Ar supplied to the side shower head 108 by controlling the MFCs 96 and 100 .
  • opening degrees of the flow rate control valves 96 a , 100 a , 124 a , 126 a , 128 a , 130 a and 132 a are adjusted based on gas flow rates detected by the flowmeters 96 b , 100 b , 124 b , 126 b , 128 b , 130 b and 132 b , respectively.
  • FIG. 5 describes an exemplary distribution pattern of gas injection openings 60 a provided in gas injection portions of the upper central shower head 66 a and the upper peripheral shower head 68 a .
  • the gas injection openings 60 a are spaced from each other at prededtermined pitches or intervals in the electrode plate 60 of the inner upper electrode 38 and are distributed in a predetermined ratio in the upper central shower head 66 a and the upper peripheral shower head 68 a partitioned by the annular partition member 64 .
  • the illustrated distribution pattern is for an exemplary purpose only, and a radial pattern, a concentric pattern, a matrix pattern or the like may be employed.
  • FIG. 6 presents an exemplary distribution pattern of the gas injection openings 114 provided at the gas injection portion 110 of the side shower head 108 .
  • the gas injection portion 110 is formed in a rectangular shape, and the gas injection openings 114 are spaced from each other at predetermined pitches in a matrix pattern in the X and the Y direction.
  • the gas injection portion 110 may have a circular shape and the distribution pattern of the gas injection openings 114 may be distributed in a radial pattern, a concentric circular pattern or the like.
  • Optimal shape and pattern can be selected by repeating experiments or trials.
  • a semiconductor wafer W to be processed is loaded into the chamber 10 through a gate (not shown) provided on the sidewall of the chamber and then mounted on the susceptor 16 while a gate valve (not shown) is opened.
  • a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 , and the semiconductor wafer W is fixed on the susceptor 16 .
  • Etching gases of predetermined flow rates are introduced through the shower heads 66 a , 68 a and 108 of a triple system into the plasma generation region PS between the upper electrode 34 ( 36 and 38 ) and the susceptor (lower electrode) 16 by the aforementioned gas introduction mechanism.
  • the dilution gas containing an additive gas is introduced through the upper central shower head 66 a at a predetermined flow rate; the etchant gas is introduced through the upper peripheral shower head 68 a at another predetermined flow rate; and the dilution gas containing an additive gas is introduced through the side shower head 108 at yet another predetermined flow rate.
  • the gases from the triple system, which are introduced into the plasma generation region PS, are mixed and become a mixed gas.
  • an inner pressure of the chamber 10 is depressurized to a preset value (e.g., 10 ⁇ 1 Pa to 10 2 Pa) by the gas exhaust unit 78 .
  • a power of a radio frequency (60 MHz) for generating a plasma is applied from the first radio frequency power supply 54 to the upper electrode 34 ( 36 and 38 ).
  • a power of a radio frequency (2 MHz) is applied from the second radio frequency power supply 82 to the susceptor 16 .
  • a glow discharge is generated between the upper electrode 34 ( 36 and 38 ) and the susceptor (lower electrode) 16 to plasmarize the etching gas in the chamber 10 . Then, a to-be-processed surface of the semiconductor wafer W is etched by radicals and/or ions generated in the plasma.
  • the outer upper electrode 36 and the inner upper electrode 38 are used as a main and a secondary radio frequency electrode for generating a plasma, respectively. Since a ratio of an electric field strength applied from the electrodes 36 and 38 to electrons right below those electrodes can be adjusted, a spatial distribution of a plasma density can be controlled in a diametric direction and, further, spatial characteristics of a reactive ion etching can be arbitrarily and precisely controlled.
  • types, mixing ratios, flow rates or the like of gases introduced through the shower heads 66 a , 68 a and 108 of the triple system into the plasma generation region PS in the chamber 10 are balanced. Accordingly, it is possible to optimize the spatial distribution characteristics, e.g., an etching rate, an etching shape or the like, for various etching processes.
  • FIGS. 7 and 8 schematically represent a pattern of a flow of a processing gas in the chamber 10 (especially, in the plasma generation region PS).
  • a gas introduction method of this embodiment in a specified etching process will be descried with reference to FIGS. 7 and 8 .
  • a contact hole is formed in a silicon oxide film or a silicon nitride film for covering a semiconductor device forming a semiconductor apparatus.
  • a perfluorocarbon-based gas such as CH 2 F 2 gas or CHF 3 gas
  • CO gas or O 2 gas as an additive gas.
  • an organic polymer may be formed due to radicals of an etching gas generated by a plasma excitation.
  • reaction products may be adhered to a peripheral region of the semiconductor wafer W and, further, an acute tapered contact hole tends to be formed in the peripheral region of the wafer by deposits of the reaction products.
  • a balance is adjusted such that a flow rate of an Ar flow [ 3 ] discharged through the side shower head 108 into the chamber 10 becomes greater than that of an Ar flow [ 1 ] discharged through the upper central shower head 66 a into the chamber 10 .
  • a perfluorocarbon-based etchant gas flow [ 2 ] introduced through the upper peripheral shower head 68 a into the chamber 10 flows more right under the inner upper electrode 38 than the outer upper electrode 36 . Accordingly, the radicals of the etchant are reduced in the peripheral region of the semiconductor wafer W.
  • argon ions generated by the plasmarization of Ar gas function to remove the aforementioned reaction products by sputtering.
  • the deposition amount of the reaction products becomes reduced in the peripheral region of the semiconductor wafer W, which improves the acute tapered shape of the contact hole.
  • a cross sectional shape of the contact hole in a surface of the semiconductor wafer W becomes uniform.
  • the Ar flow [ 3 ] discharged through the side shower head 108 becomes extended further widely toward the peripheral portion of the semiconductor wafer W.
  • a via hole or a Damascene wiring groove is formed in an interlayer insulating film of a multilayer interconnection structure provided on a top layer of the semiconductor device.
  • Such interlayer insulating film is formed of an insulating layer having a lower dielectric constant than that of a silicon oxide film, e.g., a silicon oxide film, a SiC film, a SiOC film or the like containing a methyl group or an ethyl group. Therefore, in case the via hole or a Damascene wiring groove is formed in the interlayer insulating film, a hard mask formed of a silicon oxide film or a silicon nitride film is used. In such case, a fluorocarbon-based gas, e.g., C 4 F 8 gas, can be preferably used as the etchant gas.
  • a fluorocarbon-based gas e.g., C 4 F 8 gas
  • a gas introduction mechanism of a second preferred embodiment for introducing a processing gas (an etching gas) into the chamber 10 in the plasma etching apparatus, will be described with reference to FIGS. 9 to 11 .
  • a major feature of the gas introduction mechanism in accordance with the second preferred embodiment is that instead of the side gas inlet 104 in the first preferred embodiment, a third upper shower head is provided at an outer portion of the upper peripheral shower head 68 a as a gas inlet for introducing an etching gas into the plasma generation region PS in the chamber 10 .
  • the gas introduction mechanism of the second preferred embodiment includes a first upper shower head (the upper central shower head of the first preferred embodiment), a second upper shower head (the upper peripheral shower head of the first preferred embodiment) and the third upper shower head, which are sequentially provided from the central portion of the upper electrode toward the outer portion thereof in a diametric direction.
  • the compositions other than the gas introduction mechanism in the plasma etching apparatus are identical to those of the first preferred embodiment.
  • FIG. 9 illustrates a composition of principal parts of the gas introduction mechanism of the second preferred embodiment.
  • the inner upper electrode 38 includes an electrode plate 60 formed of a semiconductor material such as Si, SiC or the like, the electrode plate 60 having a plurality of gas injection openings 60 a ; and an electrode support 62 formed of a conductive material, e.g., aluminum whose surface is treated by an anodic oxidization, for detachably supporting the electrode plate 60 .
  • the inner upper electrode 38 serves as a part of an upper gas introduction mechanism to be described later.
  • the electrode support 62 are three upper buffer spaces, i.e., a first upper buffer space 66 , a second upper buffer space 68 and a third upper buffer space 208 , partitioned by annular partition members 64 and 264 formed of, e.g., O-rings.
  • a first upper shower head 66 a includes the first upper buffer space 66 and a plurality of gas injection openings 60 a provided in a bottom surface thereof;
  • a second upper shower head 68 a includes the second upper buffer space 68 and a plurality of gas injection openings 60 a provided in a bottom surface thereof;
  • a third upper shower head 208 a includes the third upper buffer space 208 and a plurality of gas injection openings 60 a provided in a bottom surface thereof.
  • Gas species, gas mixing ratios, gas flow rates or the like can be independently selected or controlled in the first, the second and the third upper shower head 66 a , 68 a and 208 a , respectively.
  • a processing gas supply source 88 provides an etchant gas to a gas supply line 90 at a desired flow rate and a dilution gas to a gas supply line 94 at a desired flow rate.
  • the gas supply line 90 communicates with the second upper shower head 68 a and an opening/closing valve 92 is provided therein. Further, the processing gas supply source 88 provides the dilution gas to gas supply lines 94 a and 94 c at desired flow rates, respectively.
  • the gas supply line 94 a communicates with the first upper shower head 66 a , and the gas supply line 94 c communicates with the third upper shower head 208 a .
  • Provided in the gas supply lines 94 a and 94 c are MFCs 96 and 200 and opening/closing valves 98 and 202 , respectively.
  • the etchant gas is discharged (introduced) through the second upper shower head 68 a toward the plasma generation region PS in the chamber 10 and, at the same time, the dilution gas is discharged (introduced) through the first and the third upper shower head 66 a and 208 a toward the plasma generation region PS in the chamber 10 . Accordingly, the etchant gas and the dilution gas are mixed in the plasma generation region PS, thereby generating a plasma of the mixed gas.
  • a gas control unit 106 can arbitrarily control a flow rate and a flow rate ratio of the dilution gas in the first and the third upper shower head 66 a and 208 a . Further, the gas control unit 106 controls a mass flow control unit in the processing gas supply source 88 .
  • FIG. 10 provides a composition of a processing gas flow rate control system in this embodiment.
  • the processing gas supply source 88 has separate gas supply sources for supplying respective different gases and MFCs.
  • the separate gas supply sources are selected depending on a material to be etched or a processing condition.
  • each of the separate gas supply sources is turned on/off under the control of the control unit 106 , and a combination of gas species used in the etching process can be arbitrarily selected.
  • a CxFy gas from the CxFy supply source or a CxHyFz gas from the CxHyFz supply source is provided to the gas supply line 90 via the MFC 124 or 126 and then supplied to the second upper shower head 68 a provided at a top portion of the chamber 10 through the gas supply line 90 .
  • the control unit 106 controls a flow rate of the etchant gas, i.e., the CxFy gas or the CxHyFx gas supplied to the second upper shower head 68 a , by controlling either the MFC 124 or 126 .
  • CO gas from the CO supply source, O 2 gas from the O 2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128 , 130 and 132 , respectively, and then mixed in the gas supply line 94 .
  • the control unit 106 controls flow rates of the CO gas, the O 2 gas and the Ar gas by controlling the MFCs 128 , 130 and 132 , respectively, and hence a mixing ratio of the mixed gas of CO/O 2 /Ar.
  • a part of the mixed dilution gas of CO/O 2 /Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via the MFC 96 and then supplied to the first upper shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a .
  • the remaining mixed dilution gas of CO/O 2 /Ar is provided to the gas supply line 94 c via the MFC 200 and then supplied to the third upper shower head 208 a provided at the top portion of the chamber 10 through the gas supply line 94 c .
  • the control unit 106 controls a flow rate and a flow rate ratio of the mixed dilution gas of CO/O 2 /Ar supplied to the first upper shower head 66 a and those of the mixed dilution gas of CO/O 2 /Ar supplied to the third upper shower head 208 a by controlling the MFCs 96 and 200 .
  • opening degrees of the flow rate control valves 96 a , 100 a , 124 a , 126 a , 128 a , 130 a and 132 a are adjusted based on gas flow rates detected by the flowmeters 96 b , 100 b , 124 b , 126 b , 128 b , 130 b and 132 b , respectively.
  • the gas injection openings 60 a provided at a gas injection portion of the first, the second and the third upper shower head 66 a , 68 a and 208 a are spaced from each other at predetermined pitches or intervals in the electrode plate 60 of the inner upper electrode 38 and are distributed in a predetermined ratio at the first, the second and the third upper shower head 66 a , 68 a and 208 a partitioned by the annular partition members 64 and 264 .
  • the gas injection openings may be distributed in a radial pattern, a concentric circular pattern, a matrix pattern or the like.
  • a semiconductor wafer W to be processed is loaded into the chamber 10 through a gate (not shown) provided on the sidewall of the chamber and then mounted on the susceptor 16 while a gate valve (not shown) is opened.
  • a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 , and the semiconductor wafer w is fixed on the susceptor 16 .
  • Etching gases of predetermined flow rates are respectively introduced from the shower heads 66 a , 68 a and 208 a of a triple system into the plasma generation region PS between the upper electrode 34 ( 36 and 38 ) and the susceptor (lower electrode) 16 by the aforementioned gas introduction mechanism.
  • the dilution gas containing an additive gas is introduced through the first shower head 66 a at a predetermined flow rate; the etchant gas is introduced through the second shower head 68 a at a predetermined flow rate; and the dilution gas containing an additive gas is introduced through the third upper shower head 208 a at a predetermined flow rate.
  • the gases from the triple system, which are introduced into the plasma generation region PS, are mixed and become a mixed gas.
  • an inner pressure of the chamber 10 is depressurized to a preset value (e.g., 10 ⁇ 1 Pa to 10 2 Pa) by the gas exhaust unit 78 .
  • a power of a radio frequency (60 MHz) for generating a plasma is applied from the first radio frequency power supply 54 to the upper electrode 34 ( 36 and 38 ).
  • a power of a radio frequency (2 MHz) is applied from the second radio frequency power supply 82 to the susceptor 16 .
  • a glow discharge occurs between the upper electrode 34 ( 36 and 38 ) and the susceptor (lower electrode) 16 to thereby plasmarize the etching gas in the chamber 10 . Then, a to-be-processed surface of the semiconductor wafer W is etched by radicals and/or ions generated in the plasma.
  • species, mixing ratios, flow rates or the like of gases introduced through the shower heads 66 a , 68 a and 208 a of the triple system into the plasma generation region PS in the chamber 10 are balanced. Accordingly, it is possible to optimize the spatial distribution characteristics such as an etching rate, an etching shape or the like, in various etching processes.
  • FIG. 11 schematically represents a flow of a processing gas in the chamber 10 (especially, in the plasma generation region PS).
  • a gas introduction method of this embodiment in a specified etching process will be described with reference to FIG. 11 .
  • a contact hole is formed in a silicon oxide film or a silicon nitride film for covering a semiconductor device forming a semiconductor apparatus as in the first preferred embodiment.
  • a perfluorocarbon-based gas such as CH 2 F 2 gas or CHF 3 gas
  • CO gas or O 2 gas as an additive gas.
  • an organic polymer may be formed due to radicals of an etching gas generated by a plasma excitation.
  • reaction products may be adhered to a peripheral region of the semiconductor wafer W and, further, an acute tapered contact hole tends to be formed in the peripheral region of the wafer by deposits of the reaction products.
  • a balance is adjusted such that a flow rate of an Ar flow [ 3 ] discharged through the third upper shower head 208 a into the chamber 10 becomes greater than that of an Ar flow [ 1 ] discharged through the first upper shower head 66 a into the chamber 10 .
  • a perfluorocarbon-based etchant gas flow [ 2 ] introduced through the second upper shower head 68 a into the chamber 10 flows more right under the inner upper electrode 38 than right under the outer upper electrode 36 . Accordingly, the radicals of the etchant are reduced in the peripheral region of the semiconductor wafer W.
  • argon ions generated by the plasmarization of Ar gas function to remove the aforementioned reaction products by sputtering.
  • the deposition amount of the reaction products becomes reduced in the peripheral region of the semiconductor wafer W, which improves an acute tapered shape of the contact hole.
  • a cross sectional shape of the contact hole in a surface of the semiconductor wafer W becomes uniform.
  • interlayer insulating film is formed of an insulating layer having a lower dielectric constant than that of a silicon oxide film, e.g., a silicon oxide film, a SiC film, a SiOC film or the like containing a methyl group or an ethyl group.
  • a hard mask formed of a silicon oxide film or a silicon nitride film is used.
  • a fluorocarbon-based gas e.g., C 4 F 8 gas
  • a flow rate of the Ar flow [ 1 ] discharged through the first upper shower head 66 a into the chamber 10 and that of the Ar flow [ 3 ] discharged through the third upper shower head 208 a into the chamber 10 are adjusted such that the former is greater than the latter.
  • the balance of the flow rates thereof are adjusted reversely to that done in the case where the contact hole is formed, so that the cross sectional profile of the via hole or the Damascene wiring groove in the surface of the semiconductor wafer W becomes uniform.
  • FIG. 12 shows a composition of the modified example.
  • the parts common to the system of FIG. 10 will be assigned like reference numerals.
  • flow rates or distribution amounts of the dilution gas to be distributed to the first and the third shower head 66 a and 208 a are controlled by a pressure control unit PCV, thereby achieving a high responsiveness to a change of a gas species.
  • CO gas from the CO supply source CO gas from the CO supply source, O 2 gas from the O 2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128 , 130 and 132 , respectively, and then mixed in the gas supply line 94 .
  • the control unit 106 controls flow rates of the CO gas, the O 2 gas and the Ar gas and hence a mixing ratio of the mixed gas of CO/O 2 /Ar by controlling the MFCs 128 , 130 and 132 .
  • a part of the mixed dilution gas of CO/O 2 /Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via a first PCV 300 and then supplied to the first shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a .
  • the first PCV 300 has a pressure control valve 300 a , e.g., a normal open type air operator valve, and a pressure sensor 300 b .
  • the remaining mixed dilution gas of CO/O 2 /Ar is provided to the gas supply line 94 b via a second PCV 302 forming a mass flow control unit and then supplied to the third shower head 208 a provided at the top portion of the chamber 10 through the gas supply line 94 c .
  • the second PCV 302 also has a pressure control valve 302 a , e.g., a normal open type air operator valve, and a pressure sensor 302 b.
  • the gas control unit 106 adjusts respective opening degrees of the pressure control valves 300 a and 302 a in the first and the second PCV 300 and 302 .
  • an arbitrary pressure ratio can be selected by adjusting the opening degree of the pressure control valve 302 a whose output pressure becomes relatively lower while keeping the pressure control valve 300 a whose output pressure becomes relatively higher fully opened.
  • Monitored pressure signals respectively outputted from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 are transmitted to a maintenance control unit 304 via the gas control unit 106 .
  • the maintenance control unit 304 includes a microcomputer and performs a maintenance process to be described later based on the monitored pressures (pressure measurement values) from the pressure sensors 300 b and 302 b.
  • the gas control unit 106 can control both or either one of the pressure control valves 300 a and 302 a in response to a command from a main control unit such that the gas flow rate can be instantly changed. Accordingly, it is possible to adjust a balance of the gas flow rate ratio between the Ar flow [ 1 ] discharged (introduced) through the first shower head 66 a into the chamber 10 and the Ar flow [ 3 ] discharged (introduced) through the third shower head 208 a into the chamber 10 with high accuracy during the etching process.
  • a flow rate ratio controlling method employing the aforementioned pressure control unit is not limited to the gas distribution to the first and the third shower head 66 a and 208 a , and may be applied to an arbitrary application for performing the same gas distribution.
  • the processing gas flow rate control system of FIG. 12 can respond more rapidly to a change of a gas flow rate compared to the processing gas flow rate control system described in FIG. 10 .
  • it has a drawback in which the accuracy of a gas distribution amount is easily affected by a conductance change of a gas channel at a downstream of the pressure control units (the PCVs 300 and 302 ). In such case, a monitoring and a maintenance of the pressure control unit become significant.
  • the maintenance work is mainly performed by a maintenance processing unit 304 and includes ‘gas pressure span deviation (error) check’, ‘gas pressure stability check’ and a determination process thereof.
  • the respective pressure control valves 300 a and 302 a of the first and the second PCV 300 and 302 are fully opened, as described above.
  • the N 2 gas is supplied at a predetermined flow rate from an N 2 gas supply source (not shown) to the gas supply line 94 while keeping an exhaust rate of the inside of the chamber 10 constant.
  • monitored pressures P C and P E pressure measurement values obtained from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 start to increase exponentially when the N2 gas supply begins to reach at stable constant pressures [P C ] and [P E ].
  • the pressure [P C ] of the first PCV 300 becomes higher than the pressure [P E ] of the second PCV 302 .
  • the pressure monitoring is carried out for a predetermined time period t 1 after the start of the purging process until the pressure becomes stabilized, e.g., until the purging process is completed. To be specific, it is checked whether or not the gas pressure difference A falls within a preset tolerance range (lower limit A L -upper limit A H ).
  • the ‘abnormality’ indicates that a relative balance between a gas distribution system of the PCV 300 or the first shower head 66 a and that of the PCV 302 or the second shower head 68 a is lost, which generally occurs when either one of the gas systems is broken.
  • N 2 gas is supplied at a predetermined flow rate from an N 2 gas supply source (not shown) to the gas supply line 94 while keeping an exhaust rate of the inside of the chamber 10 constant.
  • the N 2 gas is provided to not both of the gas distribution systems but only one of the gas distribution systems. That is, either one of the pressure control valves 300 a and 302 a of the PCVs 300 and 302 is tightly closed, whereas the other is fully opened.
  • an opening/closing state of the pressure control valves 300 a and 302 a is converted into two steps.
  • the first step the pressure control valve 300 a of the PCV 300 is tightly closed, whereas the pressure control valve 302 a of the PCV 302 is fully opened.
  • the second step the pressure control valve 302 a of the PCV 302 is tightly closed, whereas the pressure control valve 300 a of the PCV 300 is fully opened.
  • monitored pressures pressure measurement values obtained from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 are acquired.
  • FIGS. 14A and 14B provide waveforms of time characteristics of the monitored pressures (the pressure measurement values) obtained from the pressure sensors 300 b and 302 b while setting N 2 gas flow rates L 1 and L 2 respectively at 600 sccm and 1000 sccm, for example, in the examination of the ‘gas pressure stability check’.
  • N 2 gas flow rates L 1 and L 2 respectively at 600 sccm and 1000 sccm, for example, in the examination of the ‘gas pressure stability check’.
  • a considerably higher pressure P EL can be obtained from the fully opened PCV 302 than in an ordinary operation
  • a considerably lower pressure P CO can be obtained from the fully closed PCV 300 than in the ordinary operation.
  • a considerably higher pressure P CL can be obtained from the fully opened PCV 300 than in the ordinary operation
  • a considerably lower pressure P EO can be obtained from the fully closed PCV 302 than in the ordinary operation.
  • the maintenance processing unit 304 calculates an average of the monitored pressures P EL and P CO , which are sampled at regular intervals (e.g., at one-second intervals) for a specified period (e.g., nine seconds) from a specific time t 2 when the gas pressure becomes stabilized. Thereafter, in the second step, the maintenance processing unit 304 calculates an average of the monitored pressures P CL and P CO , which are sampled at regular intervals for a specified period from a specific time t 3 when the gas pressure becomes stabilized.
  • the maintenance processing unit 304 performs a determination process for several examination items based on the monitored pressure data obtained from a plurality of, e.g., two examinations where the N 2 gas flow rate is set as a parameter.
  • a first examination item is span characteristics of a responsiveness of a pressure to a gas flow rate.
  • an increasing rate or an inclination G PC between a pressure P CL1 of a fully opened pressure valve obtained from a first (N 2 gas flow rate L 1 ) examination and a pressure P CL2 of a fully opened pressure valve obtained from a second (N 2 gas flow rate L 2 ) examination is calculated by using a first order linear approximate equation (P CL2 ⁇ P CL1 )/(L 2 ⁇ L 1 ). Further, it is checked whether the inclination G PC falls within a preset tolerance range (lower limit G L -upper limit G H ).
  • an increasing rate or an inclination H PE between a pressure P EL1 of a fully opened pressure valve obtained from the first (N 2 gas flow rate L 1 ) examination and a pressure P EL2 of a fully opened pressure valve obtained from the second (N 2 gas flow rate L 2 ) examination is calculated by using a first order linear approximate equation (P EL2 ⁇ P EL1 )/(L 2 ⁇ L 1 ). Furthermore, it is checked whether the inclination G PC falls within a preset tolerance range (lower limit H L -upper limit H H ).
  • a cause of the deviation from the tolerance range there may be considered a breakdown of a pressure control valve or a pressure sensor in the corresponding PCV or the like. Since the distribution control cannot be performed as it is planned, it is preferable to display an alarm instructing an inspection or a component replacement.
  • a second examination item is a CEL abrasion, i.e., an abrasion (deterioration) of the gas injection openings in the shower head.
  • the shower head serving as an upper electrode is abraded and deteriorated by ion bombardments.
  • an electric field is concentrated around the gas injection openings, resulting in an easy sputtering thereof. If the gas injection openings are abraded, the conductance thereof decreases, thereby lowering a pressure in the corresponding gas distribution system.
  • a third examination item is a gas leak in the gas distribution system.
  • gas pressure stability check as illustrated in FIGS. 14A and 14B , pressures P CO and P EO due to the gas leak can be detected even in the gas distribution system whose pressure control valves are tightly closed.
  • gas leak includes a leak flowing back from the outside via the inside of the chamber 10 as well as a leak in the gas distribution system (especially, the annular partition members 64 and 264 in the shower heads).
  • nitrogen gas distributed from the fully opened third shower head 208 a into the chamber 10 flows into the gas distribution system through the gas injection openings of the first shower head 66 a on the close side. A large amount of gas leak is not desirable.
  • the monitored pressures P CO and P EO obtained from the closed gas distribution system are higher than an allowable value M. If the monitored pressures P CO and P EO are lower than the allowable value M, it is determined to be normal, which indicates that the gas leak does not exceed the allowable amount. On the other hand, if the monitored pressures P CO and P EO are higher than the allowable value M, it is determined to be abnormal, which indicates that the gas leak exceeds the allowable amount.
  • a cooling mechanism for the shower head also serving as an electrode in this embodiment will be described with reference to FIGS. 16 to 18 .
  • FIG. 16 represents an installation position of a coolant passageway 138 provided in the upper electrode 34 (the outer upper electrode 36 and the inner upper electrode 38 ) in the plasma etching apparatus of this embodiment.
  • FIG. 17 provides a pattern of a coolant path in the coolant passageway 138 .
  • FIG. 18 depicts a cross-sectional structure of the coolant passageway 138 , which is taken along line X 2 -X 2 of FIG. 17 .
  • a coolant maintained at a specific temperature e.g., a cooling water
  • a chiller unit not shown
  • the coolant supplied from the chiller unit via a line flows through an inner entrance 140 into the coolant passageway 138 .
  • the coolant goes around a central portion and then flows along a first coolant passageway 148 a in an arrow direction.
  • the coolant goes around approximately concentrically along the first coolant passageway 148 a , it flows along a second coolant passageway 148 b in a direction opposite to that of the flow in the first coolant passageway 148 a .
  • the coolant flows along a third coolant passageway 148 c in a direction opposite to that of the flow in the second coolant passageway 148 b and then goes through an inner outlet 142 .
  • the inner outlet 142 and an outer entrance 144 are connected to each other by a line (not illustrated), and the coolant flowing from the inner outlet 142 to the outer entrance 144 flows along a fourth coolant passageway 148 d in a direction opposite to that of the flow in the third coolant passageway 148 c . Since the directions of the coolant flows in the adjacent coolant passageways are opposite to each other, a temperature nonuniformity in the outer and the inner upper electrode 36 and 38 can be considerably reduced.
  • FIGS. 18A and 18B show two favorable cross-sectional shapes of the coolant passageway 148 .
  • FIG. 18A provides a comb-shaped cross section of the coolant passageway
  • FIG. 18B describes a serpentine cross section of the coolant passageway.
  • an area of a sidewall of the coolant passageway increases. Accordingly, a contact area between the coolant and the coolant passageway increases, thereby improving a heat absorption efficiency of the coolant.
  • the cross sectional area of the coolant passageway 138 has a similar size to that of the aforementioned outer line.
  • the coolant passageway has such large cross sectional area, it is possible to suppress a pressure loss and prevent a flow velocity of the coolant from being deteriorated.
  • a conventional temperature difference between the coolant and the outer and the inner upper electrode 36 and 38 is 20° C.
  • the temperature difference is reduced to 2° C.
  • all of the gas supply lines including the gas supply line 90 , the branch line 94 a and the like in the chamber 10 are made of an insulating material. This is because if the gas supply line is formed of a conductive material such as SUS, a radio frequency transmission in the chamber becomes disturbed, thereby significantly affecting the etching characteristics.
  • FIG. 19 illustrates an enlarged view of an area 150 of FIG. 1 .
  • a leading end portion of the gas supply line 90 which is made of Teflon (registered mark) is provided with a protruded portion 152
  • a top surface of the electrode support 62 is provided with a recess portion corresponding to the protruded portion 152 . Since the protruded portion 152 is fitted in the recess portion without forming any substantial space or gap therebetween, the gas supply line 90 is airtightly attached to the electrode support 62 via an O-ring 154 and a center ring 156 .
  • the processing gas is supplied into the peripheral gas introduction chamber 68 through a gas channel 158 of the gas supply line.
  • an electromagnetic wave leakage preventing function i.e., an electro-magnetic interference (EMI) shielding function
  • FIGS. 20A and 20B the chamber 10 is divided into a lower chamber assembly 162 and an upper chamber assembly 164 by line X 1 -X 1 depicted in FIG. 1 .
  • FIG. 20A is a side view of principal parts, showing opposite portions of the separated assemblies 162 and 164
  • FIG. 20B provides a cross-sectional view thereof.
  • a lower claw 168 is fixedly attached to a predetermined specific location of a cylindrical joint member 166 of the lower chamber assembly 162
  • an upper claw 172 is provide at a predetermined specific location of a cylindrical joint member 170 of the upper chamber assembly 164 .
  • the upper claw 172 is circumferentially movable within a predetermined range with the help of a bearing mechanism to be described later.
  • a plurality pairs of the upper and the lower claws 172 and 168 facing each other are disposed at regular intervals along a circumference of the chamber.
  • a shield groove 174 is formed in a top surface of the cylindrical lower joint member 166 such that it extends along the top surface portion, and an annular EMI shield spiral 176 is inserted in the shield groove 174 .
  • an insulating member 178 is provided adjacently to an inner side of the lower joint member 166 along its radial direction.
  • a bearing support 180 is fixed on an outer wall of the cylindrical upper joint member 170 , and a bearing drive 182 is mounted on the bearing support 180 via the bearing mechanism 184 such that it can move in a circumferential direction.
  • the insulating member 186 is provided adjacently to an inner side of the upper joint member 170 along its radial direction. The upper and the lower insulating member 186 and 178 form the insulating shielding member 44 shown in FIG. 1 .
  • FIGS. 21A and 21B present a state where the lower chamber assembly 162 and the upper chamber assembly 164 are coupled to each other along the line X 1 -X 1 shown in FIG. 1 .
  • FIG. 21A is a side view of principal parts of the coupled chamber joint portion, and FIG. 20B provides a cross-sectional view thereof.
  • the upper claw 172 of the upper chamber assembly 164 is engaged with the lower claw 168 of the lower chamber assembly 162 wherein a top surface 172 a is in contact with a bottom surface 168 a .
  • the bearing mechanism 184 moves or displaces the upper claw 172 by a predetermined distance in a circumferential direction with the help of a driving and movement converting mechanism (not shown) such as a pinion and rack, thereby achieving the engagement between the upper and the lower claw 172 and 168 .
  • the lower joint member 166 is electrically connected to the upper joint member 170 by the EMI shield spiral 176 , thereby preventing a radio frequency inputted in this apparatus from being leaked out of the chamber 10 .
  • the lower and the upper joint member 166 and 170 make an airtight contact via a well-known airtight sealing member (not shown) such as an O-ring.
  • the airtight sealing member such as the O-ring may be provided on the inner side of the EMI shield spiral 176 .
  • a mechanism for preventing an electromagnetic wave leakage or a noise generation is provided at desired places in addition to the above-described place in the plasma etching apparatus of this embodiment.
  • the EMI shield spiral 176 made of, e.g., stainless steel, is inserted in a spiral attachment groove provided in a top surface of a cylindrical lower joint member (e.g., the lower joint member 166 ) and, further, an upper joint member (e.g., the upper joint member 170 ) is detachably connected thereto from above such that it pushes the EMI shield spiral 176 thereabove.
  • the EMI shield spiral 176 is formed as a ring body having a required diameter or length, and the ring-shaped EMI shield spiral 76 is inserted into the spiral groove.
  • the stability and safety in an assembly or a maintenance of the plasma etching apparatus can be considerably enhanced.
  • the EMI shield spiral is cut into a required length, and the linear EMI shield spiral is inserted into the spiral attachment groove.
  • the linear EMI shield spiral can be formed as the ring-shaped EMI shield spiral by welding both ends thereof to be connected.
  • the triple shower head system including the upper central shower head 66 a , the upper peripheral shower head 68 a and the side shower head 108 , wherein the upper central shower head 66 a and the upper peripheral shower head 68 a form the upper shower head provided at the upper electrode 34 (the inner upper electrode 38 ), and the side shower head 108 is provided on the sidewall of the chamber 10 .
  • the upper shower head is a single system obtained by omitting the annular partition member 64 , for example.
  • a processing gas can be introduced through a gas pipe instead of the shower head 108 of this embodiment.
  • an additive gas such as O 2 gas or CO gas is introduced together with a dilution gas (especially, an inert gas such as Ar) through the side shower head 108 or the upper central shower head 66 a into the chamber 10 .
  • a dilution gas especially, an inert gas such as Ar
  • the additive gas may be introduced together with an etchant gas through the upper central shower head 66 a into the chamber 10 .
  • the additive gas may be introduced into the chamber 10 after being distributed based on the classification by gas species or at a desired flow rate ratio between the shower heads 108 and 66 a for the dilution gas and the shower head 68 a for the etchant or between the shower heads 108 and 68 a for the dilution gas.
  • a predetermined amount of etchant gas to the gas introduced through the shower heads 108 and 66 a for the dilution gas or a predetermined amount of dilution gas (especially, an inert gas) to the gas introduced through the shower head 68 a of the etchant.
  • an additive gas such as O 2 gas or CO gas is introduced together with a dilution gas (especially, an inert gas such as Ar) through the third shower head 208 a or the first upper shower head 66 a into the chamber 10 .
  • a dilution gas especially, an inert gas such as Ar
  • the additive gas may be introduced together with an etchant gas through the second upper shower head 68 a into the chamber 10 .
  • the additive gas may be introduced into the chamber 10 after being distributed based on the classification by gas species or at a desired flow rate ratio between the shower heads 208 a and 66 a for the dilution gas and the shower head 68 a for the etchant or between the shower heads 208 a and 68 a for the dilution gas.
  • a predetermined amount of etchant gas to the gas introduced through the shower heads 208 a and 66 a for the dilution gas or a predetermined amount of dilution gas (especially, an inert gas) to the gas introduced through the shower head 68 a for the etchant.
  • the gases may be distributed to three or more gas supply lines.
  • the gas supply lines may be branched by providing in parallel three or more PCVs corresponding to the number of the gas supply lines.
  • the maintenance check of the flow rate ratio control unit is performed by using three or more PCVs, two PCVs are selected and, then, the check and the determination described above with reference to FIGS. 13 to 15 can be carried out. At this time, it is preferable to check and determine for every combination of two PCVs.
  • a method and an apparatus for performing a maintenance of the PCVs of the aforementioned embodiment may also be applied to any processing apparatuses other than the plasma etching apparatus.
  • the plasma etching apparatus of the present invention may arbitrarily employ an etchant gas, a dilution gas and an additive gas depending on processes.
  • an organic compound gas containing halogen may contain chlorine Cl, bromine Br or iodine I without being limited to fluorine F.
  • the single annular outer upper electrode 36 forming the upper electrode 34 is provided in the aforementioned embodiment, two or more outer upper electrodes 36 spaced from each other at regular intervals may be provided around a peripheral portion of the inner upper electrode 38 .
  • the upper electrode 34 may be formed in either one part or separate parts.
  • a substrate to be processed is a semiconductor wafer in the aforementioned embodiment
  • the substrate to be plasma-processed may also be a glass substrate for use in a flat display panel such as an LCD glass substrate and a PDP substrate.
  • the present invention may be applied to a plasma CVD apparatus for forming an insulating film, a conductive film, a semiconductor film or the like and an apparatus for plasma cleaning of an insulating substrate surface, a chamber inner wall or the like.

Abstract

In order to improve a controllability of etching characteristics by way of precisely and freely controlling a flow or a density distribution of a processing gas introduced into a processing chamber, a plasma etching apparatus includes, as a gas inlet for introducing an etching gas into a plasma generation region PS in a chamber 10, an upper gas inlet (an upper central shower head 66 a and an upper peripheral shower head 68 a) for introducing a gas through an upper electrode 38; and a side gas inlet for introducing a gas through a sidewall of the chamber 10. The side gas inlet 104 has a side shower head 108 attached to the sidewall of the chamber 10.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a plasma etching apparatus; and, more particularly, to a parallel plate plasma etching apparatus.
  • BACKGROUND OF THE INVENTION
  • A recent trend towards a miniaturized semiconductor device structure in design criteria intensifies a demand for processing a material to be etched in a cross sectional shape of a high-density pattern. Currently, in a plasma etching apparatus for use in manufacturing a semiconductor device or a flat panel display (FPD), a generation of high-density plasma is indispensable for a miniaturization of a semiconductor device structure or a high-rate etching process for a substrate to be processed (a semiconductor wafer, a glass substrate or the like). Accordingly, in a parallel plate plasma etching apparatus, in order to generate the high-density plasma, various investigations or trials have been attempted by way of increasing a frequency of a plasma exciting radio frequency RF from a conventional standard frequency of 13.56 MHz to a remarkably high frequency (e.g., 40 MHz or higher).
  • Along with the high density of the plasma, a demand for uniformity of etching characteristics (especially, an etching rate, an etching pattern or the like) on a substrate becomes more and more strict. Conventionally, in the parallel plate plasma etching apparatus, an upper electrode serves as a shower head having a plurality of gas injection openings, and an etching gas is discharged through the shower head toward a substrate on a lower electrode. Further, a glow discharge occurs between the electrodes due to a radio frequency power applied thereto, thereby generating a plasma of the etching gas. As for the etching gas, there has been widely used a mixed gas wherein an etchant gas containing halogen atoms such as chlorine or fluorine is mixed with an inert gas, e.g., Ar, and/or an additive gas, e.g., O2.
  • However, in the conventional parallel plate plasma etching apparatus, it is difficult to realize uniform etching characteristics on a substrate to be processed and, further, it is hard to control etching characteristics, especially on a peripheral portion of the substrate. According to the finding of the inventors of the present invention, even if a flow rate of an etching gas to be introduced into a processing chamber or a pressure in the chamber is adjusted to be set at predetermined specific values, it is difficult to precisely control a flow or a density distribution of the etching gas in a space above a substrate, i.e., in a plasma generation region, and especially those in a vicinity of the peripheral portion of the substrate are likely to be nonuniform and incontrollable.
  • SUMMARY OF THE INVENTION
  • It is, therefore, an object of the present invention to provide a parallel plate plasma etching apparatus capable of improving a controllability of etching characteristics by way of precisely and freely controlling a flow or a density distribution of a processing gas introduced into a processing chamber.
  • In accordance with an aspect of the present invention, there is provided a first plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; an upper gas inlet for introducing a first gas including etchant gas through the upper electrode into the plasma generation region; and a side gas inlet for introducing a second gas including dilution gas through a sidewall of the processing chamber into the plasma generation region.
  • In the first plasma etching apparatus, the first gas including etchant gas is introduced downward through the upper gas inlet into the plasma generation region between the upper electrode and the lower electrode and, at the same time, the second gas including dilution gas is introduced inwardly through the side gas inlet into the plasma generation region. In accordance with such gas introduction manner for introducing and mixing different etching gas species from two directions of the upper and the side portion, gas species, gas mixing ratios and gas flow rates of each system can be properly selected and adjusted and, further, a balance between both systems can be controlled. Accordingly, it is possible to precisely and freely control a flow or a density distribution of a processing gas in the plasma generation region, thereby improving a controllability of etching characteristics on a substrate.
  • Preferably, the upper gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas. Further, in case the first gas is a mixed gas, it is preferable to provide at the upper gas inlet a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
  • Preferably, the upper gas inlet has an upper gas injection portion provided at the upper electrode, for injecting the first gas toward the plasma generation region. The upper gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals. Further, the upper gas inlet has a first gas supply line for supplying the first gas toward the upper gas injection portion and an upper buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper gas injection portion.
  • Preferably, the side gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas. In case the second gas is a mixed gas, it is preferable to provide at the side gas inlet a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
  • Preferably, the side gas inlet has a side gas injection portion provided at the sidewall of the processing chamber, for injecting the second gas toward the plasma generation region. The side gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals. Further, the side gas inlet has a second gas supply line for supplying the second gas toward the side gas injection portion and a side gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the upper gas injection portions. The side gas injection portion is preferably made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • A gas to be added to the etchant gas can be introduced through the upper gas inlet and the side gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the upper gas inlet or the side gas inlet.
  • In accordance with another aspect of the present invention, there is provided a second plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; an upper central gas inlet for introducing a first gas including dilution gas through a central portion of the upper electrode into the plasma generation region; an upper peripheral gas inlet for introducing a second gas including etchant gas through a peripheral portion provided at an outside of the central portion of the upper electrode along its radial direction into the plasma generation region; and a side gas inlet for introducing a third gas including dilution gas through a sidewall of the processing chamber into the plasma generation region.
  • In the second plasma etching apparatus, the first gas including dilution gas is introduced downward through the upper central gas inlet into the plasma generation region between the upper electrode and the lower electrode; the second gas including etchant gas is introduced downward through the upper peripheral gas inlet into the plasma generation region; and the third gas including dilution gas is introduced inwardly (toward the center) through the side gas inlet. In accordance with such gas introduction manner for introducing two gas species for etching from two directions, i.e., from above and side and mixing the first and the third gas including dilution gas respectively through the upper central gas inlet and the side gas inlet so that the second gas including etchant gas introduced through the upper peripheral gas inlet can be maintained between the central portion and the side portion, it is possible to precisely and freely control a flow or a density distribution of a processing gas in the plasma generation region. Accordingly, a controllability of etching characteristics on a substrate can be further enhanced.
  • Preferably, the upper central gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas. In case the first gas is a mixed gas, it is preferable to provide in the upper central gas inlet a first mixing ratio control unit for independently controlling a mixing ratio of the first gas. Further, preferably, the upper central gas inlet has upper central gas injection portions provided at a central portion of the upper electrode, for injecting the first gas toward the plasma generation region. The upper central gas injection portions preferably have a plurality of gas injection openings disposed at regular intervals. Further, the upper central gas inlet preferably has a first gas supply line for supplying the first gas toward the upper central gas injection portions and an upper central gas buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper central gas injection portions.
  • Preferably, the upper peripheral gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas. In case the second gas is a mixed gas, the upper peripheral gas inlet preferably has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas. Further, preferably, the upper peripheral gas inlet has an upper peripheral gas injection portion provided at an outside of the central portion of the upper electrode along its radial direction, for injecting the second gas toward the plasma generation region. The upper peripheral gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals. Further, the upper peripheral gas inlet preferably has a second gas supply line for supplying the second gas toward the upper peripheral gas injection portion and an upper peripheral gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the upper peripheral gas injection portion.
  • Preferably, the side gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas. In case the third gas is a mixed gas, the side gas inlet preferably has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas. Further, preferably, the side gas inlet has side gas injection portions provided on a sidewall of the processing chamber, for injecting the third gas toward the plasma generation region. The side gas injection portion preferably has a plurality of gas injection openings disposed at regular intervals and preferably are circumferentially provided on the sidewall of the processing chamber at regular intervals. Furthermore, the side gas inlet preferably has a third gas supply line for supplying the third gas toward the side gas injection portion and a side buffer space for accumulating the third gas supplied through the third gas supply line in front of the side gas injection portion. The side gas inlet is preferably made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • A gas to be added to the etchant gas can be introduced through the upper central gas inlet, the upper peripheral gas inlet and the side gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the upper central gas inlet or the upper peripheral gas inlet and the side gas inlet.
  • In accordance with still another aspect of the present invention, there is provided a third plasma etching apparatus including: a depressurizable processing chamber; a lower electrode for mounting thereon a substrate to be processed in the processing chamber; an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween; a radio frequency power supply unit for applying a radio frequency between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region; a first gas inlet for introducing a first gas including dilution gas through a first region containing a central portion of the upper electrode into the plasma generation region; a second gas inlet for introducing a second gas including etchant gas through a second region of the upper electrode provided at an outside of the first region along its radial direction into the plasma generation region; and a third gas inlet for introducing a third gas including dilution gas through a third region of the upper electrode provided at an outside of the second region along its radial direction into the plasma generation region.
  • In the third plasma etching apparatus, the first gas including dilution gas is introduced downward through the first upper gas inlet into the plasma generation region between the upper electrode and the lower electrode; the second gas including etchant gas is introduced downward through the second upper gas inlet into the plasma generation region; and the third gas including dilution gas is introduced downward through the third gas inlet into the plasma generation region. In accordance with such gas introduction manner for introducing and mixing the first and the third gas including dilution gas respectively through the first and the third upper gas inlet so that the second gas including etchant gas introduced through the second upper gas inlet located at a middle portion of the upper electrode in a diametric direction, can be maintained between the central portion and the peripheral portion, it is possible to precisely and freely control a flow or a density distribution of a processing gas in the plasma generation region. Accordingly, a controllability of etching characteristics on a substrate can be further improved.
  • Preferably, the first upper gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas. In case the first gas is a mixed gas, the first upper gas inlet preferably has a first mixing ratio control unit for independently controlling a mixing ratio of the first gas. Further, Preferably, the first upper gas inlet has a first upper gas injection portion provided in the first region of the upper electrode, for injecting the first gas toward the plasma generation region. The first upper gas injection portion preferably has a plurality of gas injection openings disposed at predetermined specific intervals. Further, the first upper gas inlet preferably has a first gas supply line for supplying the first gas toward the first upper gas injection portion and a first upper gas buffer space for accumulating the first gas supplied through the first upper gas supply line in front of the first upper gas injection portion.
  • Preferably, the second upper gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas. In case the second gas is a mixed gas, the second upper gas inlet preferably has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas. Further, preferably, the second upper gas inlet has a second upper gas injection portion provided in the second region of the upper electrode, for injecting the second gas toward the plasma generation region. It is preferable that the second upper gas injection portion has a plurality of gas injection openings disposed at predetermined specific intervals. Further, the second upper gas inlet preferably has a second gas supply line for supplying the second gas toward the second upper gas injection portion and a second upper buffer space for accumulating the second gas supplied from the second gas supply line in front of the second upper gas injection portion.
  • In accordance with a preferred embodiment of the present invention, the third upper gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas. In case the third gas is a mixed gas, the third upper gas inlet has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas. Further, preferably, the third upper gas inlet has a third upper gas injection portion provided in the third region of the upper electrode, for injecting the third gas toward the plasma generation region. The third upper gas injection portion has a plurality of gas injection openings disposed at predetermined specific intervals. Further, the third upper gas inlet has a third gas supply line for supplying the third gas toward the third upper gas injection portion and a third upper buffer space for accumulating the third gas supplied through the third gas supply line in front of the third upper gas injection portion. The third upper gas inlet is made of a material without causing any contamination problem, e.g., Si and SiC, or a heat-resistance material such as quartz.
  • A gas to be added to the etchant gas can be introduced through the first, the second and the third upper gas inlet while being distributed at an arbitrary ratio. Generally, it is preferable to introduce all or most of the additive gas through the second upper gas inlet or the first and the third upper gas inlet.
  • In accordance with the plasma etching apparatus of the present invention, by the aforementioned configuration and operation, it is possible to precisely and freely control a flow or a density distribution of a processing gas introduced into a processing chamber, so that etching characteristics can be improved.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional view of a composition of a plasma etching apparatus in accordance with a preferred embodiment of the present invention;
  • FIG. 2 shows an enlarged cross-sectional view of principal parts of a composition of surroundings of an upper electrode of the plasma etching apparatus;
  • FIG. 3 illustrates a fragmentary sectional top view depicting a detailed composition of a side gas inlet in accordance with a first preferred embodiment;
  • FIG. 4 describes a processing gas flow rate control system in accordance with the first preferred embodiment;
  • FIG. 5 provides a top view showing a distribution pattern of gas injection openings in an upper shower head in accordance with the first preferred embodiment;
  • FIG. 6 presents a top view illustrating a distribution pattern of gas injection openings in a side shower head in accordance with the first preferred embodiment;
  • FIG. 7 represents a schematic cross-sectional view schematically depicting a processing gas flow in a chamber in accordance with the first preferred embodiment;
  • FIG. 8 offers a schematic top view schematically depicting the processing gas flow in the chamber in the first preferred embodiment;
  • FIG. 9 illustrates a composition of principal parts of a gas introduction mechanism in accordance with a second preferred embodiment;
  • FIG. 10 describes a composition of a processing gas flow rate control system in accordance with the second preferred embodiment;
  • FIG. 11 provides a schematic sectional view schematically depicting a processing gas flow in a chamber in accordance with the second preferred embodiment;
  • FIG. 12 presents an additional example of a processing gas flow rate control system in accordance with the second preferred embodiment;
  • FIG. 13A represents a graph of a pressure being monitored for a maintenance of two PCVs forming a flow rate ratio control unit of the processing gas flow rate control system;
  • FIG. 13B depicts a monitored pressure difference in FIG. 13A;
  • FIG. 14A is a wave form chart showing time characteristics of a monitored pressure (pressure measurement value) obtained from an examination of ‘gas pressure stability check’;
  • FIG. 14B illustrates another wave form chart depicting time characteristics of a monitored pressure (pressure measurement value) obtained from an examination of ‘gas pressure stability check’;
  • FIG. 15A provides span characteristics of a correlation between a gas flow rate and a pressure (responsiveness of the gas flow rate to the pressure);
  • FIG. 15B depicts another span characteristics of a correlation between a gas flow rate and a pressure;
  • FIG. 16 describes a schematic sectional view illustrating locations of coolant passageways in an upper electrode of the plasma etching apparatus in accordance with the preferred embodiments;
  • FIG. 17 is a top view showing coolant flow directions in the coolant passageways of the upper electrode;
  • FIG. 18 provides a cross-sectional view illustrating cross sectional shapes of the coolant passageways of the upper electrode;
  • FIG. 19 presents a fragmentary sectional view showing a composition of a joint portion of a processing gas introduction line connected to an upper shower head;
  • FIG. 20A is a fragmentary enlarged side view (separated state) of principal parts of a chamber separating/coupling portion in a plasma etching apparatus in accordance with a preferred embodiment;
  • FIG. 20B offers a fragmentary enlarged sectional view (separated state) of the principal parts in FIG. 20A;
  • FIG. 21A provides a fragmentary enlarged side view (coupled state) of the principal parts of the chamber separating/coupling portion in the plasma etching apparatus in accordance with a preferred embodiment;
  • FIG. 21B offers a fragmentary enlarged sectional view (coupled state) of the principal parts in FIG. 21A;
  • FIG. 22 depicts an overall perspective view illustrating an attachment state and a structure of an EMI shield spiral in a preferred embodiment; and
  • FIG. 23 represents a perspective view describing a structure of the EMI shield spiral in the preferred embodiment.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Hereinafter, preferred embodiments of the present invention will be described with reference to the accompanying drawings.
  • FIG. 1 shows a composition of a plasma etching apparatus in accordance with a preferred embodiment of the present invention. The plasma etching apparatus is a capacitively coupled plasma etching apparatus of a parallel plate electrode structure having a cylindrical chamber (processing chamber) 10 made of aluminum, wherein an inner wall surface thereof is covered with an alumina film or an yttrium oxide (Y2O3) film. The chamber 10 is frame grounded.
  • A columnar susceptor support 14 is provided on a bottom of the chamber 10 via an insulating plate 12 made of ceramic or the like. Further, a susceptor 16 made of, e.g., aluminum is installed on the susceptor support 14. The susceptor 16 forms a lower electrode of a parallel plate electrode structure, and a semiconductor wafer W as a substrate to be processed is mounted thereon.
  • Provided on top of the susceptor 16 is an electrostatic chuck 18 for supporting the semiconductor wafer W with the help of an electrostatic adsorptive force. The electrostatic chuck 18 has a structure in which an electrode 20 made of a conductive film is inserted by being sandwiched between a pair of insulating layers or sheets, and a DC power supply 22 is electrically connected to the electrode 20. Further, the semiconductor wafer W is adsorptively held on the electrostatic chuck 18 by a Coulomb force generated by a DC voltage from the DC power supply 22.
  • Provided on a top surface of the susceptor 16 so as to surround the electrostatic chuck 18 is a focus ring 24 made of, e.g., silicon for improving an etching uniformity. A cylindrical inner wall member 26 made of, e.g., quartz is provided on lateral surfaces of the susceptor 16 and the susceptor support 14.
  • A coolant chamber 28 is circumferentially provided inside the susceptor support 14. A coolant, e.g., cooling water kept at a predetermined temperature is supplied from a chiller unit (not shown) installed at an outside into the coolant chambers 28 through lines 30 a and 30 b to be circulated therein, so that a processing temperature of the semiconductor wafer W on the susceptor 16 can be controlled by using the temperature of the coolant. Moreover, a thermally conductive gas, e.g., He gas, is supplied from a thermally conductive gas supply unit (not shown) to a space between the top surface of the electrostatic chuck 18 and a bottom surface of the semiconductor wafer W through a gas supply line 32.
  • An upper electrode 34 is installed above the susceptor 16 so as to face the susceptor 16 in parallel. Furthermore, a space between the upper and the lower electrode 16 and 34 forming a parallel plate electrode structure becomes a plasma generation region PS. The upper electrode 34 forms a facing surface, i.e., a surface being in contact with the plasma generation region PS while facing the semiconductor wafer W on the susceptor (lower electrode) 16.
  • The upper electrode 34 includes an annular or a donut-shaped outer upper electrode 36 which faces the susceptor 16 and is separated therefrom by a predetermined distance; and an insulated circular plate shaped inner upper electrode 38 provided in an inner space of the outer upper electrode 36 along its radial direction. The outer and the inner upper electrode 36 and 38 play a main and a secondary role in a plasma generation, respectively.
  • Hereinafter, arrangements around the upper electrode 34 in this embodiment will be described in detail with reference to FIG. 2. As shown in FIG. 2, the outer upper electrode 36 is divided into an upper electrode member 36A and a lower electrode member 36B. The upper electrode member 36A that is a main body is formed of, e.g., alumite-treated aluminum. The replaceable lower electrode member 36B is made of, e.g., silicon and detachably fixed to the upper electrode member 36A with bolts (not shown) or the like in such a way that it is protruded downwardly from a bottom surface of the inner upper electrode 38 by a protruding amount H. Provided between the electrode members 36A and 36B is a coating or sheet 40 for increasing a thermal conductance.
  • The protruding amount H and an inner diameter φ of the lower electrode member 36B of the outer upper electrode 36 determine a strength, a direction or the like of an electric field applied from the outer or the inner upper electrode 36 or 38 to the plasma generation region and further serve as factors for controlling spatial distribution characteristics of a plasma density.
  • When a high-density plasma is generated, the protruding amount H affects uniformity in an electron density spatial distribution along a diametrical direction of the semiconductor wafer. According to experimental data of the inventors, the protruding amount H is preferably smaller than or equal to 25 mm and, more preferably, about 20 mm. The important thing is that the lower electrode member 36B, i.e., a protrusion of the outer upper electrode 36, serves to confine the plasma in the plasma generation region by forming an electric field from a peripheral portion toward an inner portion thereof along its radial direction in the plasma generation region. Accordingly, in order to achieve the uniformity in the plasma density spatial distribution characteristics, the lower electrode member 36B is preferably positioned further outside in a radial direction from an edge portion of the semiconductor wafer W. Meanwhile, a radial width of the lower electrode member 36B is not critical, so that it can be arbitrarily selected.
  • In this embodiment, the lower electrode member 36B is formed to have a tapered surface 37 such that the protruding amount thereof gradually decreases toward its central portion, thereby resulting in no right-angled portion (corner portion) formed thereon. With such a tapered surface structure having no angled portion, it is possible to avoid or suppress an adhesion of reaction products generated by a plasma etching.
  • An annular gap of about 0.25 to 2.0 mm is formed between the outer upper electrode 36 and the inner upper electrode 38. Further, a dielectric material 42 made of, e.g., quartz is provided in the gap, thereby forming a capacitor between the electrodes 36 and 38 having the dielectric material 42 inbetween. The capacitance C42 is selected or adjusted to be set at a desired value determined on the basis of a size of the gap and a dielectric constant of the dielectric material 42. Airtightly attached between the outer upper electrode 36 and a sidewall of the chamber 10 is an annular insulating shielding member 44 made of, e.g., alumina (Al2O3).
  • A first radio frequency power supply 54 is electrically connected to the upper electrode member 36A of the outer upper electrode 36 via a matching unit 46, an upper power feed rod 48, a connector 50 and a cylindrical power feeder 52. The first radio frequency power supply 54 outputs a radio frequency power of 40 MHz or higher, e.g., 60 MHz, thereby generating a high-density plasma in the plasma generation region. The matching unit 46 matches a load impedance to an internal (or output) impedance of the first radio frequency power supply 54. When the plasma is generated in the chamber 10, the matching unit 46 serves to make the output impedance of the first radio frequency power supply 54 and the load impedance be seemingly matched to each other. An output terminal of the matching unit 46 is connected to a top end of the upper power feed rod 48.
  • The power feeder 52 is made of a conductive plate, e.g., an aluminum or copper plate, of a cylindrical or conical shape or the like. A lower portion thereof is connected to the upper electrode member 36A of the outer upper electrode 36 continuously along a circumferential direction, whereas an upper portion thereof is electrically connected to a lower portion of the upper power feed rod 48 by the connector 50. Outside the power feeder 52, a sidewall of the chamber 10 upwardly extends to a position higher than the upper electrode 34 to form a cylindrical grounding conductor 10 a. An upper portion of the cylindrical grounding conductor 10 a is electrically insulated from the upper power feed rod 48 by a general insulation member 56. In such a configuration, a coaxial cable path having the power feeder 52 and the outer upper electrode 36 as a waveguide is formed by the power feeder 52, the outer upper electrode 36 and the cylindrical grounding conductor 10 a in a load circuit, viewed from the connector 50.
  • As shown in FIG. 2, a shielding member 58 is provided on bottom surfaces of a part of the lower electrode member 36B of the outer upper electrode 36 and the insulating shielding member 44. The shielding member 58 is made of, e.g., a thin aluminum plate having an alumite-treated surface, and physically and electrically coupled to a sidewall of the processing chamber 10. Further, the shielding member 58 is horizontally extended from the sidewall of the processing chamber 10 and covers the bottom surfaces of the lower electrode member 36B and the insulating shielding member 44 in a non-contact state or an insulating state. The shielding member 58 serves to block or seal a radio frequency discharge from the bottom surfaces of the lower electrode member 36B of the outer upper electrode 36 and the insulating shielding member 44, thereby suppressing a plasma generation right under those bottom surfaces. Accordingly, the plasma can be more effectively confined in a region right above the semiconductor wafer W.
  • Referring back to FIG. 1, the inner upper electrode 38 includes an electrode plate 60 having a plurality of gas injection openings 60 a, the electrode plate 60 being formed of a semiconductor material such as silicon, silicon carbide or the like; and an electrode support 62 formed of a conductive material, e.g., aluminum, whose surface is treated by an anodic oxidization, for detachably supporting the electrode plate 60.
  • The inner upper electrode 38 serves as a part of an upper gas introduction mechanism to be described later. Provided inside the electrode support 62 are two upper buffer spaces, i.e., an upper central buffer space 66 and an upper peripheral buffer space 68, partitioned by an annular partition member 64 formed of, e.g., an O-ring. Moreover, an upper central shower head 66 a is formed by the upper central buffer space 66 and a plurality of gas injection openings 60 a provided in a bottom surface thereof, whereas an upper peripheral shower head 68 a is formed by the upper peripheral buffer space 68 and a plurality of gas injection openings 60 a provided in a bottom surface thereof. Gas types, gas mixing ratios, gas flow rates or the like can be independently selected or controlled in the upper central shower head 66 a and the upper peripheral shower head 68 a, respectively.
  • The electrode plate 60 of the upper electrode 34 is an exchangeable component consumed by an exposure to a plasma. Further, since reaction products are attached to surfaces of the electrode plate 60 and the gas injection openings 60 a, a maintenance work is required to remove them. Accordingly, the chamber 10 is dividable into an upper and a lower chamber assembly along a line X1-X1 shown in FIG. 1, and in-chamber members can be taken out by opening and removing the upper assembly.
  • Electrically connected to the electrode support 62 of the inner upper electrode 38 is the first radio frequency power supply 54 via the matching unit 46, the upper power feed rod 48, the connector 50 and a lower power feed rod 70. A variable capacitor 72 for variably controlling a capacitance is provided in the middle of the lower power feed rod 70.
  • The variable capacitor 72 adjusts a ratio, i.e., a balance between an outer electric field strength right under the outer upper electrode 36 (or an input power to the outer upper electrode 36) and an inner electric field strength right under the inner upper electrode 38 (or an input power to the inner upper electrode 38). By changing a capacitance C72 of the variable capacitor 72 to increase or decrease an impedance or reactance of the waveguide of the lower power feed rod 70 (inner waveguide), it is possible to change a relative fraction of a voltage drop in the waveguide of the power feeder 52 (outer waveguide) and that in the inner waveguide and to control a ratio of the outer electric field strength (outer input power) to the inner electric field strength (inner input power).
  • As will be described later, a coolant chamber or a coolant passageway (not shown) is provided at a top portion of the outer and the inner upper electrode 36 and 38. Due to a coolant flowing in the coolant passageway from a chiller unit provided at an outside, a temperature of the upper electrode 34 can be regularly controlled.
  • A gas exhaust port 74 is provided at a bottom portion of the chamber 10, and a gas exhaust unit 78 is connected to the gas exhaust port 74 via a gas exhaust line 76. The gas exhaust unit 78 can depressurize the plasma generation region in the chamber 10 to a desired vacuum level with a vacuum pump such as a turbo vacuum pump or the like. Moreover, provided at a sidewall of the chamber 10 is a gate valve (not illustrated) for opening/closing a gate for loading/unloading the semiconductor wafer W.
  • In the plasma etching apparatus of this embodiment, a second radio frequency power supply 82 is electrically connected to the susceptor 16 serving as a lower electrode via a matching unit 80. The second radio frequency power supply 82 outputs a radio frequency power ranging from 2 MHz to 20 MHz, e.g., 2 MHz. Herein, the second radio frequency power supply 82 serves to attract ions from the high-density plasma to the semiconductor wafer W.
  • Electrically connected to the inner upper electrode 38 is a low pass filter (LPF) 84 for passing the radio frequency (2 MHz) from the second radio frequency power supply 82 through the ground without passing the radio frequency (60 MHz) from the first radio frequency power supply 54 therethrough. Although the LPF 84 preferably includes an LR filter or an Lc filter, it may also include a single conducting wire capable of applying sufficient reactance to the radio frequency (60 MHz) from the first radio frequency power supply 54. Meanwhile, electrically connected to the susceptor 16 is a high pass filter (HPF) 86 for passing the radio frequency (60 MHz) from the first radio frequency power supply 54 to the ground.
  • First Embodiment
  • Hereinafter, there will be described a gas introduction mechanism for introducing a processing gas (etching gas) into the chamber 10 in the plasma etching apparatus. Major features of the gas introduction mechanism in the first embodiment will be described as follows. As a gas inlet for introducing an etching gas into the plasma generation region PS in the chamber 10, there are provided an upper gas inlet (the upper central shower head 66 a and the upper peripheral shower head 68 a) for introducing a gas through the upper electrode 38 side and a side gas inlet 104 for introducing a gas through the sidewall side of the chamber 10. As illustrated in FIG. 1, the side gas inlet 104 has a side shower head 108 attached to the sidewall of the chamber 10.
  • Referring to FIG. 1, a processing gas supply source 88 provides an etchant gas to a gas supply line 90 at a desired flow rate and a dilution gas to a gas supply line 94 at a desired flow rate. The gas supply line 90 communicates with the upper peripheral shower head 68 a, and an opening/closing valve 92 is provided therein. Further, the processing gas supply source 88 provides the dilution gas to gas supply branch lines 94 a and 94 b at desired flow rates, respectively. The gas supply branch line 94 a communicates with the upper central shower head 66 a, and the gas supply branch line 94 b communicates with the side shower head 108. Provided in the gas supply lines 94 a and 94 b are mass flow controllers (MFC) 96 and 100 and opening/closing valve 98 and 102, respectively.
  • In accordance with the gas introducing mechanism of this embodiment, the etchant gas is discharged (introduced) through the upper peripheral shower head 68 a toward the plasma generation region PS in the chamber 10 and, at the same time, the dilution gas is discharged (introduced) through the upper central shower head 66 a and the side shower head 108 toward the plasma generation region PS in the chamber 10. Accordingly, the etchant gas and the dilution gas are mixed in the plasma generation region PS, thereby generating a plasma of the mixed gas.
  • By controlling the MFCs 96 and 100, a gas control unit 106 can arbitrarily control a flow rate and a flow rate ratio of the dilution gas in the upper central shower head 66 a and the side shower head 108. Further, the gas control unit 106 controls a mass flow control unit in the processing gas supply source 88.
  • FIG. 3 depicts a detailed composition of the side gas inlet 104 in this embodiment. As illustrated in FIG. 3, a plurality of (four in this example) side shower heads 108 (108 a, 108 b, 108 c and 108 d), which are circumferentially spaced from each other at regular intervals (away from the wafer gate), are installed at the sidewall of the chamber 10.
  • The side shower heads 108 (108 a, 108 b, 108 c and 108 d) have gas injection portions 110 (110 a, 110 b, 110 c and 110 d) inserted in the chamber 10 with a thickness of 40 mm to face the plasma generation region PS and side buffer spaces 112 (112 a, 112 b, 112 c and 112 d) of a manifold structure provided at an outer wall of the chamber 10 to communicate with the gas injection portions 110, respectively. The gas injection portions 110 are provided with a plurality of gas injection openings 114 (114 a, 11 b, 114 c and 114 d). The gas injection portions 110 and the side buffer spaces 112 are preferably made of a semiconductor material such as Si or SiC causing no contamination problem or a heat-resistance material such as quartz. A diameter of the gas injection opening 114 is preferably about 1 mm, for example.
  • The gas supply line 94 b from the processing gas supply source 88 is divided into a plurality of (four) gas supply branch lines 116 (116 a, 116 b, 116 c and 116 d) communicating with the respective buffer spaces 112 (112 a, 112 b, 112 c and 112 d) of the side shower heads 108 (108 a, 108 b, 108 c and 108 d). The gas supply branch lines 116 (116 a, 116 b, 116 c and 116 d) are provided with flow rate control valves 118 (118 a, 118 b, 118 c and 118 d), respectively. Due to a flow rate controlling function of the flow rate control valves 118 (118 a, 118 b, 118 c and 118 d), it is possible to uniformly or arbitrarily and individually control a discharge amount of each of the side shower heads 108 (108 a, 108 b, 108 c and 108 d) or a flow rate thereof per unit area.
  • FIG. 4 provides a composition of a processing gas flow rate control system in this embodiment. The processing gas supply source 88 has separate gas supply sources for supplying respective different gases and MFCs. The separate gas supply sources are selected depending on a material to be etched or a processing condition. In this example, there are provided separate gas supply sources of CxFy and CxHyFz as an etchant gas, a separate gas supply source of Ar as a dilution gas and separate gas supply sources of CO and O2 as an additive gas. Herein, CxFy indicates a fluorocarbon-based fluorine compound, e.g., CF4, C4F6, C4F8 and C5F8. Further, CxHyFz indicates a perfluorocarbon-based fluorine compound, e.g., CH2F2 and CHF3. Furthermore, each of the separate gas supply sources is turned on/off under the control of the control unit 106, and a combination of gas species used in the etching process can be arbitrarily selected.
  • A CxFy gas from the CxFy supply source or a CxHyFz gas from the CxHyFz supply source is provided to the gas supply line 90 via the MFC 124 or 126 and then supplied to the upper peripheral shower head 68 a provided at a top portion of the chamber 10 through the gas supply line 90. The control unit 106 controls a flow rate of the etchant gas, i.e., the CxFy gas or the CxHyFx gas supplied to the upper peripheral shower head 68 a, by controlling the MFC 124 or 126.
  • CO gas from the CO supply source, O2 gas from the O2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128, 130 and 132, respectively, and then mixed in the gas supply line 94. The control unit 106 controls flow rates of the CO gas, the O2 gas and the Ar gas by controlling the MFCs 128, 130 and 132 and hence a mixing ratio of the mixed CO/O2/Ar gas.
  • A part of the mixed dilution gas of CO/O2/Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via the MFC 96 and then supplied to the upper central shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a. A remaining mixed dilution gas of CO/O2/Ar is provided to the gas supply line 94 b via the MFC 100 and then supplied to the side shower heads 108 (108 a, 108 b, 108 c and 108 d) provided on the sidewall of the chamber 10 through the gas supply line 94 b. The control unit 106 controls a flow rate and a flow rate ratio of the mixed dilution gas of CO/O2/Ar supplied to the upper central shower head 66 a and those of the mixed dilution gas of CO/O2/Ar supplied to the side shower head 108 by controlling the MFCs 96 and 100.
  • In the MFCs 96, 100, 124, 126, 128, 130 and 132, opening degrees of the flow rate control valves 96 a, 100 a, 124 a, 126 a, 128 a, 130 a and 132 a are adjusted based on gas flow rates detected by the flowmeters 96 b, 100 b, 124 b, 126 b, 128 b, 130 b and 132 b, respectively.
  • FIG. 5 describes an exemplary distribution pattern of gas injection openings 60 a provided in gas injection portions of the upper central shower head 66 a and the upper peripheral shower head 68 a. As shown in FIG. 5, the gas injection openings 60 a are spaced from each other at prededtermined pitches or intervals in the electrode plate 60 of the inner upper electrode 38 and are distributed in a predetermined ratio in the upper central shower head 66 a and the upper peripheral shower head 68 a partitioned by the annular partition member 64. The illustrated distribution pattern is for an exemplary purpose only, and a radial pattern, a concentric pattern, a matrix pattern or the like may be employed.
  • FIG. 6 presents an exemplary distribution pattern of the gas injection openings 114 provided at the gas injection portion 110 of the side shower head 108. In this example, the gas injection portion 110 is formed in a rectangular shape, and the gas injection openings 114 are spaced from each other at predetermined pitches in a matrix pattern in the X and the Y direction. Such configuration is an exemplary purpose only, and the gas injection portion 110 may have a circular shape and the distribution pattern of the gas injection openings 114 may be distributed in a radial pattern, a concentric circular pattern or the like. Optimal shape and pattern can be selected by repeating experiments or trials.
  • Hereinafter, an operation of the plasma etching apparatus in this embodiment will be described. In the plasma etching apparatus, in order to perform an etching process, a semiconductor wafer W to be processed is loaded into the chamber 10 through a gate (not shown) provided on the sidewall of the chamber and then mounted on the susceptor 16 while a gate valve (not shown) is opened. Next, a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18, and the semiconductor wafer W is fixed on the susceptor 16.
  • Etching gases of predetermined flow rates are introduced through the shower heads 66 a, 68 a and 108 of a triple system into the plasma generation region PS between the upper electrode 34 (36 and 38) and the susceptor (lower electrode) 16 by the aforementioned gas introduction mechanism. In other words, the dilution gas containing an additive gas is introduced through the upper central shower head 66 a at a predetermined flow rate; the etchant gas is introduced through the upper peripheral shower head 68 a at another predetermined flow rate; and the dilution gas containing an additive gas is introduced through the side shower head 108 at yet another predetermined flow rate. The gases from the triple system, which are introduced into the plasma generation region PS, are mixed and become a mixed gas. Meanwhile, an inner pressure of the chamber 10 is depressurized to a preset value (e.g., 10−1 Pa to 102 Pa) by the gas exhaust unit 78. Moreover, a power of a radio frequency (60 MHz) for generating a plasma is applied from the first radio frequency power supply 54 to the upper electrode 34 (36 and 38). And also, a power of a radio frequency (2 MHz) is applied from the second radio frequency power supply 82 to the susceptor 16.
  • By application of such powers, a glow discharge is generated between the upper electrode 34 (36 and 38) and the susceptor (lower electrode) 16 to plasmarize the etching gas in the chamber 10. Then, a to-be-processed surface of the semiconductor wafer W is etched by radicals and/or ions generated in the plasma.
  • In such plasma etching apparatus, by applying a radio frequency power of a radio frequency domain (above 5 MHz to 10 MHz where ions are immovable) to the upper electrode 34, it is possible to form a high density plasma in a desirable dissociation state under a lower pressure condition.
  • Moreover, in the upper electrode 34, the outer upper electrode 36 and the inner upper electrode 38 are used as a main and a secondary radio frequency electrode for generating a plasma, respectively. Since a ratio of an electric field strength applied from the electrodes 36 and 38 to electrons right below those electrodes can be adjusted, a spatial distribution of a plasma density can be controlled in a diametric direction and, further, spatial characteristics of a reactive ion etching can be arbitrarily and precisely controlled.
  • In addition, in such plasma etching apparatus, most or majority of the plasma is generated right under the outer upper electrode 36 and then diffused to portions right under the inner upper electrode 38. Therefore, since the inner upper electrode 38 serving as a shower head is less bombarded by plasma ions, a sputtering at the gas injection openings 56 a of the replaceable electrode plate 60 can be effectively suppressed, resulting in a considerably increased lifespan of the electrode plate 60. Meanwhile, since the outer upper electrode 36 does not have gas injection openings where an electric field is concentrated, the ion bombardment thereto is small and, thus, the lifespan thereof is not shortened.
  • In such plasma etching apparatus, types, mixing ratios, flow rates or the like of gases introduced through the shower heads 66 a, 68 a and 108 of the triple system into the plasma generation region PS in the chamber 10 are balanced. Accordingly, it is possible to optimize the spatial distribution characteristics, e.g., an etching rate, an etching shape or the like, for various etching processes.
  • FIGS. 7 and 8 schematically represent a pattern of a flow of a processing gas in the chamber 10 (especially, in the plasma generation region PS). Hereinafter, a gas introduction method of this embodiment in a specified etching process will be descried with reference to FIGS. 7 and 8.
  • As a specific example, there will be described a case where a contact hole is formed in a silicon oxide film or a silicon nitride film for covering a semiconductor device forming a semiconductor apparatus. In such etching process, it is preferable to use a perfluorocarbon-based gas such as CH2F2 gas or CHF3 gas as an etchant gas and CO gas or O2 gas as an additive gas. However, if the perfluorocarbon-based gas is used as the etchant gas, an organic polymer may be formed due to radicals of an etching gas generated by a plasma excitation. Especially, reaction products may be adhered to a peripheral region of the semiconductor wafer W and, further, an acute tapered contact hole tends to be formed in the peripheral region of the wafer by deposits of the reaction products.
  • Therefore, as depicted in FIG. 7, a balance is adjusted such that a flow rate of an Ar flow [3] discharged through the side shower head 108 into the chamber 10 becomes greater than that of an Ar flow [1] discharged through the upper central shower head 66 a into the chamber 10. With such balance adjustment, a perfluorocarbon-based etchant gas flow [2] introduced through the upper peripheral shower head 68 a into the chamber 10 flows more right under the inner upper electrode 38 than the outer upper electrode 36. Accordingly, the radicals of the etchant are reduced in the peripheral region of the semiconductor wafer W. Further, argon ions generated by the plasmarization of Ar gas function to remove the aforementioned reaction products by sputtering. Due to those operations, the deposition amount of the reaction products becomes reduced in the peripheral region of the semiconductor wafer W, which improves the acute tapered shape of the contact hole. As a result, a cross sectional shape of the contact hole in a surface of the semiconductor wafer W becomes uniform.
  • As illustrated in FIG. 8, the Ar flow [3] discharged through the side shower head 108 becomes extended further widely toward the peripheral portion of the semiconductor wafer W. Thus, it is preferable to discharge more O2 gas and CO gas both of which are capable of removing the reaction products from the side shower head 108.
  • Hereinafter, as an additional specific example of the etching process, there will be described a case where a via hole or a Damascene wiring groove is formed in an interlayer insulating film of a multilayer interconnection structure provided on a top layer of the semiconductor device. Such interlayer insulating film is formed of an insulating layer having a lower dielectric constant than that of a silicon oxide film, e.g., a silicon oxide film, a SiC film, a SiOC film or the like containing a methyl group or an ethyl group. Therefore, in case the via hole or a Damascene wiring groove is formed in the interlayer insulating film, a hard mask formed of a silicon oxide film or a silicon nitride film is used. In such case, a fluorocarbon-based gas, e.g., C4F8 gas, can be preferably used as the etchant gas.
  • In case such fluorocarbon-based etchant gas is used, flow rates of the Ar flow [1] discharged through the upper central shower head 66 a into the chamber 10 and the Ar flow [3] discharged through the side shower head 108 into the chamber 10 are adjusted such that the former is greater than the latter. In other words, the balance in the flow rates thereof is adjusted reversely to that done in the case where the contact hole is formed, so that the cross sectional profile of the via hole or the Damascene wiring groove in the surface of the semiconductor wafer W becomes uniform. In this case, it is preferable to introduce more CO gas or O2 gas for removing the reaction products through the upper central shower head 66 a.
  • By discharging Ar gas and O2 gas or CO gas for removing the reaction products through the upper central shower head 66 a and the side shower head 108 to maintain the etchant gas introduced through the upper peripheral shower head 68 a between the central portion and the side portion and, further, by appropriately adjusting the balance of the gas injection amount between the upper central shower head 66 a and the side shower head 108, it is possible to freely and optimally control the etching characteristics on the semiconductor wafer W in various etching processes (e.g., regardless of whether the amount of reaction products is large or small).
  • Second Embodiment
  • Hereinafter, a gas introduction mechanism of a second preferred embodiment, for introducing a processing gas (an etching gas) into the chamber 10 in the plasma etching apparatus, will be described with reference to FIGS. 9 to 11. A major feature of the gas introduction mechanism in accordance with the second preferred embodiment is that instead of the side gas inlet 104 in the first preferred embodiment, a third upper shower head is provided at an outer portion of the upper peripheral shower head 68 a as a gas inlet for introducing an etching gas into the plasma generation region PS in the chamber 10. In other words, the gas introduction mechanism of the second preferred embodiment includes a first upper shower head (the upper central shower head of the first preferred embodiment), a second upper shower head (the upper peripheral shower head of the first preferred embodiment) and the third upper shower head, which are sequentially provided from the central portion of the upper electrode toward the outer portion thereof in a diametric direction. The compositions other than the gas introduction mechanism in the plasma etching apparatus are identical to those of the first preferred embodiment.
  • FIG. 9 illustrates a composition of principal parts of the gas introduction mechanism of the second preferred embodiment. The inner upper electrode 38 includes an electrode plate 60 formed of a semiconductor material such as Si, SiC or the like, the electrode plate 60 having a plurality of gas injection openings 60 a; and an electrode support 62 formed of a conductive material, e.g., aluminum whose surface is treated by an anodic oxidization, for detachably supporting the electrode plate 60.
  • The inner upper electrode 38 serves as a part of an upper gas introduction mechanism to be described later. Provided inside the electrode support 62 are three upper buffer spaces, i.e., a first upper buffer space 66, a second upper buffer space 68 and a third upper buffer space 208, partitioned by annular partition members 64 and 264 formed of, e.g., O-rings. Moreover, a first upper shower head 66 a includes the first upper buffer space 66 and a plurality of gas injection openings 60 a provided in a bottom surface thereof; a second upper shower head 68 a includes the second upper buffer space 68 and a plurality of gas injection openings 60 a provided in a bottom surface thereof; and a third upper shower head 208 a includes the third upper buffer space 208 and a plurality of gas injection openings 60 a provided in a bottom surface thereof. Gas species, gas mixing ratios, gas flow rates or the like can be independently selected or controlled in the first, the second and the third upper shower head 66 a, 68 a and 208 a, respectively.
  • Referring to FIG. 9, a processing gas supply source 88 provides an etchant gas to a gas supply line 90 at a desired flow rate and a dilution gas to a gas supply line 94 at a desired flow rate. The gas supply line 90 communicates with the second upper shower head 68 a and an opening/closing valve 92 is provided therein. Further, the processing gas supply source 88 provides the dilution gas to gas supply lines 94 a and 94 c at desired flow rates, respectively. The gas supply line 94 a communicates with the first upper shower head 66 a, and the gas supply line 94 c communicates with the third upper shower head 208 a. Provided in the gas supply lines 94 a and 94 c are MFCs 96 and 200 and opening/ closing valves 98 and 202, respectively.
  • In accordance with the gas introducing mechanism of the second preferred embodiment, the etchant gas is discharged (introduced) through the second upper shower head 68 a toward the plasma generation region PS in the chamber 10 and, at the same time, the dilution gas is discharged (introduced) through the first and the third upper shower head 66 a and 208 a toward the plasma generation region PS in the chamber 10. Accordingly, the etchant gas and the dilution gas are mixed in the plasma generation region PS, thereby generating a plasma of the mixed gas.
  • By controlling the MFCs 96 and 200, a gas control unit 106 can arbitrarily control a flow rate and a flow rate ratio of the dilution gas in the first and the third upper shower head 66 a and 208 a. Further, the gas control unit 106 controls a mass flow control unit in the processing gas supply source 88.
  • FIG. 10 provides a composition of a processing gas flow rate control system in this embodiment. The processing gas supply source 88 has separate gas supply sources for supplying respective different gases and MFCs. The separate gas supply sources are selected depending on a material to be etched or a processing condition. In this example, as in the first preferred embodiment, there are provided separate gas supply sources of CxFy and CxHyFz as an etchant gas, a separate gas supply source of Ar as a dilution gas and separate gas supply sources of CO and O2 as additive gases. Further, each of the separate gas supply sources is turned on/off under the control of the control unit 106, and a combination of gas species used in the etching process can be arbitrarily selected.
  • A CxFy gas from the CxFy supply source or a CxHyFz gas from the CxHyFz supply source is provided to the gas supply line 90 via the MFC 124 or 126 and then supplied to the second upper shower head 68 a provided at a top portion of the chamber 10 through the gas supply line 90. The control unit 106 controls a flow rate of the etchant gas, i.e., the CxFy gas or the CxHyFx gas supplied to the second upper shower head 68 a, by controlling either the MFC 124 or 126.
  • CO gas from the CO supply source, O2 gas from the O2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128, 130 and 132, respectively, and then mixed in the gas supply line 94. The control unit 106 controls flow rates of the CO gas, the O2 gas and the Ar gas by controlling the MFCs 128, 130 and 132, respectively, and hence a mixing ratio of the mixed gas of CO/O2/Ar.
  • A part of the mixed dilution gas of CO/O2/Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via the MFC 96 and then supplied to the first upper shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a. The remaining mixed dilution gas of CO/O2/Ar is provided to the gas supply line 94 c via the MFC 200 and then supplied to the third upper shower head 208 a provided at the top portion of the chamber 10 through the gas supply line 94 c. The control unit 106 controls a flow rate and a flow rate ratio of the mixed dilution gas of CO/O2/Ar supplied to the first upper shower head 66 a and those of the mixed dilution gas of CO/O2/Ar supplied to the third upper shower head 208 a by controlling the MFCs 96 and 200.
  • In the MFCs 96, 100, 124, 126, 128, 130 and 132, opening degrees of the flow rate control valves 96 a, 100 a, 124 a, 126 a, 128 a, 130 a and 132 a are adjusted based on gas flow rates detected by the flowmeters 96 b, 100 b, 124 b, 126 b, 128 b, 130 b and 132 b, respectively.
  • Although it is not illustrated, the gas injection openings 60 a provided at a gas injection portion of the first, the second and the third upper shower head 66 a, 68 a and 208 a are spaced from each other at predetermined pitches or intervals in the electrode plate 60 of the inner upper electrode 38 and are distributed in a predetermined ratio at the first, the second and the third upper shower head 66 a, 68 a and 208 a partitioned by the annular partition members 64 and 264. Further, the gas injection openings may be distributed in a radial pattern, a concentric circular pattern, a matrix pattern or the like.
  • Hereinafter, an operation of the plasma etching apparatus of the second preferred embodiment will be described. In the plasma etching apparatus, in order to perform an etching process, a semiconductor wafer W to be processed is loaded into the chamber 10 through a gate (not shown) provided on the sidewall of the chamber and then mounted on the susceptor 16 while a gate valve (not shown) is opened. Next, a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18, and the semiconductor wafer w is fixed on the susceptor 16.
  • Etching gases of predetermined flow rates are respectively introduced from the shower heads 66 a, 68 a and 208 a of a triple system into the plasma generation region PS between the upper electrode 34 (36 and 38) and the susceptor (lower electrode) 16 by the aforementioned gas introduction mechanism. In other words, the dilution gas containing an additive gas is introduced through the first shower head 66 a at a predetermined flow rate; the etchant gas is introduced through the second shower head 68 a at a predetermined flow rate; and the dilution gas containing an additive gas is introduced through the third upper shower head 208 a at a predetermined flow rate. The gases from the triple system, which are introduced into the plasma generation region PS, are mixed and become a mixed gas. Meanwhile, an inner pressure of the chamber 10 is depressurized to a preset value (e.g., 10−1 Pa to 102 Pa) by the gas exhaust unit 78. Moreover, a power of a radio frequency (60 MHz) for generating a plasma is applied from the first radio frequency power supply 54 to the upper electrode 34 (36 and 38). And also, a power of a radio frequency (2 MHz) is applied from the second radio frequency power supply 82 to the susceptor 16.
  • By application of such powers, a glow discharge occurs between the upper electrode 34 (36 and 38) and the susceptor (lower electrode) 16 to thereby plasmarize the etching gas in the chamber 10. Then, a to-be-processed surface of the semiconductor wafer W is etched by radicals and/or ions generated in the plasma.
  • In such plasma etching apparatus of the second preferred embodiment, species, mixing ratios, flow rates or the like of gases introduced through the shower heads 66 a, 68 a and 208 a of the triple system into the plasma generation region PS in the chamber 10 are balanced. Accordingly, it is possible to optimize the spatial distribution characteristics such as an etching rate, an etching shape or the like, in various etching processes.
  • FIG. 11 schematically represents a flow of a processing gas in the chamber 10 (especially, in the plasma generation region PS). Hereinafter, a gas introduction method of this embodiment in a specified etching process will be described with reference to FIG. 11.
  • As a specific example, there will be described a case where a contact hole is formed in a silicon oxide film or a silicon nitride film for covering a semiconductor device forming a semiconductor apparatus as in the first preferred embodiment. In such etching process, it is preferable to use a perfluorocarbon-based gas such as CH2F2 gas or CHF3 gas as an etchant gas and CO gas or O2 gas as an additive gas. However, if the perfluorocarbon-based gas is used as the etchant gas, an organic polymer may be formed due to radicals of an etching gas generated by a plasma excitation. Especially, reaction products may be adhered to a peripheral region of the semiconductor wafer W and, further, an acute tapered contact hole tends to be formed in the peripheral region of the wafer by deposits of the reaction products.
  • Therefore, as depicted in FIG. 11 a balance is adjusted such that a flow rate of an Ar flow [3] discharged through the third upper shower head 208 a into the chamber 10 becomes greater than that of an Ar flow [1] discharged through the first upper shower head 66 a into the chamber 10. With such balance adjustment, a perfluorocarbon-based etchant gas flow [2] introduced through the second upper shower head 68 a into the chamber 10 flows more right under the inner upper electrode 38 than right under the outer upper electrode 36. Accordingly, the radicals of the etchant are reduced in the peripheral region of the semiconductor wafer W. Further, argon ions generated by the plasmarization of Ar gas function to remove the aforementioned reaction products by sputtering. Due to those operations, the deposition amount of the reaction products becomes reduced in the peripheral region of the semiconductor wafer W, which improves an acute tapered shape of the contact hole. As a result, a cross sectional shape of the contact hole in a surface of the semiconductor wafer W becomes uniform.
  • Hereinafter, as an additional specific example of the etching process, there will be described a case where a via hole or a Damascene wiring groove is formed in an interlayer insulating film of a multilayer interconnection structure provided on a top layer of the semiconductor device, as in the first preferred embodiment. Such interlayer insulating film is formed of an insulating layer having a lower dielectric constant than that of a silicon oxide film, e.g., a silicon oxide film, a SiC film, a SiOC film or the like containing a methyl group or an ethyl group. Therefore, in case the via hole or a Damascene wiring groove is formed in the interlayer insulating film, a hard mask formed of a silicon oxide film or a silicon nitride film is used. In this case, it is preferable to use a fluorocarbon-based gas, e.g., C4F8 gas, as the etchant gas.
  • In case such fluorocarbon-based etchant gas is used, a flow rate of the Ar flow [1] discharged through the first upper shower head 66 a into the chamber 10 and that of the Ar flow [3] discharged through the third upper shower head 208 a into the chamber 10 are adjusted such that the former is greater than the latter. In other words, the balance of the flow rates thereof are adjusted reversely to that done in the case where the contact hole is formed, so that the cross sectional profile of the via hole or the Damascene wiring groove in the surface of the semiconductor wafer W becomes uniform. In this case, it is preferable to introduce more CO gas or O2 gas for removing the reaction products from the first upper shower head 66 a.
  • By discharging Ar gas and O2 gas or CO gas for removing the reaction products from the first and the third upper shower head 66 a and 208 a to maintain the etchant gas introduced through the second upper shower head 68 a between the central portion and the side portion and, further, by appropriately adjusting the balance of the gas injection amount between the first and the third upper shower head 66 a and 208 a, it is possible to freely and optimally control the etching characteristics on the semiconductor wafer W in various etching processes (e.g., regardless of whether the amount of reaction products is large or small).
  • Although it is not illustrated, it is possible to add the side shower head 108 of the first preferred embodiment to the gas introduction mechanism of the second preferred embodiment.
  • Hereinafter, a modified example (an additional example) of the processing gas flow rate control system in this embodiment will be described with reference to FIGS. 12 to 15. FIG. 12 shows a composition of the modified example. The parts common to the system of FIG. 10 will be assigned like reference numerals. In such processing gas flow rate control system, flow rates or distribution amounts of the dilution gas to be distributed to the first and the third shower head 66 a and 208 a, respectively, are controlled by a pressure control unit PCV, thereby achieving a high responsiveness to a change of a gas species.
  • Referring to FIG. 12, in the processing gas supply source 88, CO gas from the CO supply source, O2 gas from the O2 supply source and Ar gas from the Ar supply source are provided to the gas supply line 94 via the MFCs 128, 130 and 132, respectively, and then mixed in the gas supply line 94. The control unit 106 controls flow rates of the CO gas, the O2 gas and the Ar gas and hence a mixing ratio of the mixed gas of CO/O2/Ar by controlling the MFCs 128, 130 and 132.
  • A part of the mixed dilution gas of CO/O2/Ar formed in the gas supply line 94 is provided to the gas supply line 94 a via a first PCV 300 and then supplied to the first shower head 66 a provided at the top portion of the chamber 10 through the gas supply line 94 a. Herein, the first PCV 300 has a pressure control valve 300 a, e.g., a normal open type air operator valve, and a pressure sensor 300 b. The remaining mixed dilution gas of CO/O2/Ar is provided to the gas supply line 94 b via a second PCV 302 forming a mass flow control unit and then supplied to the third shower head 208 a provided at the top portion of the chamber 10 through the gas supply line 94 c. The second PCV 302 also has a pressure control valve 302 a, e.g., a normal open type air operator valve, and a pressure sensor 302 b.
  • The gas control unit 106 adjusts respective opening degrees of the pressure control valves 300 a and 302 a in the first and the second PCV 300 and 302. In this case, it is possible to adjust the opening degrees of both or either one of the pressure control valves 300 a and 302 a. For example, an arbitrary pressure ratio can be selected by adjusting the opening degree of the pressure control valve 302 a whose output pressure becomes relatively lower while keeping the pressure control valve 300 a whose output pressure becomes relatively higher fully opened. With such pressure ratio control, it is possible to arbitrarily control a ratio of a flow rate of the mixed dilution gas of CO/O2/Ar supplied to the first shower head 66 a to that of the mixed dilution gas of CO/O2/Ar supplied to the third shower head 208 a.
  • Monitored pressure signals respectively outputted from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 are transmitted to a maintenance control unit 304 via the gas control unit 106. The maintenance control unit 304 includes a microcomputer and performs a maintenance process to be described later based on the monitored pressures (pressure measurement values) from the pressure sensors 300 b and 302 b.
  • In accordance with this example, in case preset values of the flow rates (gas distribution amounts) of the dilution gas to be distributed respectively to the first and the third shower head 66 a and 208 a are changed, the gas control unit 106 can control both or either one of the pressure control valves 300 a and 302 a in response to a command from a main control unit such that the gas flow rate can be instantly changed. Accordingly, it is possible to adjust a balance of the gas flow rate ratio between the Ar flow [1] discharged (introduced) through the first shower head 66 a into the chamber 10 and the Ar flow [3] discharged (introduced) through the third shower head 208 a into the chamber 10 with high accuracy during the etching process. By improving such function of adjusting a flow rate balance, it is possible to improve etching characteristics such as a uniformity of an in-surface etching shape of the semiconductor wafer W or the like. Moreover, a flow rate ratio controlling method employing the aforementioned pressure control unit is not limited to the gas distribution to the first and the third shower head 66 a and 208 a, and may be applied to an arbitrary application for performing the same gas distribution.
  • As described above, the processing gas flow rate control system of FIG. 12 can respond more rapidly to a change of a gas flow rate compared to the processing gas flow rate control system described in FIG. 10. However, it has a drawback in which the accuracy of a gas distribution amount is easily affected by a conductance change of a gas channel at a downstream of the pressure control units (the PCVs 300 and 302). In such case, a monitoring and a maintenance of the pressure control unit become significant.
  • Hereinafter, a desired maintenance work for the pressure control unit in this embodiment will be described. The maintenance work is mainly performed by a maintenance processing unit 304 and includes ‘gas pressure span deviation (error) check’, ‘gas pressure stability check’ and a determination process thereof.
  • (Gas Pressure Span Deviation Check)
  • For instance, during a process for purging an inner space of the chamber 10 with N2 gas, the respective pressure control valves 300 a and 302 a of the first and the second PCV 300 and 302 are fully opened, as described above. Further, the N2 gas is supplied at a predetermined flow rate from an N2 gas supply source (not shown) to the gas supply line 94 while keeping an exhaust rate of the inside of the chamber 10 constant. Accordingly, as illustrated in FIG. 13A, monitored pressures PC and PE (pressure measurement values) obtained from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 start to increase exponentially when the N2 gas supply begins to reach at stable constant pressures [PC] and [PE]. In general, since the first shower head 66 a has a smaller number of gas injection openings (a smaller gas channel conductance) than the third shower head 208 a, the pressure [PC] of the first PCV 300 becomes higher than the pressure [PE] of the second PCV 302. In a normal state, the gas pressure difference, i.e., A=[PC]−[PE], falls within a predetermined span.
  • The maintenance processing unit 304 obtains the monitored pressures (pressure measurement values) PC and PE from the pressure sensors 300 b and 302 b and then calculates the gas pressure difference, i.e., A=[PC]−[PE], at 100-millisecond intervals, to thereby monitor the pressures. The pressure monitoring is carried out for a predetermined time period t1 after the start of the purging process until the pressure becomes stabilized, e.g., until the purging process is completed. To be specific, it is checked whether or not the gas pressure difference A falls within a preset tolerance range (lower limit AL-upper limit AH). Then, if it deviates from the tolerance range (lower limit AL-upper limit AH) for a specified time, e.g., for three seconds (thirty times of sampling consecutively performed at 100-millisecond intervals), it is determined as ‘abnormality’ and, then, an alarm is displayed. Herein, the ‘abnormality’ indicates that a relative balance between a gas distribution system of the PCV 300 or the first shower head 66 a and that of the PCV 302 or the second shower head 68 a is lost, which generally occurs when either one of the gas systems is broken.
  • As described above, when the alarm of ‘abnormality’ is displayed, a next semiconductor wafer W is prohibited from being loaded into the chamber 10 of the plasma etching apparatus by the interlock to perform a required maintenance work.
  • (Gas Pressure Stability Check)
  • ‘Gas pressure stability check’ is carried out during a regular maintenance. In this examination as well, N2 gas is supplied at a predetermined flow rate from an N2 gas supply source (not shown) to the gas supply line 94 while keeping an exhaust rate of the inside of the chamber 10 constant. However, the N2 gas is provided to not both of the gas distribution systems but only one of the gas distribution systems. That is, either one of the pressure control valves 300 a and 302 a of the PCVs 300 and 302 is tightly closed, whereas the other is fully opened.
  • To be more specific, an opening/closing state of the pressure control valves 300 a and 302 a is converted into two steps. In the first step, the pressure control valve 300 a of the PCV 300 is tightly closed, whereas the pressure control valve 302 a of the PCV 302 is fully opened. At this time, it is preferable to tightly close only the pressure control valve 300 a after both of the pressure control valves 300 a and 302 a are fully opened first. On the contrary, in the second step, the pressure control valve 302 a of the PCV 302 is tightly closed, whereas the pressure control valve 300 a of the PCV 300 is fully opened. Further, in each step, monitored pressures (pressure measurement values) obtained from the pressure sensors 300 b and 302 b of the PCVs 300 and 302 are acquired.
  • FIGS. 14A and 14B provide waveforms of time characteristics of the monitored pressures (the pressure measurement values) obtained from the pressure sensors 300 b and 302 b while setting N2 gas flow rates L1 and L2 respectively at 600 sccm and 1000 sccm, for example, in the examination of the ‘gas pressure stability check’. As illustrated, in the first step, a considerably higher pressure PEL can be obtained from the fully opened PCV 302 than in an ordinary operation, whereas a considerably lower pressure PCO can be obtained from the fully closed PCV 300 than in the ordinary operation. Moreover, in the second step, a considerably higher pressure PCL can be obtained from the fully opened PCV 300 than in the ordinary operation, whereas a considerably lower pressure PEO can be obtained from the fully closed PCV 302 than in the ordinary operation.
  • In the first step, the maintenance processing unit 304 calculates an average of the monitored pressures PEL and PCO, which are sampled at regular intervals (e.g., at one-second intervals) for a specified period (e.g., nine seconds) from a specific time t2 when the gas pressure becomes stabilized. Thereafter, in the second step, the maintenance processing unit 304 calculates an average of the monitored pressures PCL and PCO, which are sampled at regular intervals for a specified period from a specific time t3 when the gas pressure becomes stabilized.
  • Next, the maintenance processing unit 304 performs a determination process for several examination items based on the monitored pressure data obtained from a plurality of, e.g., two examinations where the N2 gas flow rate is set as a parameter.
  • A first examination item is span characteristics of a responsiveness of a pressure to a gas flow rate. As shown in FIG. 15A, in the gas distribution system of the first PCV 300 or the first shower head 66 a, an increasing rate or an inclination GPC between a pressure PCL1 of a fully opened pressure valve obtained from a first (N2 gas flow rate L1) examination and a pressure PCL2 of a fully opened pressure valve obtained from a second (N2 gas flow rate L2) examination is calculated by using a first order linear approximate equation (PCL2−PCL1)/(L2−L1). Further, it is checked whether the inclination GPC falls within a preset tolerance range (lower limit GL-upper limit GH). In the same manner, as illustrated in FIG. 15B, in the gas distribution system of the second PCV 302 or the third shower head 208 a, an increasing rate or an inclination HPE between a pressure PEL1 of a fully opened pressure valve obtained from the first (N2 gas flow rate L1) examination and a pressure PEL2 of a fully opened pressure valve obtained from the second (N2 gas flow rate L2) examination is calculated by using a first order linear approximate equation (PEL2−PEL1)/(L2−L1). Furthermore, it is checked whether the inclination GPC falls within a preset tolerance range (lower limit HL-upper limit HH). As a cause of the deviation from the tolerance range, there may be considered a breakdown of a pressure control valve or a pressure sensor in the corresponding PCV or the like. Since the distribution control cannot be performed as it is planned, it is preferable to display an alarm instructing an inspection or a component replacement.
  • A second examination item is a CEL abrasion, i.e., an abrasion (deterioration) of the gas injection openings in the shower head. In the plasma etching apparatus, the shower head serving as an upper electrode is abraded and deteriorated by ion bombardments. Especially, an electric field is concentrated around the gas injection openings, resulting in an easy sputtering thereof. If the gas injection openings are abraded, the conductance thereof decreases, thereby lowering a pressure in the corresponding gas distribution system.
  • In order to determine an abrasion state (CEL abrasion in the center) of the gas injection openings in the first shower head 66 a in the gas distribution system of the first PCV 300 or the first shower head 66 a, it is checked whether or not the pressure PCL2 of the fully opened pressure valve obtained under a predetermined N2 gas flow rate (e.g., L2) falls within a preset tolerance range (lower limit KL-upper limit KH), as illustrated in FIG. 14B. If it falls within the tolerance range (lower limit KL-upper limit KH), it is determined to be within a specification (normality). If otherwise, it is determined to be out of the specification (abnormality).
  • In the same manner, in order to determine an abrasion state (CEL abrasion in an edge) of the gas injection openings of the third shower head 208 a in the gas distribution system of the first PCV 300 or the first shower head 66 a, it is checked whether or not the pressure PCE2 of the fully opened pressure valve obtained under a predetermined N2 gas flow rate (e.g., L2) falls within a preset tolerance range (lower limit JL to upper limit JH), as illustrated in FIG. 14B. If it falls within the tolerance range (lower limit JL-upper limit JH), it is determined to be within a specification (normality). If otherwise, it is determined to be out of the specification (abnormality).
  • A third examination item is a gas leak in the gas distribution system. In the ‘gas pressure stability check’, as illustrated in FIGS. 14A and 14B, pressures PCO and PEO due to the gas leak can be detected even in the gas distribution system whose pressure control valves are tightly closed. Such gas leak includes a leak flowing back from the outside via the inside of the chamber 10 as well as a leak in the gas distribution system (especially, the annular partition members 64 and 264 in the shower heads). For example, in the first step, nitrogen gas distributed from the fully opened third shower head 208 a into the chamber 10 flows into the gas distribution system through the gas injection openings of the first shower head 66 a on the close side. A large amount of gas leak is not desirable.
  • Accordingly, as described above, it is checked whether or not the monitored pressures PCO and PEO obtained from the closed gas distribution system are higher than an allowable value M. If the monitored pressures PCO and PEO are lower than the allowable value M, it is determined to be normal, which indicates that the gas leak does not exceed the allowable amount. On the other hand, if the monitored pressures PCO and PEO are higher than the allowable value M, it is determined to be abnormal, which indicates that the gas leak exceeds the allowable amount.
  • Various reference values and tolerance ranges used in the aforementioned maintenance process can be changed at any time depending on a difference between apparatuses, a period of use, a processing gas or the like of the corresponding apparatus. Moreover, it is preferable to check a zero point of the pressure sensor of the pressure control unit by using a separate inspection unit.
  • Hereinafter, a cooling mechanism for the shower head also serving as an electrode in this embodiment will be described with reference to FIGS. 16 to 18. In a capacitively coupled plasma etching apparatus for generating a high-density plasma, it is very critical to control parallel plate electrodes at a specified temperature by reducing a temperature increase of the electrode, wherein the temperature thereof may be easily increased due to a radio frequency power applied to the electrodes.
  • FIG. 16 represents an installation position of a coolant passageway 138 provided in the upper electrode 34 (the outer upper electrode 36 and the inner upper electrode 38) in the plasma etching apparatus of this embodiment. FIG. 17 provides a pattern of a coolant path in the coolant passageway 138. FIG. 18 depicts a cross-sectional structure of the coolant passageway 138, which is taken along line X2-X2 of FIG. 17.
  • A coolant maintained at a specific temperature, e.g., a cooling water, is supplied and circulated from a chiller unit (not shown) provided at an outside into the coolant passageway 138 through a line. As shown in FIG. 17, the coolant supplied from the chiller unit via a line (not shown) flows through an inner entrance 140 into the coolant passageway 138. Next, the coolant goes around a central portion and then flows along a first coolant passageway 148 a in an arrow direction. After the coolant goes around approximately concentrically along the first coolant passageway 148 a, it flows along a second coolant passageway 148 b in a direction opposite to that of the flow in the first coolant passageway 148 a. Then, the coolant flows along a third coolant passageway 148 c in a direction opposite to that of the flow in the second coolant passageway 148 b and then goes through an inner outlet 142. Herein, the inner outlet 142 and an outer entrance 144 are connected to each other by a line (not illustrated), and the coolant flowing from the inner outlet 142 to the outer entrance 144 flows along a fourth coolant passageway 148 d in a direction opposite to that of the flow in the third coolant passageway 148 c. Since the directions of the coolant flows in the adjacent coolant passageways are opposite to each other, a temperature nonuniformity in the outer and the inner upper electrode 36 and 38 can be considerably reduced.
  • FIGS. 18A and 18B show two favorable cross-sectional shapes of the coolant passageway 148. In other words, FIG. 18A provides a comb-shaped cross section of the coolant passageway, and FIG. 18B describes a serpentine cross section of the coolant passageway. With such comb-shaped or serpentine cross sectional structure of the passageway, an area of a sidewall of the coolant passageway increases. Accordingly, a contact area between the coolant and the coolant passageway increases, thereby improving a heat absorption efficiency of the coolant. Further, in any of the cases, the cross sectional area of the coolant passageway 138 has a similar size to that of the aforementioned outer line. Since the coolant passageway has such large cross sectional area, it is possible to suppress a pressure loss and prevent a flow velocity of the coolant from being deteriorated. In fact, whereas a conventional temperature difference between the coolant and the outer and the inner upper electrode 36 and 38 is 20° C., in this embodiment, the temperature difference is reduced to 2° C.
  • With such cooling unit for the shower head also serving as the electrode, it is possible to perform a highly precise temperature control of the upper electrode which generates a high-density plasma by a radio frequency power applied thereto. Thus, an adhesion of reaction products onto the electrode 60 shown in FIG. 16 is reduced, thereby considerably reducing the clogging of the gas injection openings 60 a. As a result, the maintenance of the plasma etching apparatus becomes simple.
  • The following is a description on a gas line for introducing a processing gas into the central gas introduction chamber 66 or the peripheral gas introduction chamber 68 of the shower head also serving as the electrode. In this embodiment, all of the gas supply lines including the gas supply line 90, the branch line 94 a and the like in the chamber 10 are made of an insulating material. This is because if the gas supply line is formed of a conductive material such as SUS, a radio frequency transmission in the chamber becomes disturbed, thereby significantly affecting the etching characteristics.
  • FIG. 19 illustrates an enlarged view of an area 150 of FIG. 1. As shown in FIG. 19, a leading end portion of the gas supply line 90, which is made of Teflon (registered mark), is provided with a protruded portion 152, and a top surface of the electrode support 62 is provided with a recess portion corresponding to the protruded portion 152. Since the protruded portion 152 is fitted in the recess portion without forming any substantial space or gap therebetween, the gas supply line 90 is airtightly attached to the electrode support 62 via an O-ring 154 and a center ring 156. Herein, the processing gas is supplied into the peripheral gas introduction chamber 68 through a gas channel 158 of the gas supply line. Likewise, if a joint portion of the gas supply line 90 connected to the shower head of the upper electrode 34 is blocked by an insulating material such as Teflon (registered trade mark) without forming a gap, it is possible to actually prevent an abnormal discharge in a processing gas introduction line.
  • Hereinafter, a safety function of the plasma etching apparatus of this embodiment, especially, an electromagnetic wave leakage preventing function, i.e., an electro-magnetic interference (EMI) shielding function, will be described with reference to FIGS. 1 and 20 to 22.
  • As described in FIGS. 20A and 20B, the chamber 10 is divided into a lower chamber assembly 162 and an upper chamber assembly 164 by line X1-X1 depicted in FIG. 1. FIG. 20A is a side view of principal parts, showing opposite portions of the separated assemblies 162 and 164, and FIG. 20B provides a cross-sectional view thereof.
  • As depicted in FIG. 20A, a lower claw 168 is fixedly attached to a predetermined specific location of a cylindrical joint member 166 of the lower chamber assembly 162, and an upper claw 172 is provide at a predetermined specific location of a cylindrical joint member 170 of the upper chamber assembly 164. Herein, the upper claw 172 is circumferentially movable within a predetermined range with the help of a bearing mechanism to be described later. Further, a plurality pairs of the upper and the lower claws 172 and 168 facing each other are disposed at regular intervals along a circumference of the chamber.
  • As illustrated in FIG. 20B, a shield groove 174 is formed in a top surface of the cylindrical lower joint member 166 such that it extends along the top surface portion, and an annular EMI shield spiral 176 is inserted in the shield groove 174. Further, an insulating member 178 is provided adjacently to an inner side of the lower joint member 166 along its radial direction. In the meantime, a bearing support 180 is fixed on an outer wall of the cylindrical upper joint member 170, and a bearing drive 182 is mounted on the bearing support 180 via the bearing mechanism 184 such that it can move in a circumferential direction. Moreover, the insulating member 186 is provided adjacently to an inner side of the upper joint member 170 along its radial direction. The upper and the lower insulating member 186 and 178 form the insulating shielding member 44 shown in FIG. 1.
  • FIGS. 21A and 21B present a state where the lower chamber assembly 162 and the upper chamber assembly 164 are coupled to each other along the line X1-X1 shown in FIG. 1. FIG. 21A is a side view of principal parts of the coupled chamber joint portion, and FIG. 20B provides a cross-sectional view thereof.
  • As depicted in FIG. 21A, the upper claw 172 of the upper chamber assembly 164 is engaged with the lower claw 168 of the lower chamber assembly 162 wherein a top surface 172 a is in contact with a bottom surface 168 a. After the top surface of the lower joint portion 166 makes a contact with the bottom surface of the upper joint portion 170, the bearing mechanism 184 moves or displaces the upper claw 172 by a predetermined distance in a circumferential direction with the help of a driving and movement converting mechanism (not shown) such as a pinion and rack, thereby achieving the engagement between the upper and the lower claw 172 and 168.
  • In the coupled state of this embodiment, as shown in FIG. 21B, the lower joint member 166 is electrically connected to the upper joint member 170 by the EMI shield spiral 176, thereby preventing a radio frequency inputted in this apparatus from being leaked out of the chamber 10. Moreover, the lower and the upper joint member 166 and 170 make an airtight contact via a well-known airtight sealing member (not shown) such as an O-ring. Furthermore, the airtight sealing member such as the O-ring may be provided on the inner side of the EMI shield spiral 176.
  • A mechanism for preventing an electromagnetic wave leakage or a noise generation is provided at desired places in addition to the above-described place in the plasma etching apparatus of this embodiment. At all places, as can be seen from the enlarged view of the FIG. 22, the EMI shield spiral 176 made of, e.g., stainless steel, is inserted in a spiral attachment groove provided in a top surface of a cylindrical lower joint member (e.g., the lower joint member 166) and, further, an upper joint member (e.g., the upper joint member 170) is detachably connected thereto from above such that it pushes the EMI shield spiral 176 thereabove.
  • In this embodiment, as shown in FIG. 23, the EMI shield spiral 176 is formed as a ring body having a required diameter or length, and the ring-shaped EMI shield spiral 76 is inserted into the spiral groove. With such ring-shaped EMI shield spiral, the stability and safety in an assembly or a maintenance of the plasma etching apparatus can be considerably enhanced. Conventionally, the EMI shield spiral is cut into a required length, and the linear EMI shield spiral is inserted into the spiral attachment groove. However, in case of the linear EMI shield spiral, if both ends thereof are not perfectly met to each other in the inserted state, the electromagnetic wave leakage preventing function becomes poor or the insertion operation becomes difficult. Further, the linear EMI shield spiral can be formed as the ring-shaped EMI shield spiral by welding both ends thereof to be connected.
  • Although the preferred embodiments of the present invention have been described, the present invention is not limited to those preferred embodiments. It will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention.
  • For example, in the first embodiment, there is provided the triple shower head system including the upper central shower head 66 a, the upper peripheral shower head 68 a and the side shower head 108, wherein the upper central shower head 66 a and the upper peripheral shower head 68 a form the upper shower head provided at the upper electrode 34 (the inner upper electrode 38), and the side shower head 108 is provided on the sidewall of the chamber 10. However, it is possible to provide a dual shower head system including the upper shower head (66 a and 68 a) and the side shower head 108, wherein the upper shower head is a single system obtained by omitting the annular partition member 64, for example. In such case, it is preferable to introduce an etchant gas through the upper shower head (66 a and 68 a) and a dilution gas through the side shower head 108. Further, in the side gas inlet, a processing gas can be introduced through a gas pipe instead of the shower head 108 of this embodiment.
  • In the first preferred embodiment, an additive gas such as O2 gas or CO gas is introduced together with a dilution gas (especially, an inert gas such as Ar) through the side shower head 108 or the upper central shower head 66 a into the chamber 10. However, the additive gas may be introduced together with an etchant gas through the upper central shower head 66 a into the chamber 10. Further, the additive gas may be introduced into the chamber 10 after being distributed based on the classification by gas species or at a desired flow rate ratio between the shower heads 108 and 66 a for the dilution gas and the shower head 68 a for the etchant or between the shower heads 108 and 68 a for the dilution gas. Furthermore, it is also possible to add a predetermined amount of etchant gas to the gas introduced through the shower heads 108 and 66 a for the dilution gas or a predetermined amount of dilution gas (especially, an inert gas) to the gas introduced through the shower head 68 a of the etchant.
  • In the second preferred embodiment, an additive gas such as O2 gas or CO gas is introduced together with a dilution gas (especially, an inert gas such as Ar) through the third shower head 208 a or the first upper shower head 66 a into the chamber 10. However, the additive gas may be introduced together with an etchant gas through the second upper shower head 68 a into the chamber 10. Further, the additive gas may be introduced into the chamber 10 after being distributed based on the classification by gas species or at a desired flow rate ratio between the shower heads 208 a and 66 a for the dilution gas and the shower head 68 a for the etchant or between the shower heads 208 a and 68 a for the dilution gas. Furthermore, it is also possible to add a predetermined amount of etchant gas to the gas introduced through the shower heads 208 a and 66 a for the dilution gas or a predetermined amount of dilution gas (especially, an inert gas) to the gas introduced through the shower head 68 a for the etchant.
  • Although, in the aforementioned embodiment, there has been described a case where gases are distributed to two gas supply lines for a flow rate ratio control, the gases may be distributed to three or more gas supply lines. For example, in case a mass flow control unit is included as the pressure control unit described in FIG. 12, the gas supply lines may be branched by providing in parallel three or more PCVs corresponding to the number of the gas supply lines. Further, when the maintenance check of the flow rate ratio control unit is performed by using three or more PCVs, two PCVs are selected and, then, the check and the determination described above with reference to FIGS. 13 to 15 can be carried out. At this time, it is preferable to check and determine for every combination of two PCVs. Moreover, a method and an apparatus for performing a maintenance of the PCVs of the aforementioned embodiment may also be applied to any processing apparatuses other than the plasma etching apparatus.
  • The plasma etching apparatus of the present invention may arbitrarily employ an etchant gas, a dilution gas and an additive gas depending on processes. For instance, an organic compound gas containing halogen may contain chlorine Cl, bromine Br or iodine I without being limited to fluorine F.
  • Although the single annular outer upper electrode 36 forming the upper electrode 34 is provided in the aforementioned embodiment, two or more outer upper electrodes 36 spaced from each other at regular intervals may be provided around a peripheral portion of the inner upper electrode 38. The upper electrode 34 may be formed in either one part or separate parts.
  • Further, although a substrate to be processed is a semiconductor wafer in the aforementioned embodiment, the substrate to be plasma-processed may also be a glass substrate for use in a flat display panel such as an LCD glass substrate and a PDP substrate.
  • Although the plasma etching apparatus has been described in the aforementioned embodiment, the present invention may be applied to a plasma CVD apparatus for forming an insulating film, a conductive film, a semiconductor film or the like and an apparatus for plasma cleaning of an insulating substrate surface, a chamber inner wall or the like.
  • While the invention has been shown and described with respect to the preferred embodiments, it will be understood by those skilled in the art that various changes and modification may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims (52)

1. A plasma etching apparatus comprising:
a depressurizable processing chamber;
a lower electrode for mounting thereon a substrate to be processed in the processing chamber;
an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween;
a radio frequency power supply unit for applying a radio frequency power between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region;
an upper gas inlet for introducing a first gas including etchant gas through the upper electrode into the plasma generation region; and
a side gas inlet for introducing a second gas including dilution gas through a sidewall of the processing chamber into the plasma generation region.
2. The plasma etching apparatus of claim 1, wherein the upper gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
3. The plasma etching apparatus of claim 1, wherein the first gas is a mixed gas, and the upper gas inlet has a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
4. The plasma etching apparatus of claim 1, wherein the upper gas inlet has an upper gas injection portion provided at the upper electrode, for injecting the first gas toward the plasma generation region.
5. The plasma etching apparatus of claim 4, wherein the upper gas inlet has a first gas supply line for supplying the first gas toward the upper gas injection portion and an upper gas buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper gas injection portion.
6. The plasma etching apparatus of claim 1, wherein the side gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
7. The plasma etching apparatus of claim 1, wherein the second gas is a mixed gas, and the side gas inlet has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
8. The plasma etching apparatus of claim 1, wherein the side gas inlet has a side gas injection portion provided at the sidewall of the processing chamber, for injecting the second gas toward the plasma generation region.
9. The plasma etching apparatus of claim 8, wherein the side gas injection portions are circumferentially provided at the sidewall of the processing chamber at regular intervals.
10. The plasma etching apparatus of claim 8, wherein the side gas injection portion is made of a material selected from a group consisting of Si, SiC and quartz.
11. The plasma etching apparatus of claim 8, wherein the side gas inlet has a second gas supply line for supplying the second gas toward the side gas injection portion and a side gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the side gas injection portion.
12. A plasma etching apparatus comprising:
a depressurizable processing chamber;
a lower electrode for mounting thereon a substrate to be processed in the processing chamber;
an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween;
a radio frequency power supply unit for applying a radio frequency power between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region;
an upper central gas inlet for introducing a first gas including dilution gas through a central portion of the upper electrode into the plasma generation region;
an upper peripheral gas inlet for introducing a second gas including etchant gas through a peripheral portion provided at an outside of the central portion of the upper electrode along its radial direction into the plasma generation region; and
a side gas inlet for introducing a third gas including dilution gas a sidewall of the processing chamber into the plasma generation region.
13. The plasma etching apparatus of claim 12, wherein the upper central gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
14. The plasma etching apparatus of claim 12, wherein the first gas is a mixed gas, and the upper central gas inlet has a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
15. The plasma etching apparatus of claim 12, wherein the upper central gas inlet has an upper central gas injection portion provided at the central portion of the upper electrode, for injecting the first gas toward the plasma generation region.
16. The plasma etching apparatus of claim 15, wherein the upper central gas inlet has a first gas supply line for supplying the first gas toward the upper central gas injection portion and an upper central gas buffer space for accumulating the first gas supplied through the first gas supply line in front of the upper central gas injection portion.
17. The plasma etching apparatus of claim 12, wherein the upper peripheral gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
18. The plasma etching apparatus of claim 12, wherein the second gas is a mixed gas, and the upper peripheral gas inlet has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
19. The plasma etching apparatus of claim 12, wherein the upper peripheral gas inlet has an upper peripheral gas injection portion provided at an outside of the central portion of the upper electrode along its radial direction, for injecting the second gas toward the plasma generation region.
20. The plasma etching apparatus of claim 19, wherein the upper peripheral gas injection portion has a plurality of gas injection openings disposed at regular intervals.
21. The plasma etching apparatus of claim 19, wherein the upper peripheral gas inlet has a second gas supply line for supplying the second gas toward the upper peripheral gas injection portion and an upper peripheral gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the upper peripheral gas injection portion.
22. The plasma etching apparatus of claim 12, wherein the side gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas.
23. The plasma etching apparatus of claim 12, wherein the third gas is a mixed gas, and the side gas inlet has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas.
24. The plasma etching apparatus of claim 12, wherein the side gas inlet has a side gas injection portion provided at the sidewall of the processing chamber, for injecting the third gas toward the plasma generation region.
25. The plasma etching apparatus of claim 24, wherein the side gas injection portions are circumferentially provided at the sidewall of the processing chamber at regular intervals.
26. The plasma etching apparatus of claim 24, wherein the side gas injection portion has a plurality of gas injection openings disposed at regular intervals.
27. The plasma etching apparatus of claim 24, wherein the side gas injection portion is made of a material selected from a group consisting of Si, SiC and quartz.
28. The plasma etching apparatus of claim 24, wherein the side gas inlet has a third gas supply line for supplying the third gas toward the side gas injection portion and a side gas buffer space for accumulating the third gas supplied through the third gas supply line in front of the side gas injection portion.
29. The plasma etching apparatus of claim 12, further comprising a flow rate ratio control unit for controlling a flow rate ratio of the first and the third gas depending on processes.
30. The plasma etching apparatus of claim 12, wherein the first gas contains all or most of an additive gas.
31. The plasma etching apparatus of claim 12, wherein the second gas contains all or most of an additive gas.
32. The plasma etching apparatus of claim 1, wherein the first and the third gas contain all or most of an additive gas.
33. A plasma etching apparatus comprising:
a depressurizable processing chamber;
a lower electrode for mounting thereon a substrate to be processed in the processing chamber;
an upper electrode facing the lower electrode in the processing chamber with a plasma generation region formed therebetween;
a radio frequency power supply unit for applying a radio frequency power between the upper electrode and the lower electrode to thereby form a radio frequency electric field in the plasma generation region;
a first gas inlet for introducing a first gas including dilution gas through a first region containing a central portion of the upper electrode into the plasma generation region;
a second gas inlet for introducing a second gas including etchant gas through a second region of the upper electrode provided at an outside of the first region along its radial direction into the plasma generation region; and
a third gas inlet for introducing a third gas including dilution gas through a third region of the upper electrode provided at an outside of the second region along its radial direction into the plasma generation region.
34. The plasma etching apparatus of claim 33, wherein the first gas inlet has a first mass flow control unit for independently controlling a flow rate of the first gas.
35. The plasma etching apparatus of claim 33, wherein the first gas is a mixed gas, and the first gas inlet has a first mixing ratio control unit for independently controlling a mixing ratio of the first gas.
36. The plasma etching apparatus of claim 33, wherein the first gas inlet has a first gas injection portion provided in the first region of the upper electrode, for injecting the first gas toward the plasma generation region.
37. The plasma etching apparatus of claim 36, wherein the first gas inlet has a first gas supply line for supplying the first gas toward the first gas injection portion and a first gas buffer space for accumulating the first gas supplied through the first gas supply line in front of the first gas injection portion.
38. The plasma etching apparatus of claim 33, wherein the second gas inlet has a second mass flow control unit for independently controlling a flow rate of the second gas.
39. The plasma etching apparatus of claim 33, wherein the second gas is a mixed gas, and the second gas inlet has a second mixing ratio control unit for independently controlling a mixing ratio of the second gas.
40. The plasma etching apparatus of claim 33, wherein the second gas inlet has a second gas injection portion provided in the second region of the upper electrode, for injecting the second gas toward the plasma generation region.
41. The plasma etching apparatus of claim 40, wherein the second gas injection portion has a plurality of gas injection openings disposed at regular intervals.
42. The plasma etching apparatus of claim 40, wherein the second gas inlet has a second gas supply line for supplying the second gas toward the second gas injection portion and a second gas buffer space for accumulating the second gas supplied through the second gas supply line in front of the second gas injection portion.
43. The plasma etching apparatus of claim 33, wherein the third gas inlet has a third mass flow control unit for independently controlling a flow rate of the third gas.
44. The plasma etching apparatus of claim 33, wherein the third gas is a mixed gas, and the third gas inlet has a third mixing ratio control unit for independently controlling a mixing ratio of the third gas.
45. The plasma etching apparatus of claim 33, wherein the third gas inlet has a third gas injection portion provided in the third region of the upper electrode, for injecting the third gas toward the plasma generation region.
46. The plasma etching apparatus of claim 45, wherein the third gas injection portion has a plurality of gas injection openings disposed at regular intervals.
47. The plasma etching apparatus of claim 45, wherein the third gas injection portion is made of a material selected from a group consisting of Si, SiC and quartz.
48. The plasma etching apparatus of claim 45, wherein the third gas inlet has a third gas supply line for supplying the third gas toward the third gas injection portion and a third gas buffer space for accumulating the third gas supplied through the third gas supply line in front of the third gas injection portion.
49. The plasma etching apparatus of claim 33, further comprising a flow rate ratio control unit for controlling a flow rate ratio of the first and the third gas depending on processes.
50. The plasma etching apparatus of claim 33, wherein the first gas contains all or most of an additive gas.
51. The plasma etching apparatus of claim 33, wherein the second gas contains all or most of an additive gas.
52. The plasma etching apparatus of claim 33, wherein the first and the third gas contain all or most of an additive gas.
US11/192,029 2004-07-30 2005-07-29 Plasma etching apparatus Abandoned US20060042754A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/192,029 US20060042754A1 (en) 2004-07-30 2005-07-29 Plasma etching apparatus
US12/700,571 US8821742B2 (en) 2004-07-30 2010-02-04 Plasma etching method

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2004224274 2004-07-30
JP2004-224274 2004-07-30
US60354704P 2004-08-24 2004-08-24
JP2004349608A JP4559202B2 (en) 2004-07-30 2004-12-02 Plasma etching equipment
JP2004-349608 2004-12-02
US63548804P 2004-12-14 2004-12-14
US11/192,029 US20060042754A1 (en) 2004-07-30 2005-07-29 Plasma etching apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/700,571 Continuation US8821742B2 (en) 2004-07-30 2010-02-04 Plasma etching method

Publications (1)

Publication Number Publication Date
US20060042754A1 true US20060042754A1 (en) 2006-03-02

Family

ID=35941387

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/192,029 Abandoned US20060042754A1 (en) 2004-07-30 2005-07-29 Plasma etching apparatus
US12/700,571 Expired - Fee Related US8821742B2 (en) 2004-07-30 2010-02-04 Plasma etching method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/700,571 Expired - Fee Related US8821742B2 (en) 2004-07-30 2010-02-04 Plasma etching method

Country Status (1)

Country Link
US (2) US20060042754A1 (en)

Cited By (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method
US20060157445A1 (en) * 2005-01-18 2006-07-20 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US20070026162A1 (en) * 2005-07-29 2007-02-01 Hung-Wen Wei Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US20070056929A1 (en) * 2005-09-15 2007-03-15 Go Miya Plasma etching apparatus and plasma etching method
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US20070251642A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20070251918A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070252164A1 (en) * 2006-02-17 2007-11-01 Hong Zhong METHOD FOR GROWTH OF SEMIPOLAR (Al,In,Ga,B)N OPTOELECTRONIC DEVICES
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
WO2008005756A2 (en) * 2006-06-30 2008-01-10 Lam Research Corporation Apparatus for substrate processing and methods therefor
EP1918968A1 (en) 2006-10-30 2008-05-07 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080223523A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090194235A1 (en) * 2004-07-26 2009-08-06 Hiroyuki Kobayashi Plasma processing apparatus
US20090231238A1 (en) * 2008-03-17 2009-09-17 Panasonic Corporation Plasma display device
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20090251390A1 (en) * 2008-04-02 2009-10-08 Panasonic Corporation Plasma display device
US20100003829A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped monolithic showerhead electrode
US20100000683A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Showerhead electrode
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US20100116786A1 (en) * 2005-03-25 2010-05-13 Tokyo Electron Limited Etching method and apparatus
US20100119727A1 (en) * 2007-03-27 2010-05-13 Tokyo Electron Limited Film forming apparatus, film forming method and storage medium
US20100212592A1 (en) * 2007-06-19 2010-08-26 Tokyo Electron Limited Vacuum processing apparatus
US20100252197A1 (en) * 2009-04-07 2010-10-07 Lam Reseach Corporation Showerhead electrode with centering feature
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110070740A1 (en) * 2009-09-18 2011-03-24 Lam Research Corporation Clamped monolithic showerhead electrode
US20110083809A1 (en) * 2009-10-13 2011-04-14 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US20110232566A1 (en) * 2007-10-02 2011-09-29 Jack Chen Method and apparatus for shaping a gas profile near bevel edge
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102867725A (en) * 2011-07-06 2013-01-09 东京毅力科创株式会社 Antenna, dielectric window, plasma processing apparatus and plasma processing method
US20130092322A1 (en) * 2004-12-09 2013-04-18 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20140014270A1 (en) * 2012-07-12 2014-01-16 Applied Materials, Inc. Gas mixing apparatus
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US20140295083A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Film forming apparatus, gas supply device and film forming method
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
WO2016188848A1 (en) * 2015-05-22 2016-12-01 Daniel Daferner Reactor and method for treating a substrate
CN106449468A (en) * 2015-08-04 2017-02-22 株式会社日立国际电气 Substrate processing apparatus, substrate processing system, method of manufacturing semiconductor device
US20170069470A1 (en) * 2014-05-12 2017-03-09 Tokyo Electron Limited Upper electrode structure of plasma processing apparatus, plasma processing apparatus, and operation method therefor
CN106505013A (en) * 2015-09-08 2017-03-15 株式会社日立国际电气 Lining processor and the manufacture method of semiconductor device
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
CN110139458A (en) * 2019-04-02 2019-08-16 珠海宝丰堂电子科技有限公司 A kind of electrode assembly and plasma apparatus of plasma apparatus
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
CN111415855A (en) * 2020-04-08 2020-07-14 Tcl华星光电技术有限公司 Etching apparatus
US10950419B2 (en) * 2017-08-22 2021-03-16 Samsung Electronics Co., Ltd. Shrouds and substrate treating systems including the same
CN113451168A (en) * 2020-04-14 2021-09-28 重庆康佳光电技术研究院有限公司 Dry etching gas control system
US20220076921A1 (en) * 2018-12-28 2022-03-10 Tokyo Electron Limited Plasma processing apparatus and control method
US11274372B2 (en) * 2016-05-23 2022-03-15 Tokyo Electron Limited Film deposition apparatus
US11610759B2 (en) * 2016-01-22 2023-03-21 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US11732355B2 (en) 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
WO2024043400A1 (en) * 2022-08-25 2024-02-29 주식회사 원익아이피에스 Substrate treatment device and gas supply method

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4466746B2 (en) * 2008-02-21 2010-05-26 トヨタ自動車株式会社 Abnormality diagnosis device for blow-by gas reduction device
US8617350B2 (en) * 2011-06-15 2013-12-31 Belight Technology Corporation, Limited Linear plasma system
CN103227090B (en) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 A kind of linear plasma source
JP6379550B2 (en) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 Deposition equipment
JP2015201567A (en) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 plasma processing apparatus and method
JP5840268B1 (en) * 2014-08-25 2016-01-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10260149B2 (en) 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5496408A (en) * 1992-11-20 1996-03-05 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing compound semiconductor devices
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5540782A (en) * 1992-10-15 1996-07-30 Tokyo Electron Kabushiki Kaisha Heat treating apparatus having heat transmission-preventing plates
US20020011210A1 (en) * 2000-01-18 2002-01-31 Kiyoshi Satoh Semiconductor-processing device provided with a remote plasma source for self-cleaning
US20030056900A1 (en) * 1996-05-13 2003-03-27 Applied Materials, Incorporated A Delaware Corporation Deposition chamber and method for depositing low dielectric constant films
US20030127498A1 (en) * 2000-03-10 2003-07-10 Japan Unix Co., Ltd. Gas injection type soldering method and apparatus
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0677177A (en) 1992-06-22 1994-03-18 Matsushita Electric Ind Co Ltd Method and apparatus for dry etching
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
JPH1116888A (en) 1997-06-24 1999-01-22 Hitachi Ltd Etching device and operation method therefor
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540782A (en) * 1992-10-15 1996-07-30 Tokyo Electron Kabushiki Kaisha Heat treating apparatus having heat transmission-preventing plates
US5496408A (en) * 1992-11-20 1996-03-05 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing compound semiconductor devices
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US20030056900A1 (en) * 1996-05-13 2003-03-27 Applied Materials, Incorporated A Delaware Corporation Deposition chamber and method for depositing low dielectric constant films
US20020011210A1 (en) * 2000-01-18 2002-01-31 Kiyoshi Satoh Semiconductor-processing device provided with a remote plasma source for self-cleaning
US20030127498A1 (en) * 2000-03-10 2003-07-10 Japan Unix Co., Ltd. Gas injection type soldering method and apparatus
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]

Cited By (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US9038567B2 (en) * 2004-07-26 2015-05-26 Hitachi High-Technologies Corporation Plasma processing apparatus
US8733282B2 (en) * 2004-07-26 2014-05-27 Hitachi High-Technologies Corporation Plasma processing apparatus
US8397668B2 (en) * 2004-07-26 2013-03-19 Hitachi High-Technologies Corporation Plasma processing apparatus
US20140231015A1 (en) * 2004-07-26 2014-08-21 Hitachi High-Technologies Corporation Plasma processing apparatus
US20090194235A1 (en) * 2004-07-26 2009-08-06 Hiroyuki Kobayashi Plasma processing apparatus
US20130199728A1 (en) * 2004-07-26 2013-08-08 Hiroyuki Kobayashi Plasma processing apparatus
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method
US20130092322A1 (en) * 2004-12-09 2013-04-18 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US9441791B2 (en) * 2004-12-09 2016-09-13 Tokyo Electron Limited Gas supply unit, substrate processing apparatus and supply gas setting method
US20060157445A1 (en) * 2005-01-18 2006-07-20 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US8986493B2 (en) 2005-03-25 2015-03-24 Tokyo Electron Limited Etching apparatus
US8361275B2 (en) 2005-03-25 2013-01-29 Tokyo Electron Limited Etching apparatus
US20100116786A1 (en) * 2005-03-25 2010-05-13 Tokyo Electron Limited Etching method and apparatus
US8097083B2 (en) * 2005-07-29 2012-01-17 China Star Optoelectronics International (Hk) Limited Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US20070026162A1 (en) * 2005-07-29 2007-02-01 Hung-Wen Wei Operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US7396771B2 (en) * 2005-09-15 2008-07-08 Hitachi High-Technologies Corporation Plasma etching apparatus and plasma etching method
US20070056929A1 (en) * 2005-09-15 2007-03-15 Go Miya Plasma etching apparatus and plasma etching method
US20070252164A1 (en) * 2006-02-17 2007-11-01 Hong Zhong METHOD FOR GROWTH OF SEMIPOLAR (Al,In,Ga,B)N OPTOELECTRONIC DEVICES
US20110012234A1 (en) * 2006-02-17 2011-01-20 The Regents Of The University Of California Method for growth of semipolar (al,in,ga,b)n optoelectronic devices
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070247075A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254486A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070251917A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070251642A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US20070251918A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
WO2008005756A3 (en) * 2006-06-30 2008-05-08 Lam Res Corp Apparatus for substrate processing and methods therefor
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
WO2008005756A2 (en) * 2006-06-30 2008-01-10 Lam Research Corporation Apparatus for substrate processing and methods therefor
EP1918968A1 (en) 2006-10-30 2008-05-07 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8758551B2 (en) 2007-03-12 2014-06-24 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US8282770B2 (en) * 2007-03-12 2012-10-09 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US20080223523A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US8480849B2 (en) 2007-03-12 2013-07-09 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US20100119727A1 (en) * 2007-03-27 2010-05-13 Tokyo Electron Limited Film forming apparatus, film forming method and storage medium
US8539908B2 (en) * 2007-03-27 2013-09-24 Tokyo Electron Limited Film forming apparatus, film forming method and storage medium
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8623527B2 (en) 2007-04-27 2014-01-07 Applied Materials, Inc. Semiconductor processing apparatus comprising a coating formed from a solid solution of yttrium oxide and zirconium oxide
US20080264565A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US9051219B2 (en) 2007-04-27 2015-06-09 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic formed from yttrium oxide, zirconium oxide, and aluminum oxide
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10840112B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US11373882B2 (en) 2007-04-27 2022-06-28 Applied Materials, Inc. Coated article and semiconductor chamber apparatus formed from yttrium oxide and zirconium oxide
US20100160143A1 (en) * 2007-04-27 2010-06-24 Applied Materials, Inc. Semiconductor processing apparatus comprising a solid solution ceramic of yttrium oxide and zirconium oxide
US10847386B2 (en) 2007-04-27 2020-11-24 Applied Materials, Inc. Method of forming a bulk article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US8034734B2 (en) 2007-04-27 2011-10-11 Applied Materials, Inc. Semiconductor processing apparatus which is formed from yttrium oxide and zirconium oxide to produce a solid solution ceramic apparatus
US10840113B2 (en) 2007-04-27 2020-11-17 Applied Materials, Inc. Method of forming a coated article and semiconductor chamber apparatus from yttrium oxide and zirconium oxide
US20100212592A1 (en) * 2007-06-19 2010-08-26 Tokyo Electron Limited Vacuum processing apparatus
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8871312B2 (en) 2007-08-02 2014-10-28 Applied Materials, Inc. Method of reducing plasma arcing on surfaces of semiconductor processing apparatus components in a plasma processing chamber
US20090036292A1 (en) * 2007-08-02 2009-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US9721782B2 (en) * 2007-10-02 2017-08-01 Lam Research Corporation Method and apparatus for shaping a gas profile near bevel edge
US20110232566A1 (en) * 2007-10-02 2011-09-29 Jack Chen Method and apparatus for shaping a gas profile near bevel edge
US20090231238A1 (en) * 2008-03-17 2009-09-17 Panasonic Corporation Plasma display device
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20090251390A1 (en) * 2008-04-02 2009-10-08 Panasonic Corporation Plasma display device
US20100000683A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Showerhead electrode
US8313805B2 (en) 2008-07-07 2012-11-20 Lam Research Corporation Clamped showerhead electrode assembly
US20100003829A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped monolithic showerhead electrode
US20100003824A1 (en) * 2008-07-07 2010-01-07 Lam Research Corporation Clamped showerhead electrode assembly
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8414719B2 (en) 2008-07-07 2013-04-09 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8796153B2 (en) 2008-07-07 2014-08-05 Lam Research Corporation Clamped monolithic showerhead electrode
US20100252197A1 (en) * 2009-04-07 2010-10-07 Lam Reseach Corporation Showerhead electrode with centering feature
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8536071B2 (en) 2009-04-10 2013-09-17 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US10224220B2 (en) 2009-08-20 2019-03-05 Tokyo Electron Limited Plasma processing apparatus and plasma etching apparatus
US20110070740A1 (en) * 2009-09-18 2011-03-24 Lam Research Corporation Clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9245716B2 (en) 2009-10-13 2016-01-26 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US20110083809A1 (en) * 2009-10-13 2011-04-14 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US10262834B2 (en) 2009-10-13 2019-04-16 Lam Research Corporation Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
CN102867725A (en) * 2011-07-06 2013-01-09 东京毅力科创株式会社 Antenna, dielectric window, plasma processing apparatus and plasma processing method
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US20140014270A1 (en) * 2012-07-12 2014-01-16 Applied Materials, Inc. Gas mixing apparatus
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US11139150B2 (en) 2013-03-12 2021-10-05 Applied Materials, Inc. Nozzle for multi-zone gas injection assembly
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US10410841B2 (en) 2013-03-12 2019-09-10 Applied Materials, Inc. Side gas injection kit for multi-zone gas injection assembly
US20140295083A1 (en) * 2013-03-29 2014-10-02 Tokyo Electron Limited Film forming apparatus, gas supply device and film forming method
US9644266B2 (en) * 2013-03-29 2017-05-09 Tokyo Electron Limited Film forming apparatus, gas supply device and film forming method
US20170069470A1 (en) * 2014-05-12 2017-03-09 Tokyo Electron Limited Upper electrode structure of plasma processing apparatus, plasma processing apparatus, and operation method therefor
US10844489B2 (en) * 2014-10-29 2020-11-24 Tokyo Electron Limited Film forming apparatus and shower head
CN105568255A (en) * 2014-10-29 2016-05-11 东京毅力科创株式会社 Film forming apparatus and shower head
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
WO2016188848A1 (en) * 2015-05-22 2016-12-01 Daniel Daferner Reactor and method for treating a substrate
CN106449468A (en) * 2015-08-04 2017-02-22 株式会社日立国际电气 Substrate processing apparatus, substrate processing system, method of manufacturing semiconductor device
CN106505013A (en) * 2015-09-08 2017-03-15 株式会社日立国际电气 Lining processor and the manufacture method of semiconductor device
US11610759B2 (en) * 2016-01-22 2023-03-21 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US9947539B2 (en) 2016-03-18 2018-04-17 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US11274372B2 (en) * 2016-05-23 2022-03-15 Tokyo Electron Limited Film deposition apparatus
US10541145B2 (en) * 2017-03-29 2020-01-21 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10985029B2 (en) * 2017-03-29 2021-04-20 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US10950419B2 (en) * 2017-08-22 2021-03-16 Samsung Electronics Co., Ltd. Shrouds and substrate treating systems including the same
US11732355B2 (en) 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US20220076921A1 (en) * 2018-12-28 2022-03-10 Tokyo Electron Limited Plasma processing apparatus and control method
US11742183B2 (en) * 2018-12-28 2023-08-29 Tokyo Electron Limited Plasma processing apparatus and control method
CN110139458A (en) * 2019-04-02 2019-08-16 珠海宝丰堂电子科技有限公司 A kind of electrode assembly and plasma apparatus of plasma apparatus
CN111415855A (en) * 2020-04-08 2020-07-14 Tcl华星光电技术有限公司 Etching apparatus
CN113451168A (en) * 2020-04-14 2021-09-28 重庆康佳光电技术研究院有限公司 Dry etching gas control system
WO2024043400A1 (en) * 2022-08-25 2024-02-29 주식회사 원익아이피에스 Substrate treatment device and gas supply method

Also Published As

Publication number Publication date
US20100133234A1 (en) 2010-06-03
US8821742B2 (en) 2014-09-02

Similar Documents

Publication Publication Date Title
US8821742B2 (en) Plasma etching method
KR101772723B1 (en) Plasma processing method
US7718030B2 (en) Method and system for controlling radical distribution
JP4559202B2 (en) Plasma etching equipment
US6182602B1 (en) Inductively coupled HDP-CVD reactor
US6077357A (en) Orientless wafer processing on an electrostatic chuck
US6286451B1 (en) Dome: shape and temperature controlled surfaces
US6189483B1 (en) Process kit
EP1708240B1 (en) Capacitively coupled plasma processing apparatus and method for using the same
KR100954257B1 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
EP2479782B1 (en) Plasma processing apparatus and method
US7794615B2 (en) Plasma processing method and apparatus, and autorunning program for variable matching unit
US6109206A (en) Remote plasma source for chamber cleaning
CN109216144B (en) Plasma reactor with low-frequency radio frequency power distribution adjusting function
US8303834B2 (en) Plasma processing apparatus and plasma etching method
US8394231B2 (en) Plasma process device and plasma process method
US7998307B2 (en) Electron beam enhanced surface wave plasma source
EP0863536A2 (en) Method and apparatus for substrate processing
WO2011021539A1 (en) Plasma treatment device and plasma treatment method
US20060219363A1 (en) Capacitive coupling plasma processing apparatus and method for using the same
US20080142159A1 (en) Plasma Processing Apparatus
US7938081B2 (en) Radial line slot antenna having a conductive layer
US20070227664A1 (en) Plasma processing apparatus and plasma processing method
US20150096882A1 (en) Plasma processing apparatus and plasma processing method
US20210313152A1 (en) Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YOSHIDA, RYOICHI;YOSHIDA, TETSUO;SAITO, MICHISHIGE;AND OTHERS;REEL/FRAME:016829/0696

Effective date: 20050715

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION