US20060057852A1 - Process for low k dielectric plasma etching with high selectivity to deep uv photoresist - Google Patents

Process for low k dielectric plasma etching with high selectivity to deep uv photoresist Download PDF

Info

Publication number
US20060057852A1
US20060057852A1 US11/271,408 US27140805A US2006057852A1 US 20060057852 A1 US20060057852 A1 US 20060057852A1 US 27140805 A US27140805 A US 27140805A US 2006057852 A1 US2006057852 A1 US 2006057852A1
Authority
US
United States
Prior art keywords
deep
layer
resist
sidewall
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/271,408
Inventor
Qiang Fu
James Jeong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US11/271,408 priority Critical patent/US20060057852A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JEONG, JAMES, FU, QIANG
Publication of US20060057852A1 publication Critical patent/US20060057852A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Definitions

  • the areas of underlying layer 302 that are not directly covered by the resist material 304 are removed through an etch process, such as a plasma etch, with the mask of resist material 304 preventing removal of those portions of the underlying material that are directly under the resist material 304 ( FIG. 3 c ). Because an etch is a destructive process, the etch may cause deterioration of the resist material 304 . This deterioration may uncover additional portions of the underlying material 302 before the etch is complete, thereby etching portions of the underlying material 302 that were intended to be protected from the etch process.
  • an etch process such as a plasma etch
  • the deterioration is typically greatest at the sidewalls 306 of the resist material 304 , i.e., a post-etch sidewall angle 308 ′ of the sidewalls 306 is less than the pre-etch sidewall angle 308 of the sidewall 306 , which results in a sloped resist sidewall 306 .
  • Circuit features such as trenches, that may be etched in a substrate, for example, a low k dielectric material, may exhibit significant sloping because the sidewalls 306 of the resist material 304 that define those features are unintentionally etched away ( FIG. 3 c ). If not treated carefully, excessive resist sloping during plasma etching can cause shorting (because the sloped angle of the resist mask is transferred to the circuit feature) between features such as between metal lines or between trenches, for example, which can leading to device failure.
  • a second etch may be performed on the dielectric layer wherein the pressure is from about 15 to about 100 millitorr, the power may be from about 1 to about 4 KW, a C4 F6 flow rate may be from about 10 to about 50 sccm, an argon flow rate may be from about 100 to about 1000 sccm, and a nitrogen flow rate may be from about 50 to about 100 sccm.
  • Etching of the dielectric layer in the current embodiment may be stopped before substantially etching an underlying layer, such as an etch stop layer, by methods previously described herein.

Abstract

A method of forming a microelectronic structure and its associated structures is described. That method comprises forming and patterning a deep uv resist layer on a substrate, etching the substrate in a plasma generated from a gas comprising a carbon to fluorine ratio from about 1:1 to about 2:3 to form substantially vertical sidewalls in the deep uv resist layer.

Description

  • This U.S. Patent application is a divisional of U.S. patent application Ser. No. 10/672,357 filed Sep. 25, 2003.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of microelectronic processing, and more particularly to methods of processing a resist layer in the fabrication of integrated circuits, and structures formed thereby.
  • BACKGROUND OF THE INVENTION
  • Resist layers (sometimes called photoresist layers to imply the lithographic processes typically used with them) are frequently used to generate masks during the fabrication of integrated circuits. Typically, a layer of resist material 304 is deposited on the surface of an underlying layer 302 to be patterned, and then the resist layer 304 is exposed to light 300 that is passed through an exposure pattern 301 (see FIG. 3 a). The resist material 304 is processed in such a way that the exposed portions of the resist material 304 (or alternately the non-exposed portions) are removed, so that the remaining resist material 304 forms a mask in the shape of the exposure pattern (See FIG. 3 b). The patterned resist material 304 includes sidewalls 306 that serve to define circuit features in the underlying layer 302. The sidewalls 306 include a pre-etch sidewall angle 308, that is typically about 90 degrees.
  • The areas of underlying layer 302 that are not directly covered by the resist material 304 are removed through an etch process, such as a plasma etch, with the mask of resist material 304 preventing removal of those portions of the underlying material that are directly under the resist material 304 (FIG. 3 c). Because an etch is a destructive process, the etch may cause deterioration of the resist material 304. This deterioration may uncover additional portions of the underlying material 302 before the etch is complete, thereby etching portions of the underlying material 302 that were intended to be protected from the etch process.
  • The deterioration is typically greatest at the sidewalls 306 of the resist material 304, i.e., a post-etch sidewall angle 308′ of the sidewalls 306 is less than the pre-etch sidewall angle 308 of the sidewall 306, which results in a sloped resist sidewall 306. Circuit features, such as trenches, that may be etched in a substrate, for example, a low k dielectric material, may exhibit significant sloping because the sidewalls 306 of the resist material 304 that define those features are unintentionally etched away (FIG. 3 c). If not treated carefully, excessive resist sloping during plasma etching can cause shorting (because the sloped angle of the resist mask is transferred to the circuit feature) between features such as between metal lines or between trenches, for example, which can leading to device failure.
  • In addition, resist material that is designed for exposure to light with a wavelength of approximately 193 nanometers (which is commonly used for sub 0.13 micron circuit features) is particularly susceptible to deterioration during the plasma etch. To improve etch resistance, one common approach is to increase the carbon-to-hydrogen ratio in the resist material while maintaining its transparency to exposure light. Several available options have been utilized, such as multi-ringed aliphatic groups, poly methyl methacrylate, or cyclo olefin-maleic anhydride copolymer platforms. However, these approaches have limited success in achieving etch resistance comparable with 248 nm resist, which is commonly used for circuit features greater than about 0.13 microns.
  • Therefore, there is a need for improved methods of plasma etching that reduce the deterioration of deep ultraviolet (uv) resist material, such as 193 nm resist material, so that underlying features do not exhibit sloping which can lead to device failure. The present invention provides such methods and their associated structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • While the specification concludes with claims particularly pointing out and distinctly claiming that which is regarded as the present invention, the advantages of this invention can be more readily ascertained from the following description of the invention when read in conjunction with the accompanying drawings in which:
  • FIGS. 1 a-1 f represent cross-sections of structures that may be formed when carrying out an embodiment of the method of the present invention.
  • FIGS. 2 a represents a flowchart of a method according to an embodiment of the
  • FIGS. 2 b represents a flowchart of a method according to an embodiment of the present invention.
  • FIGS. 3 a-3 c represent cross-sections of structures in the Prior Art.
  • DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • In the following detailed description, reference is made to the accompanying drawings that show, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. It is to be understood that the various embodiments of the invention, although different, are not necessarily mutually exclusive. For example, a particular feature, structure, or characteristic described herein, in connection with one embodiment, may be implemented within other embodiments without departing from the spirit and scope of the invention. In addition, it is to be understood that the location or arrangement of individual elements within each disclosed embodiment may be modified without departing from the spirit and scope of the invention. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, appropriately interpreted, along with the full range of equivalents to which the claims are entitled. In the drawings, like numerals refer to the same or similar functionality throughout the several views.
  • Methods of forming a microelectronic structure and its associated structures are described. Those methods comprise forming and patterning a deep uv resist layer on a substrate, and etching the substrate in a plasma generated from a gas comprising a carbon to fluorine ratio from about 1:1 to about 2:3 to form substantially vertical sidewalls in the deep uv resist layer.
  • FIGS. 1 a-1 f illustrate an embodiment of a method of fabricating a microelectronic structure according to the present invention. FIG. 1 a illustrates a portion of a substrate 104, that may comprise an etch stop layer 101. The etch stop layer 101 may comprise a material such as silicon carbide or silicon nitride, for example.
  • A dielectric layer 102 may be disposed on the etch stop layer 101 of the substrate 104. The dielectric layer 102 may including but is not limited to silicon dioxide, silicon nitride, silicon oxynitride, and the like. The dielectric layer 102 may also preferably comprise a low k dielectric material, in which the dielectric constant of the low k dielectric layer is below about 4. Examples of such a low k dielectric material may include but are not limited to carbon doped oxide, organic polymers such as a polyimide, parylene, polyarylether, organo-silicone, polynaphthalene, polyquinoline, or copolymers thereof, spin on glass materials, either doped or undoped, or porous materials such as xerogels and others that include templated pores.
  • A sacrificial light absorbing layer 103 may be disposed on the dielectric layer 102 of the substrate 104. The sacrificial light absorbing layer 103 may including but is not limited to a spin-on-polymer (SOP) or spin-on-glass (SOG) combined with a dye. The organic or inorganic material chosen for the dye preferably should absorb light that is used during the exposure step of the photolithographic patterning process, which improves the process control of feature definition values, such as critical dimension values (i.e., CD's) as are well known in the art. For example, the dye type and amount, and the type of base material, used to form the sacrificial light absorbing layer 103 may be selected and tuned to absorb deep ultraviolet or shorter, wavelengths (e.g., a wavelength below about 200 nm), The sacrificial light absorbing layer 103 may be formed on the dielectric layer 102 by spin coating the sacrificial light absorbing layer 103 using conventional process steps known in the art. It will be understood that the substrate 104 may comprise other materials commonly used in microelectronic manufacturing, and that the etch stop layer 101, the dielectric layer 102 and the sacrificial light absorbing layer 103 may be substituted for other layers depending on the application.
  • As shown in FIG. 1 b, a deep uv resist layer 106 may be formed on the sacrificial light absorbing layer 103 of the substrate 104, utilizing methods known in the art, that will not be described further herein. The deep uv resist layer 106 may include material selected for lithographic exposure to light 107 in the deep ultraviolet range (e.g., with a wavelength of less than approximately 200 nm), such as 193 nm, as is well known to those skilled in the art. In the current embodiment, the deep uv resist layer 106 may comprise an acrylic polymer (e.g., at least one of acrylate, methacrylate, acrylate with a methyl group on backbone, acrylate-methacrylate copolymers, etc.), but other embodiments may use other materials. The deep uv resist layer 106 may be about 2100 to about 3,000 angstroms in thickness.
  • The deep uv resist layer 106 is then patterned utilizing methods well known in the art (FIG. 1 c). The pattern serves as a shape template that will be transferred to those underlying layer(s) that are desired to be patterned according to the patterned deep uv resist layer 106, such as the sacrificial light absorbing layer 103 and the dielectric layer 102. The patterned deep uv resist layer 106 comprises a sidewall 108, that includes a pre-etch sidewall angle 110. The pre-etch sidewall angle 110 is typically about 90 degrees after patterning the deep uv resist layer 106.
  • An etch may be performed on the substrate 104 by exposing the substrate to a plasma utilizing a gas comprising a carbon atom to fluorine atom ratio of between about 1:1 to about 2:3. By illustration and not limitation, such a gas may comprise hexafluorobutane (C4F6). The 1:1 to about 2:3 carbon to fluorine ratio gas may have a flow rate that is from about 10 to about 50 sccm. The plasma may also comprise an argon gas that may have a flow rate from about 100 to about 1000 sccm, and the plasma may also comprise a nitrogen gas that may have a flow from about 50 to about 1000 sccm. The plasma may be generated using conventional techniques and equipment, such as reactive ion etching (RIE), inductively coupled plasma (ICP) etc. The power may be from about 1KW to about 4KW. The pressure may be from about 15 to about 100 millitorr.
  • Etching the substrate 104 in the aforementioned plasma produces a polymer 112 on the sidewalls 108 of the deep uv resist layer 106 as well as on the substrate sidewalls 109, shown in FIG. 1 d. The polymer 112 may form due to the re-deposition of the etch products from the substrate 104 and the deep uv resist layer 106. The carbon to fluorine atom ratio is therefore optimized (i.e., from about 1:1 to about 2:3) in the plasma to produce the polymer 112 on the sidewalls 108 of the deep uv resist layer 106, while still enabling the sufficient etching of the substrate 104, in this case the sacrificial light absorbing layer 103. The polymer 112 serves as a passivation layer that substantially prevents the etching, sloping or deterioration of the sidewalls 106 of the deep uv resist layer 106. This is because the etch rate of the polymer 112 passivated sidewall 108 is about 100 times slower than the etch rate of the substrate 104, in this case the sacrificial light absorbing layer 103.
  • Therefore, the pattern transferred by the deep uv resist layer 106 is not sloped as in the prior art (see FIG. 3 c). As is shown in FIG. 1 d, a post-etch sidewall angle 114 is approximately the same value as the pre-etch sidewall angle 110, that is, both angles are about 86 to about 90 degrees. Thus, by using a plasma comprising a gas with a carbon to fluorine ratio of about 1:1 to about 2:3, a near vertical post etch sidewall angle 114 is achieved in the patterned deep uv resist layer 106. Because the deep uv resist layer 106 transfers the vertical pattern to the substrate 104, the substrate sidewalls 109 are also substantially vertical. This results in greatly reduced shorting between circuit features.
  • In another embodiment of the method of the present invention, as shown in step 210 of FIG. 2 a, a deep uv resist layer is formed and patterned on a sacrificial light absorbing material disposed on a dielectric layer, similar to the structure shown in FIG. 1 c. In step 220, a first etch may be performed on the sacrificial light absorbing layer wherein the pressure may be from about 15 to about 100 millitorr, the power may be from about 1 to about 4 KW, a C4 F6 flow rate may be from about 10 to about 50 sccm, an argon flow rate may be from about 100 to about 1000 sccm, and a nitrogen flow rate may be from about 50 to about 100 sccm. Etching of the sacrificial light absorbing layer of the current embodiment may be stopped before substantially etching the underlying dielectric layer by methods known in the art, such as by using a timed etch, an etch stop layer, such as etch stop layer 101, or by an endpoint detection system as are known in the art.
  • Thus, after the first etch of step 220 a structure similar to the structure in FIG. 1 d may be formed, in which a polymer, similar to the polymer 112, passivates the sidewall of the resist resulting in a substantially vertical resist sidewall, similar to the sidewall 108.
  • At step 230, a second etch may be performed on the dielectric layer wherein the pressure is from about 15 to about 100 millitorr, the power may be from about 1 to about 4 KW, a C4 F6 flow rate may be from about 10 to about 50 sccm, an argon flow rate may be from about 100 to about 1000 sccm, and a nitrogen flow rate may be from about 50 to about 100 sccm. Etching of the dielectric layer in the current embodiment may be stopped before substantially etching an underlying layer, such as an etch stop layer, by methods previously described herein.
  • Thus, after the second etch of step 230 a structure similar to the structure in FIG. 1 e may be formed, in which a polymer, similar to the polymer 112 of FIG. 1 d, passivates the sidewall of the resist, similar to the deep uv resist layer 106, and also may passivate the substrate sidewall, similar to the substrate sidewall 109 of FIG. 1 d. It will be understood by those skilled in the art that the polymer the deep uv resist layer 106 and the sacrificial light absorbing layer may be removed (using conventional methods known in the art) after the dielectric layer has been etched in the plasma according to the method of the current embodiment, thus creating a desired circuit feature in the substrate 104, which by illustration and not limitation, may be a trench 116, similar to the structure shown in FIG. 1 f.
  • The trench 116 may comprise a first surface 118, a trench sidewall 124, a bottom width 120 and a top width 122. The ratio of the bottom width 120 to the top width 122 is preferably about 1:1, due to the sidewall 108 passivation of the deep uv resist layer 106 by the polymer 112 during the plasma etch of the current embodiment. Thus, the method of the current embodiment enables the formation of a trench 116 that comprises substantially vertical trench sidewalls 124, wherein the trench sidewalls 124 in the current embodiment comprise the dielectric layer 102.
  • In a preferred embodiment of the method of the present invention, as shown in step 240 of FIG. 2 b, a deep uv resist layer is formed and patterned on a sacrificial light absorbing material disposed on a dielectric layer, similar to the structure shown in FIG. 1 c. In step 250, a first etch may be performed on the sacrificial light absorbing layer wherein the pressure may be from about 45 to about 55 millitorr, the power may be from about 1 to about 4KW, a C4 F6 flow rate may be from about 14 to about 18 sccm, an argon flow rate may be from about 400 to about 500 sccm, and a nitrogen flow rate may be from about 270 to about 330 sccm. Etching of the sacrificial light absorbing layer of the current embodiment may be stopped before substantially etching the underlying dielectric layer by methods known in the art, previously described herein. After the first etch of step 250 a structure similar to the structure in FIG. 1 d may be formed, in which a polymer, similar to the polymer 112, passivates the sidewall of the resist resulting in a substantially vertical resist sidewall, similar to the sidewall 108.
  • At step 260, a second etch may be performed on the dielectric layer wherein the pressure is from about 90 to about 110 millitorr, the power may be from about 1 to about 4 KW, a C4 F6 flow rate may be from about 10 to about 14 sccm, an argon flow rate may be from about 290 to about 350 sccm, and a nitrogen flow rate may be from about 25 to about 40 sccm. Etching of the dielectric layer in the current embodiment may be stopped before substantially etching an underlying layer, such as an etch stop layer, by methods previously described herein.
  • Thus, after the second etch of step 260 a structure similar to the structure in FIG. 1 e may be formed, in which a polymer, similar to the polymer 112, passivates the sidewall of the resist, similar to the sidewall 108 of the deep uv resist layer 106. The polymer, the deep uv resist layer 106 and the sacrificial light absorbing layer may be removed (as previously described herein) after the dielectric layer has been etched in the plasma according to the method of the preferred embodiment, thus creating a desired circuit feature in the substrate 104, that may be a trench 116, similar to the structure shown in FIG. 1 f. Thus, the method of the preferred embodiment enables the formation of a trench 116 that comprises substantially vertical trench sidewalls 124.
  • As described above, the present invention provides methods and associated structures of forming and patterning a deep uv resist layer, such as a 193 nm resist layer, on a substrate, etching the substrate in a plasma generated from a gas comprising a carbon to fluorine ratio from about 1:1 to about 2:3 to form substantially vertical sidewalls in the deep uv resist layer. The methods and structures of the present invention enable the use of deep ultraviolet resists, such as 193 nm resist, by preventing the deep uv resist degradation in a plasma etch, thus greatly reducing shorting between adjacent device features and therefore enhancing the performance and reliability of a device fabricated according to the various embodiments of the present invention.
  • Although the foregoing description has specified certain steps and materials that may be used in the method of the present invention, those skilled in the art will appreciate that many modifications and substitutions may be made. Accordingly, it is intended that all such modifications, alterations, substitutions and additions be considered to fall within the spirit and scope of the invention as defined by the appended claims. In addition, it is appreciated that the fabrication of a multiple layer structure atop a substrate, such as a silicon substrate, to manufacture a microelectronic device is well known in the art. Therefore, it is appreciated that the Figures provided herein illustrate only portions of an exemplary microelectronic device that pertains to the practice of the present invention. Thus the present invention is not limited to the structures described herein.

Claims (6)

1. An intermediate product comprising:
a trench in a substrate, wherein a deep uv resist layer is disposed on a first surface of the trench, and wherein the deep uv resist layer comprises a sidewall that is substantially vertical and comprises a polymer on the sidewall; and
a bottom width of the trench wherein the ratio of the bottom width to a top width of the trench is about 1:1.
2. The intermediate product of claim 1 wherein the deep uv resist layer comprises a sidewall angle that is from about 85 to about 90 degrees.
3. The intermediate product of claim 1 wherein the bottom width of the trench is from about 80 to about 90 nm.
4. The intermediate product of claim 1 wherein the deep uv resist layer is between about 2,100 to about 3,000 angstroms in thickness.
5. The intermediate product of claim 1 further comprising a trench sidewall, wherein the trench sidewall comprises has a low k dielectric layer that comprises a dielectric constant below about 4.
6. The intermediate product of claim 1 wherein the low k dielectric layer comprises a material selected from the group consisting of carbon doped oxide, organic polymers such as a polyimide, parylene, polyarylether, organo-silicone, polynaphthalene, polyquinoline, or copolymers thereof, spin on glass materials, either doped or undoped, and porous materials such as xerogels and others that include templated pores.
US11/271,408 2003-09-25 2005-11-09 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist Abandoned US20060057852A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/271,408 US20060057852A1 (en) 2003-09-25 2005-11-09 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/672,357 US7112534B2 (en) 2003-09-25 2003-09-25 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist
US11/271,408 US20060057852A1 (en) 2003-09-25 2005-11-09 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/672,357 Division US7112534B2 (en) 2003-09-25 2003-09-25 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Publications (1)

Publication Number Publication Date
US20060057852A1 true US20060057852A1 (en) 2006-03-16

Family

ID=34376335

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/672,357 Expired - Fee Related US7112534B2 (en) 2003-09-25 2003-09-25 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist
US11/271,408 Abandoned US20060057852A1 (en) 2003-09-25 2005-11-09 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/672,357 Expired - Fee Related US7112534B2 (en) 2003-09-25 2003-09-25 Process for low k dielectric plasma etching with high selectivity to deep uv photoresist

Country Status (1)

Country Link
US (2) US7112534B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020130395A1 (en) * 1992-07-28 2002-09-19 Dennison Charles H. Integrated circuit contact

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867779B2 (en) 2005-02-03 2011-01-11 Air Products And Chemicals, Inc. System and method comprising same for measurement and/or analysis of particles in gas stream
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033986A (en) * 1996-05-31 2000-03-07 Kabushiki Kaisha Toshiba Semiconductor device having an anti- reflective film on an interconnect line and a method for manufacturing the same
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US20020039818A1 (en) * 2000-01-25 2002-04-04 Lee Szetsen Steven Wavy-shaped deep trench and method of forming
US6555480B2 (en) * 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6620741B1 (en) * 2002-06-10 2003-09-16 Intel Corporation Method for controlling etch bias of carbon doped oxide films
US6653237B2 (en) * 2001-06-27 2003-11-25 Applied Materials, Inc. High resist-selectivity etch for silicon trench etch applications
US6660642B2 (en) * 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
US20040042729A1 (en) * 2002-08-28 2004-03-04 Phosistor Technologies, Inc. Optical beam transformer module for light coupling between a fiber array and a photonic chip and the method of making the same
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US6967171B2 (en) * 2002-08-28 2005-11-22 Tokyo Electron Limited Insulation film etching method

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033986A (en) * 1996-05-31 2000-03-07 Kabushiki Kaisha Toshiba Semiconductor device having an anti- reflective film on an interconnect line and a method for manufacturing the same
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US20020039818A1 (en) * 2000-01-25 2002-04-04 Lee Szetsen Steven Wavy-shaped deep trench and method of forming
US6653237B2 (en) * 2001-06-27 2003-11-25 Applied Materials, Inc. High resist-selectivity etch for silicon trench etch applications
US6660642B2 (en) * 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
US6555480B2 (en) * 2001-07-31 2003-04-29 Hewlett-Packard Development Company, L.P. Substrate with fluidic channel and method of manufacturing
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6620741B1 (en) * 2002-06-10 2003-09-16 Intel Corporation Method for controlling etch bias of carbon doped oxide films
US20040042729A1 (en) * 2002-08-28 2004-03-04 Phosistor Technologies, Inc. Optical beam transformer module for light coupling between a fiber array and a photonic chip and the method of making the same
US6967171B2 (en) * 2002-08-28 2005-11-22 Tokyo Electron Limited Insulation film etching method
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020130395A1 (en) * 1992-07-28 2002-09-19 Dennison Charles H. Integrated circuit contact
US20030197273A1 (en) * 1992-07-28 2003-10-23 Dennison Charles H. Integrated circuit contact
US20050020056A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US20050020090A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US20050020049A1 (en) * 1992-07-28 2005-01-27 Dennison Charles H. Method for an integrated circuit contact
US7276448B2 (en) * 1992-07-28 2007-10-02 Micron Technology, Inc. Method for an integrated circuit contact
US7282440B2 (en) 1992-07-28 2007-10-16 Micron Technology, Inc. Integrated circuit contact
US7282447B2 (en) 1992-07-28 2007-10-16 Micron Technology, Inc. Method for an integrated circuit contact
US20070281487A1 (en) * 1992-07-28 2007-12-06 Micron Technology, Inc. Method for an integrated circuit contact
US7315082B2 (en) 1992-07-28 2008-01-01 Micron Technology, Inc. Semiconductor device having integrated circuit contact
US7569485B2 (en) 1992-07-28 2009-08-04 Micron Technology, Inc. Method for an integrated circuit contact
US7871934B2 (en) 1992-07-28 2011-01-18 Round Rock Research, Llc Method for an integrated circuit contact
US8097514B2 (en) 1992-07-28 2012-01-17 Round Rock Research, Llc Method for an integrated circuit contact

Also Published As

Publication number Publication date
US7112534B2 (en) 2006-09-26
US20050070116A1 (en) 2005-03-31

Similar Documents

Publication Publication Date Title
US8129286B2 (en) Reducing effective dielectric constant in semiconductor devices
US6362111B1 (en) Tunable gate linewidth reduction process
US6010829A (en) Polysilicon linewidth reduction using a BARC-poly etch process
US6488509B1 (en) Plug filling for dual-damascene process
US7319075B2 (en) Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
JPH0626205B2 (en) Method for forming a sloping opening in a composite insulating layer
US6972258B2 (en) Method for selectively controlling damascene CD bias
US6521542B1 (en) Method for forming dual damascene structure
US8105950B2 (en) Method for forming fine patterns using etching slope of hard mask layer in semiconductor device
JP2005191254A (en) Method of manufacturing semiconductor device
US7601641B1 (en) Two step optical planarizing layer etch
JP2005045176A (en) Semiconductor device and its manufacturing method
US5960306A (en) Process for forming a semiconductor device
US20060057852A1 (en) Process for low k dielectric plasma etching with high selectivity to deep uv photoresist
US6900139B1 (en) Method for photoresist trim endpoint detection
US11329218B2 (en) Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices
US7829472B2 (en) Method of forming at least an opening using a tri-layer structure
US7078334B1 (en) In situ hard mask approach for self-aligned contact etch
US7064081B2 (en) Semiconductor device and method for producing the same
JPH1027804A (en) Semiconductor device and manufacture thereof
KR100875653B1 (en) Method of forming fine pattern of semiconductor device
US6830877B2 (en) Method for forming via and contact holes with deep UV photoresist
KR100486660B1 (en) Polishing method of semiconductor device
KR19990061985A (en) Metal wiring formation method of semiconductor device
KR19980025634A (en) Manufacturing Method of Semiconductor Device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FU, QIANG;JEONG, JAMES;REEL/FRAME:017252/0580;SIGNING DATES FROM 20031017 TO 20031020

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION