US20060105182A1 - Erosion resistant textured chamber surface - Google Patents

Erosion resistant textured chamber surface Download PDF

Info

Publication number
US20060105182A1
US20060105182A1 US10/989,975 US98997504A US2006105182A1 US 20060105182 A1 US20060105182 A1 US 20060105182A1 US 98997504 A US98997504 A US 98997504A US 2006105182 A1 US2006105182 A1 US 2006105182A1
Authority
US
United States
Prior art keywords
micrometers
component
electron beam
metal coating
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/989,975
Inventor
Karl Brueckner
Brian West
Marc Schweitzer
Jennifer Tiller
Alan Popiolkowski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/989,975 priority Critical patent/US20060105182A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WEST, BRIAN T., POPIOLKOWSKI, ALAN, BRUECKNER, KARL, SCHWEITZER, MARC O'DONNELL, TILLER, JENNIFER WATIA
Publication of US20060105182A1 publication Critical patent/US20060105182A1/en
Priority to US12/849,012 priority patent/US8279577B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Definitions

  • a substrate In the processing of substrates such as semiconductor wafers and displays, a substrate is placed in a process chamber and exposed to an energized gas to deposit or etch material on the substrate.
  • process residues are generated and can deposit on internal surfaces in the chamber.
  • material sputtered from a target for deposition on a substrate also deposits on other component surfaces in the chamber, such as on deposition rings, shadow rings, wall liners, and focus rings.
  • the deposited process residues can “flake off” of the chamber surfaces to fall upon and contaminate the substrate.
  • the surfaces of components in the chamber can be textured. Process residues adhere to the textured surface and inhibit the process residues from falling off and contaminating the substrates in the chamber.
  • the textured component surface is formed by directing an electromagnetic energy beam onto a surface of a process chamber component surface to form depressions and protrusions to which process deposits adhere.
  • a surface is a LavacoatTM surface, as described for example in U.S. Patent Publication No. 2003-0173526 to Popiolkowski et al, published on Sep. 18, 2003, and filed on Mar. 13, 2002; and U.S. Patent Publication No. 2004-0056211 to Popiolkowski et al, published on Mar. 25, 2004, and filed on Jul. 17, 2003—both commonly assigned to Applied Materials, Inc, and both of which are incorporated herein by reference in their entireties.
  • the LavacoatTM surface comprises depressions and protrusions to which process residues can adhere to reduce the contamination of substrates during their processing.
  • While components having textured surfaces provide improved residue adherence over other types of process components, performance issues can arise when the components are cleaned to remove accumulated process residues.
  • the component comprising the textured surface is immersed in a cleaning solution, such as an acidic solution.
  • cleaning solutions that are capable of cleaning process residues can also erode the textured surface to alter the surface features, and consequently, reduce the adherence of process residues thereto.
  • textured component surfaces comprising aluminum and titanium can be eroded by an acidic solution of HNO 3 and HF—which is used to remove tantalum-containing process residues from the component surfaces. Because the eroded surfaces can exhibit poor residue adhesion, the components may require replacement or refurbishment after only a few cleaning cycles, thereby increasing substrate processing costs and chamber downtime.
  • a component comprising a textured surface that provides good adherence of process residues, to improve processing results and reduce contamination of substrates. It is further desirable to be able to effectively clean accumulated process residues from the component surface without erosion of the residues during cleaning. It is further desirable to have a method of fabricating a component having a textured surface that has improved erosion resistance during cleaning processes and provides good results in the processing of substrates.
  • a component for a substrate processing chamber has a structure having an overlying metal coating.
  • the metal coating has a plurality of electron beam textured features that are formed by scanning an electron beam across a surface of the metal coating.
  • the textured features include a plurality of depressions and protuberances that are capable of accumulating process deposits during processing of a substrate to reduce contamination of the substrate.
  • the component having the metal coating provides improved processing results, and exhibits reduced erosion during cleaning processes performed to remove process deposits from the component.
  • a process kit for a substrate processing chamber has a ring adapted to at least partially surround a substrate in the processing chamber.
  • the ring is of a metallic material, and has a stainless steel coating.
  • the stainless steel coating has electron beam textured features thereon, the electron beam textured features having a plurality of depressions and protuberances.
  • the process kit provides improved erosion resistance in the substrate processing chamber.
  • a process chamber shield for a substrate processing chamber has a shield structure that is adapted to at least partially shield a process chamber wall.
  • the shield structure is of a metallic material, and has a stainless steel coating.
  • the stainless steel coating has electron beam textured features thereon, the electron beam textured features having a plurality of depressions and protuberances.
  • the process chamber shield provides improved erosion resistance in the substrate processing chamber.
  • a method of fabricating a component for a substrate processing chamber includes providing a component structure and forming a metal coating on the component structure. An electron beam is scanned across a surface of the metal coating to form a plurality of textured features including depressions and protuberances on the surface.
  • the metal coating can be formed by at least partially melting a coating material and propelling the coating material onto the component structure.
  • FIG. 1 a is a sectional side view of a component having a metal coating and a textured surface formed by scanning an electromagnetic energy beam across the layer;
  • FIG. 1 b is a sectional top view of an embodiment of the component of FIG. 1 a;
  • FIG. 2 is a sectional side view of an embodiment of a substrate processing chamber having one or more components comprising electron beam textured features on a metal coating.
  • a process chamber component 22 having a textured surface 20 is provided for the processing of substrates in an energized gas in a process chamber 106 , as shown for example in FIGS. 1 a and 1 b.
  • the component 22 having the textured surface reduces particle generation in the process chamber 106 by providing a “sticky” surface to which process deposits 24 adhere, thus allowing the deposits 24 to accumulate on the textured surface 20 .
  • Process deposits 24 that adhere to the textured surface 20 can include metal-containing deposits, such as deposits comprising at least one of tantalum, tantalum nitride, titanium, titanium nitride, aluminum, copper, tungsten, and tungsten nitride.
  • the chamber components 22 having the textured surface 20 can comprise, for example, a portion of a gas delivery system 112 that provides process gas in the chamber 106 , a substrate support 114 that supports the substrate 104 a in the chamber 106 , a process kit 139 , a gas energizer 116 that energizes the process gas, chamber enclosure walls 118 and shields 120 , or a gas exhaust 122 that exhausts gas from the chamber 106 .
  • components 22 having the textured surface 20 can include a chamber enclosure wall 118 , a chamber shield 120 , a target 124 , a target rim 125 , a component of a process kit 139 such as at least one of a cover ring 126 and a deposition ring 128 , a support ring 130 , insulator ring 132 , a coil 135 , coil support 137 , shutter disk 104 b , clamp shield 141 , and a portion of the substrate support 114 .
  • a process kit 139 such as at least one of a cover ring 126 and a deposition ring 128 , a support ring 130 , insulator ring 132 , a coil 135 , coil support 137 , shutter disk 104 b , clamp shield 141 , and a portion of the substrate support 114 .
  • components having the textured surface can include Applied Material's part numbers 0020-50007, 0020-50008, 0020-50010, 0020-50012, 0020-50013, 0020-48908, 0021-23852, 0020-48998, 0020-52149, 0020-51483, 0020-49977, 0020-52151, 0020-48999, 0020-48042 and 0190-14818, from Applied Materials, Santa Clara, Calif.
  • This list of components is merely exemplary and the other components or components from other types of chambers can also have the textured surface, thus, the present invention should not be limited to the components listed or described herein.
  • one or more process chamber components 22 comprise a surface that is textured by scanning an electromagnetic energy beam 40 such as an electron beam 40 across the surface 20 , to form electron beam textured features 25 on the surface.
  • An example of such a textured surface 20 is that formed by a LavacoatTM process, as described for example in U.S. patent application Ser. No. 10/653,713 to West, et al, filed on Sep. 2, 2003, entitled “Fabricating and Cleaning Chamber Components Having Textured Surfaces,” and aforementioned U.S. Patent Publication Nos. 2003/0173526 and 2004/0056211, all commonly assigned to Applied Materials, Inc., and all of which are incorporated herein by reference in their entireties.
  • the electron beam textured features 25 of the LavacoatTM process comprise a plurality of depressions 23 and protuberances 26 to which process deposits 24 generated during processing can adhere, as shown for example in FIG. 1 a.
  • the LavacoatTM textured surface 20 can be formed by generating an electromagnetic energy beam 40 , such as an electron beam 40 , and directing the beam onto the surface 20 of the component 22 .
  • the electromagnetic energy beam is preferably an electron beam, it can also comprise protons, neutrons and X-rays and the like.
  • the beam 40 is typically focused on a region of the surface 20 for a period of time, during which time the beam 40 interacts with the surface 20 to form the textured features 25 on the surface 20 . It is believed that the beam 40 forms the features 25 by rapidly heating the region of the surface 20 , typically to a melting temperature of the surface material. At least a portion of the surface material may even be evaporated or ablated from the surface 20 by the rapid heating.
  • the rapid heating causes some of the surface material to be ejected outwards, which forms depressions 23 in the regions the material was ejected from, and protuberances 26 in areas where the ejected material re-deposits.
  • the beam 40 is scanned to a different region of the component surface 20 to form features in the new region.
  • the electromagnetic energy beam 40 can be scanned across the surface 20 to form a desired pattern of textured features 25 on the surface 20 , such as a honeycomb-like structure of depressions 23 and protuberances 26 , as shown for example in FIG. 1 a.
  • the features 25 formed by this method are typically macroscopically sized.
  • the depressions 23 can have a depth d as measured from a base level 28 of the surface 20 of from about 20 micrometers to about 1600 micrometers.
  • a surface diameter w of the depressions 23 may be from about 120 micrometers to about 2600 micrometers and even from about 200 micrometers to about 2300 micrometers.
  • the protuberances 26 can comprise a height h above the base surface 28 of from about 50 micrometers to about 1600 micrometers, and even from about 100 micrometers to about 1200 micrometers.
  • the LavacoatTM textured surface 20 can have an overall surface roughness average of from about 60 micrometers to about 100 micrometers, the roughness average of the surface 20 being defined as the mean of the absolute values of the displacements from the mean line of the features along the surface 20 .
  • the textured surface 20 can also be further roughened after scanning with the electromagnetic energy beam 40 to provide different levels of texture on the surface 20 , as described for example in the patent applications to Popiolkowski et al. and West et al. that are incorporated by reference above.
  • the surface 20 can be grit blasted by propelling grit particles towards the surface 20 with pressurized gas, or can be chemically roughened, to form a relatively fine texture overlying the macroscopically sized features 25 on the surface 20 .
  • the roughened surface 20 improves the adhesion of process deposits 24 to reduce contamination of the processed substrates 104 a.
  • the textured surface 20 can be formed on a metal coating 30 on the component 22 , as shown for example in FIG. 1 a.
  • the metal coating 30 desirably comprises a material that is resistant to erosion by the energized gases provided to process a substrate 104 a or clean the process chamber 106 , and is also desirably resistant to erosion from cleaning solutions that may be used to clean the component 20 , such as acidic or basic cleaning solutions.
  • the metal coating 30 can be formed on a surface 33 of an underlying structure 32 of the component 30 to protect the underlying structure 32 .
  • the underlying structure 32 may comprise a first material having desired properties, such as desired thermal and mechanical properties, and the metal coating 30 may comprise a second material having higher erosion resistance than the first material.
  • the metal coating 30 may also comprise a material that can be treated to provide a desired texture of the metal coating surface, such as for example a desired roughness or textured pattern on the surface 20 , that could not otherwise be desirably provided by the material of the underlying structure 32 .
  • the material of the metal coating may be selected to allow for a finer or rougher texturing of the metal coating surface 20 .
  • a suitable material for the metal coating 30 can be selected with respect to the substrate processing requirements to provide the desired properties, and can comprise for example at least one of stainless steel, copper, nickel, tantalum and titanium.
  • a material having suitable properties for the underlying structure 32 may be a metallic material, such as for example at least one of titanium, stainless steel; copper, tantalum and aluminum; and can also comprise a ceramic material, such as at least one of aluminum oxide, aluminum nitride, and quartz.
  • the underlying structure is selected according to desired properties such as desired thermal and mechanical properties.
  • an underlying structure 32 comprising aluminum may be desirable because aluminum is typically a relatively cheap material having good thermal conductivity.
  • An underlying structure 32 comprising stainless steel may provide good erosion resistance and thermal conductivity.
  • An underlying structure 32 comprising titanium may provide a desired relatively low thermal coefficient of expansion.
  • an underlying structure 32 comprising copper may provide good thermal conductivity as well as a relatively low thermal coefficient of expansion.
  • Underlying structures 32 comprising a ceramic material, such as aluminum oxide, may provide a desired level of thermal insulation and/or thermal conductivity, and a desired relatively low thermal coefficient of expansion.
  • a metal coating 30 comprising stainless steel is formed over an underlying structure 32 comprising aluminum or titanium, such as a process kit or shield structure, to provide a component 22 having a textured surface 20 with improved erosion resistance while maintaining the desired overall mechanical and thermal properties of the component 22 .
  • a metal coating 30 comprising stainless steel is formed over an underlying structure 32 comprising aluminum oxide.
  • the metal coating 30 can be providing by spraying a coating of material over the surface 33 of the underlying component structure 32 .
  • Suitable spraying methods can include thermal spraying methods, such as for example at least one of HVOF (high velocity oxygen fuel), flame spraying, plasma spraying, twin wire or single wire arc spraying, welding methods such as TIG, and other thermal spraying methods, which are capable of forming well-bonded coatings.
  • HVOF high velocity oxygen fuel
  • flame spraying flame spraying
  • plasma spraying twin wire or single wire arc spraying
  • welding methods such as TIG
  • TIG welding methods
  • other thermal spraying methods which are capable of forming well-bonded coatings.
  • the coating material in powder or wire form is heated to a molten or near-molten state, for example by a torch.
  • a pressurized gas is used to propel the coating material onto the surface 33 of the underlying structure 32 .
  • an HVOF spray gun ignites an oxygen-fuel mixture to heat and at least partially melt the coating material as it is propelled towards the structure surface 33 .
  • a HVOF spray gun that may be suitable for forming the metal coating 30 is the HVOF spray gun available from Sulzer Metco Holding AG in Winterthur, Switzerland.
  • the metal coating 30 can be formed by other methods, such as by electroplating metal coating material on the underlying structure 32 , or by a physical or chemical vapor deposition method.
  • the metal coating 30 desirably comprises a thickness that is sufficiently high to provide good erosion resistance and allow for the formation of the textured features 25 on the surface 20 of the coating 30 .
  • the metal coating 30 is desirably also sufficiently thin to provide good adhesion of the coating 30 to the underlying structure 32 to inhibit spalling or flaking of the coating 30 from the structure.
  • a suitable thickness may be a thickness of the metal coating 30 may from about 120 micrometers to about 2600 micrometers, such as from about 500 micrometers to about 1300 micrometers.
  • the metal coating 30 can be formed over substantially the entire surface 33 of the underlying structure 32 , or on selected portions of the structure surface 33 that are, for example, especially susceptible to erosion, or that tend to accumulate large quantities of process deposits 24 .
  • the coating 30 can be textured, for example by scanning an electron beam 40 across the surface 20 of the coating 30 , to form the textured features 25 that are capable of collecting process deposits during the processing of substrates 104 a .
  • the textured features 25 are desirably formed substantially entirely in the metal coating 30 , and substantially without exposing the underlying structure 32 , as shown for example in FIG. 1 a.
  • the component 22 comprising the metal coating 30 having the textured surface 20 can be cleaned after processing a predetermined number of substrates 104 a to remove process deposits 24 that have accumulated on the textured surface 20 , such as tantalum-containing deposits.
  • the textured surface 20 of the component 22 can be immersed in a cleaning solution, such as an acidic solution of 20% by weight HF and 80% by weight HNO 3 , to clean the process deposits 24 .
  • a cleaning solution such as an acidic solution of 20% by weight HF and 80% by weight HNO 3
  • Any exposed regions of the surface 33 of the underlying structure 32 that are not covered by the metal coating 30 can be masked with a protective material, such as a polyester-based material, to protect the regions from erosion by the cleaning solution.
  • a protective material may be polyester tape (plater's tape) commercially available from 3MTM, United States.
  • Other cleaning solutions and steps may also be provided, such as rinsing with de-ionized water, ultrasonicating, baking or immers
  • the component 22 having the metal coating 30 with the textured surface 20 provides improved results over components 22 without the metal coating 30 .
  • a component 22 having a metal coating 30 with an electron beam textured surface 20 that comprises stainless steel, and that is formed over an underlying structure 32 comprising aluminum or titanium can be cleaned in a cleaning solution comprising HF and HNO 3 and recycled for re-use in the process chamber 106 at least about 10 times, while continuing to provide good processing results in the chamber 106 .
  • a component 22 without a metal coating 30 such as a component 22 consisting of aluminum and having an electron beam textured surface 20 , is typically capable of being cleaned and re-cycled for re-use in the process chamber 106 no more than about 3 times, before the erosion of the component 22 becomes too severe to provide good processing results.
  • FIG. 2 An example of a suitable process chamber 106 having a component 22 with a metal coating 30 and electron beam textured features 25 and is shown in FIG. 2 .
  • the chamber 106 can be a part of a multi-chamber platform (not shown) having a cluster of interconnected chambers connected by a robot arm mechanism that transfers substrates 104 a between the chambers 106 .
  • the process chamber 106 comprises a sputter deposition chamber, also called a physical vapor deposition or PVD chamber, which is capable of sputter depositing material on a substrate 104 a , such as one or more of tantalum, tantalum nitride, titanium, titanium nitride, copper, tungsten, tungsten nitride and aluminum.
  • the chamber 106 comprises enclosure walls 118 that enclose a process zone 109 , and that include sidewalls 164 , a bottom wall 166 , and a ceiling 168 .
  • a support ring 130 can be arranged between the sidewalls 164 and ceiling 168 to support the ceiling 168 .
  • Other chamber walls can include one or more shields 120 that shield the enclosure walls 118 from the sputtering environment.
  • the chamber 106 comprises a substrate support 114 to support substrates 104 a in the sputter deposition chamber 106 .
  • the substrate support 114 may be electrically floating or may comprise an electrode 170 that is biased by a power supply 172 , such as an RF power supply.
  • the substrate support 114 can also support other wafers 104 such as a moveable shutter disk 104 b that can protect the upper surface 134 of the support 114 when the substrate 104 a is not present.
  • the substrate 104 a is introduced into the chamber 106 through a substrate loading inlet (not shown) in a sidewall 164 of the chamber 106 and placed on the support 114 .
  • the support 114 can be lifted or lowered by support lift bellows and a lift finger assembly (not shown) can be used to lift and lower the substrate onto the support 114 during transport of the substrate 104 a into and out of the chamber 106 .
  • the support 114 may also comprise a process kit 139 one or more rings, such as a cover ring 126 and a deposition ring 128 , which cover at least a portion of the upper surface 134 of the support 114 to inhibit erosion of the support 114 .
  • the deposition ring 128 at least partially surrounds the substrate 104 a to protect portions of the support 114 not covered by the substrate 104 a .
  • the cover ring 126 encircles and covers at least a portion of the deposition ring 128 , and reduces the deposition of particles onto both the deposition ring 128 and the underlying support 114 .
  • a process gas such as a sputtering gas
  • a gas delivery system 112 that includes a process gas supply comprising one or more gas sources 174 that each feed a conduit 176 having a gas flow control valve 178 , such as a mass flow controller, to pass a set flow rate of the gas therethrough.
  • the conduits 176 can feed the gases to a mixing manifold (not shown) in which the gases are mixed to from a desired process gas composition.
  • the mixing manifold feeds a gas distributor 180 having one or more gas outlets 182 in the chamber 106 .
  • the process gas may comprise a non-reactive gas, such as argon or xenon, which is capable of energetically impinging upon and sputtering material from a target.
  • the process gas may also comprise a reactive gas, such as one or more of an oxygen-containing gas and a nitrogen-containing gas, that are capable of reacting with the sputtered material to form a layer on the substrate 104 a .
  • Spent process gas and byproducts are exhausted from the chamber 106 through an exhaust 122 which includes one or more exhaust ports 184 that receive spent process gas and pass the spent gas to an exhaust conduit 186 in which there is a throttle valve 188 to control the pressure of the gas in the chamber 106 .
  • the exhaust conduit 186 feeds one or more exhaust pumps 190 .
  • the pressure of the sputtering gas in the chamber 106 is set to sub-atmospheric levels.
  • the sputtering chamber 106 further comprises a sputtering target 124 facing a surface 105 of the substrate 104 a , and comprising material to be sputtered onto the substrate 104 a , such as for example at least one of tantalum and tantalum nitride.
  • the target 124 is electrically isolated from the chamber 106 by an annular insulator ring 132 , and is connected to a power supply 192 .
  • the sputtering chamber 106 also has a shield 120 to protect a wall 118 of the chamber 106 from sputtered material.
  • the shield 120 can comprise a wall-like cylindrical shape having upper and lower shield sections 120 a , 120 b that shield the upper and lower regions of the chamber 106 .
  • the shield 120 has an upper section 120 a mounted to the support ring 130 and a lower section 120 b that is fitted to the cover ring 126 .
  • a clamp shield 141 comprising a clamping ring can also be provided to clamp the upper and lower shield sections 120 a,b together.
  • Alternative shield configurations such as inner and outer shields, can also be provided.
  • one or more of the power supply 192 , target 124 , and shield 120 operate as a gas energizer 116 that is capable of energizing the sputtering gas to sputter material from the target 124 .
  • the power supply 192 applies a bias voltage to the target 124 with respect to the shield 120 .
  • the electric field generated in the chamber 106 from the applied voltage energizes the sputtering gas to form a plasma that energetically impinges upon and bombards the target 124 to sputter material off the target 124 and onto the substrate 104 a .
  • the support 114 having the electrode 170 and support electrode power supply 172 may also operate as part of the gas energizer 116 by energizing and accelerating ionized material sputtered from the target 124 towards the substrate 104 a .
  • a gas energizing coil 135 can be provided that is powered by a power supply 192 and that is positioned within the chamber 106 to provide enhanced energized gas characteristics, such as improved energized gas density.
  • the gas energizing coil 135 can be supported by a coil support 137 that is attached to a shield 120 or other wall in the chamber 106 .
  • the chamber 106 can be controlled by a controller 194 that comprises program code having instruction sets to operate components of the chamber 106 to process substrates 104 a in the chamber 106 .
  • the controller 194 can comprise a substrate positioning instruction set to operate one or more of the substrate support 114 and substrate transport to position a substrate 104 a in the chamber 106 ; a gas flow control instruction set to operate the flow control valves 178 to set a flow of sputtering gas to the chamber 106 ; a gas pressure control instruction set to operate the exhaust throttle valve 188 to maintain a pressure in the chamber 106 ; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106 ; and a process monitoring instruction set to monitor the process in the chamber 106 .
  • the features 25 can be formed on the surface 20 by means other than those specifically described.
  • the metal coating 30 may comprise materials other than those described, and may be formed by alternative suitable methods.
  • relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Abstract

A component for a substrate processing chamber has a structure having an overlying metal coating. The metal coating has a plurality of electron beam textured features that are formed by scanning an electron beam across a surface of the metal coating. The electron beam textured features include a plurality of depressions and protuberances on the surface that are capable of accumulating process deposits during processing of a substrate to reduce contamination of the substrate. The component having the metal coating provides improved processing results, and exhibits reduced erosion during cleaning processes performed to remove process deposits from the component.

Description

    BACKGROUND
  • In the processing of substrates such as semiconductor wafers and displays, a substrate is placed in a process chamber and exposed to an energized gas to deposit or etch material on the substrate. During such processing, process residues are generated and can deposit on internal surfaces in the chamber. For example, in sputter deposition processes, material sputtered from a target for deposition on a substrate also deposits on other component surfaces in the chamber, such as on deposition rings, shadow rings, wall liners, and focus rings. In subsequent process cycles, the deposited process residues can “flake off” of the chamber surfaces to fall upon and contaminate the substrate. To reduce the contamination of the substrates by process residues, the surfaces of components in the chamber can be textured. Process residues adhere to the textured surface and inhibit the process residues from falling off and contaminating the substrates in the chamber.
  • In one version, the textured component surface is formed by directing an electromagnetic energy beam onto a surface of a process chamber component surface to form depressions and protrusions to which process deposits adhere. An example of such a surface is a Lavacoat™ surface, as described for example in U.S. Patent Publication No. 2003-0173526 to Popiolkowski et al, published on Sep. 18, 2003, and filed on Mar. 13, 2002; and U.S. Patent Publication No. 2004-0056211 to Popiolkowski et al, published on Mar. 25, 2004, and filed on Jul. 17, 2003—both commonly assigned to Applied Materials, Inc, and both of which are incorporated herein by reference in their entireties. The Lavacoat™ surface comprises depressions and protrusions to which process residues can adhere to reduce the contamination of substrates during their processing.
  • While components having textured surfaces provide improved residue adherence over other types of process components, performance issues can arise when the components are cleaned to remove accumulated process residues. In an exemplary cleaning process, the component comprising the textured surface is immersed in a cleaning solution, such as an acidic solution. However, cleaning solutions that are capable of cleaning process residues can also erode the textured surface to alter the surface features, and consequently, reduce the adherence of process residues thereto. For example, textured component surfaces comprising aluminum and titanium can be eroded by an acidic solution of HNO3 and HF—which is used to remove tantalum-containing process residues from the component surfaces. Because the eroded surfaces can exhibit poor residue adhesion, the components may require replacement or refurbishment after only a few cleaning cycles, thereby increasing substrate processing costs and chamber downtime.
  • Accordingly, it is desirable to have a component comprising a textured surface that provides good adherence of process residues, to improve processing results and reduce contamination of substrates. It is further desirable to be able to effectively clean accumulated process residues from the component surface without erosion of the residues during cleaning. It is further desirable to have a method of fabricating a component having a textured surface that has improved erosion resistance during cleaning processes and provides good results in the processing of substrates.
  • SUMMARY
  • In one version, a component for a substrate processing chamber has a structure having an overlying metal coating. The metal coating has a plurality of electron beam textured features that are formed by scanning an electron beam across a surface of the metal coating. The textured features include a plurality of depressions and protuberances that are capable of accumulating process deposits during processing of a substrate to reduce contamination of the substrate. The component having the metal coating provides improved processing results, and exhibits reduced erosion during cleaning processes performed to remove process deposits from the component.
  • In another version, a process kit for a substrate processing chamber has a ring adapted to at least partially surround a substrate in the processing chamber. The ring is of a metallic material, and has a stainless steel coating. The stainless steel coating has electron beam textured features thereon, the electron beam textured features having a plurality of depressions and protuberances. The process kit provides improved erosion resistance in the substrate processing chamber.
  • In yet another version, a process chamber shield for a substrate processing chamber has a shield structure that is adapted to at least partially shield a process chamber wall. The shield structure is of a metallic material, and has a stainless steel coating. The stainless steel coating has electron beam textured features thereon, the electron beam textured features having a plurality of depressions and protuberances. The process chamber shield provides improved erosion resistance in the substrate processing chamber.
  • In another version, a method of fabricating a component for a substrate processing chamber includes providing a component structure and forming a metal coating on the component structure. An electron beam is scanned across a surface of the metal coating to form a plurality of textured features including depressions and protuberances on the surface. The metal coating can be formed by at least partially melting a coating material and propelling the coating material onto the component structure.
  • DRAWINGS
  • These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where:
  • FIG. 1 a is a sectional side view of a component having a metal coating and a textured surface formed by scanning an electromagnetic energy beam across the layer;
  • FIG. 1 b is a sectional top view of an embodiment of the component of FIG. 1 a; and
  • FIG. 2 is a sectional side view of an embodiment of a substrate processing chamber having one or more components comprising electron beam textured features on a metal coating.
  • DESCRIPTION
  • A process chamber component 22 having a textured surface 20 is provided for the processing of substrates in an energized gas in a process chamber 106, as shown for example in FIGS. 1 a and 1 b. The component 22 having the textured surface reduces particle generation in the process chamber 106 by providing a “sticky” surface to which process deposits 24 adhere, thus allowing the deposits 24 to accumulate on the textured surface 20. Process deposits 24 that adhere to the textured surface 20 can include metal-containing deposits, such as deposits comprising at least one of tantalum, tantalum nitride, titanium, titanium nitride, aluminum, copper, tungsten, and tungsten nitride. The chamber components 22 having the textured surface 20 can comprise, for example, a portion of a gas delivery system 112 that provides process gas in the chamber 106, a substrate support 114 that supports the substrate 104 a in the chamber 106, a process kit 139, a gas energizer 116 that energizes the process gas, chamber enclosure walls 118 and shields 120, or a gas exhaust 122 that exhausts gas from the chamber 106.
  • Referring to FIG. 2, which illustrates an exemplary version of a physical vapor deposition chamber 106, components 22 having the textured surface 20 can include a chamber enclosure wall 118, a chamber shield 120, a target 124, a target rim 125, a component of a process kit 139 such as at least one of a cover ring 126 and a deposition ring 128, a support ring 130, insulator ring 132, a coil 135, coil support 137, shutter disk 104 b, clamp shield 141, and a portion of the substrate support 114. For example, components having the textured surface can include Applied Material's part numbers 0020-50007, 0020-50008, 0020-50010, 0020-50012, 0020-50013, 0020-48908, 0021-23852, 0020-48998, 0020-52149, 0020-51483, 0020-49977, 0020-52151, 0020-48999, 0020-48042 and 0190-14818, from Applied Materials, Santa Clara, Calif. This list of components is merely exemplary and the other components or components from other types of chambers can also have the textured surface, thus, the present invention should not be limited to the components listed or described herein.
  • In one version, one or more process chamber components 22 comprise a surface that is textured by scanning an electromagnetic energy beam 40 such as an electron beam 40 across the surface 20, to form electron beam textured features 25 on the surface. An example of such a textured surface 20 is that formed by a Lavacoat™ process, as described for example in U.S. patent application Ser. No. 10/653,713 to West, et al, filed on Sep. 2, 2003, entitled “Fabricating and Cleaning Chamber Components Having Textured Surfaces,” and aforementioned U.S. Patent Publication Nos. 2003/0173526 and 2004/0056211, all commonly assigned to Applied Materials, Inc., and all of which are incorporated herein by reference in their entireties. The electron beam textured features 25 of the Lavacoat™ process comprise a plurality of depressions 23 and protuberances 26 to which process deposits 24 generated during processing can adhere, as shown for example in FIG. 1 a.
  • The Lavacoat™ textured surface 20 can be formed by generating an electromagnetic energy beam 40, such as an electron beam 40, and directing the beam onto the surface 20 of the component 22. While the electromagnetic energy beam is preferably an electron beam, it can also comprise protons, neutrons and X-rays and the like. The beam 40 is typically focused on a region of the surface 20 for a period of time, during which time the beam 40 interacts with the surface 20 to form the textured features 25 on the surface 20. It is believed that the beam 40 forms the features 25 by rapidly heating the region of the surface 20, typically to a melting temperature of the surface material. At least a portion of the surface material may even be evaporated or ablated from the surface 20 by the rapid heating. The rapid heating causes some of the surface material to be ejected outwards, which forms depressions 23 in the regions the material was ejected from, and protuberances 26 in areas where the ejected material re-deposits. After the desired features in the region are formed, the beam 40 is scanned to a different region of the component surface 20 to form features in the new region.
  • The electromagnetic energy beam 40 can be scanned across the surface 20 to form a desired pattern of textured features 25 on the surface 20, such as a honeycomb-like structure of depressions 23 and protuberances 26, as shown for example in FIG. 1 a. The features 25 formed by this method are typically macroscopically sized. For example, the depressions 23 can have a depth d as measured from a base level 28 of the surface 20 of from about 20 micrometers to about 1600 micrometers. A surface diameter w of the depressions 23 may be from about 120 micrometers to about 2600 micrometers and even from about 200 micrometers to about 2300 micrometers. The protuberances 26 can comprise a height h above the base surface 28 of from about 50 micrometers to about 1600 micrometers, and even from about 100 micrometers to about 1200 micrometers. The Lavacoat™ textured surface 20 can have an overall surface roughness average of from about 60 micrometers to about 100 micrometers, the roughness average of the surface 20 being defined as the mean of the absolute values of the displacements from the mean line of the features along the surface 20. The textured surface 20 can also be further roughened after scanning with the electromagnetic energy beam 40 to provide different levels of texture on the surface 20, as described for example in the patent applications to Popiolkowski et al. and West et al. that are incorporated by reference above. For example, the surface 20 can be grit blasted by propelling grit particles towards the surface 20 with pressurized gas, or can be chemically roughened, to form a relatively fine texture overlying the macroscopically sized features 25 on the surface 20. The roughened surface 20 improves the adhesion of process deposits 24 to reduce contamination of the processed substrates 104 a.
  • In one version, the textured surface 20 can be formed on a metal coating 30 on the component 22, as shown for example in FIG. 1 a. The metal coating 30 desirably comprises a material that is resistant to erosion by the energized gases provided to process a substrate 104 a or clean the process chamber 106, and is also desirably resistant to erosion from cleaning solutions that may be used to clean the component 20, such as acidic or basic cleaning solutions. The metal coating 30 can be formed on a surface 33 of an underlying structure 32 of the component 30 to protect the underlying structure 32. For example, the underlying structure 32 may comprise a first material having desired properties, such as desired thermal and mechanical properties, and the metal coating 30 may comprise a second material having higher erosion resistance than the first material. The metal coating 30 may also comprise a material that can be treated to provide a desired texture of the metal coating surface, such as for example a desired roughness or textured pattern on the surface 20, that could not otherwise be desirably provided by the material of the underlying structure 32. For example, the material of the metal coating may be selected to allow for a finer or rougher texturing of the metal coating surface 20. A suitable material for the metal coating 30 can be selected with respect to the substrate processing requirements to provide the desired properties, and can comprise for example at least one of stainless steel, copper, nickel, tantalum and titanium.
  • A material having suitable properties for the underlying structure 32 may be a metallic material, such as for example at least one of titanium, stainless steel; copper, tantalum and aluminum; and can also comprise a ceramic material, such as at least one of aluminum oxide, aluminum nitride, and quartz. The underlying structure is selected according to desired properties such as desired thermal and mechanical properties. For example, an underlying structure 32 comprising aluminum may be desirable because aluminum is typically a relatively cheap material having good thermal conductivity. An underlying structure 32 comprising stainless steel may provide good erosion resistance and thermal conductivity. An underlying structure 32 comprising titanium may provide a desired relatively low thermal coefficient of expansion. Also, an underlying structure 32 comprising copper may provide good thermal conductivity as well as a relatively low thermal coefficient of expansion. Underlying structures 32 comprising a ceramic material, such as aluminum oxide, may provide a desired level of thermal insulation and/or thermal conductivity, and a desired relatively low thermal coefficient of expansion. In one suitable embodiment, a metal coating 30 comprising stainless steel is formed over an underlying structure 32 comprising aluminum or titanium, such as a process kit or shield structure, to provide a component 22 having a textured surface 20 with improved erosion resistance while maintaining the desired overall mechanical and thermal properties of the component 22. In another suitable embodiment, a metal coating 30 comprising stainless steel is formed over an underlying structure 32 comprising aluminum oxide.
  • In one version, the metal coating 30 can be providing by spraying a coating of material over the surface 33 of the underlying component structure 32. Suitable spraying methods can include thermal spraying methods, such as for example at least one of HVOF (high velocity oxygen fuel), flame spraying, plasma spraying, twin wire or single wire arc spraying, welding methods such as TIG, and other thermal spraying methods, which are capable of forming well-bonded coatings. In a typical thermal spraying method, the coating material in powder or wire form is heated to a molten or near-molten state, for example by a torch. A pressurized gas is used to propel the coating material onto the surface 33 of the underlying structure 32. For example, in the HVOF method, an HVOF spray gun ignites an oxygen-fuel mixture to heat and at least partially melt the coating material as it is propelled towards the structure surface 33. A HVOF spray gun that may be suitable for forming the metal coating 30 is the HVOF spray gun available from Sulzer Metco Holding AG in Winterthur, Switzerland. Alternatively, the metal coating 30 can be formed by other methods, such as by electroplating metal coating material on the underlying structure 32, or by a physical or chemical vapor deposition method.
  • The metal coating 30 desirably comprises a thickness that is sufficiently high to provide good erosion resistance and allow for the formation of the textured features 25 on the surface 20 of the coating 30. The metal coating 30 is desirably also sufficiently thin to provide good adhesion of the coating 30 to the underlying structure 32 to inhibit spalling or flaking of the coating 30 from the structure. A suitable thickness may be a thickness of the metal coating 30 may from about 120 micrometers to about 2600 micrometers, such as from about 500 micrometers to about 1300 micrometers. The metal coating 30 can be formed over substantially the entire surface 33 of the underlying structure 32, or on selected portions of the structure surface 33 that are, for example, especially susceptible to erosion, or that tend to accumulate large quantities of process deposits 24. Once the metal coating 30 has been formed, the coating 30 can be textured, for example by scanning an electron beam 40 across the surface 20 of the coating 30, to form the textured features 25 that are capable of collecting process deposits during the processing of substrates 104 a. The textured features 25 are desirably formed substantially entirely in the metal coating 30, and substantially without exposing the underlying structure 32, as shown for example in FIG. 1 a.
  • The component 22 comprising the metal coating 30 having the textured surface 20 can be cleaned after processing a predetermined number of substrates 104 a to remove process deposits 24 that have accumulated on the textured surface 20, such as tantalum-containing deposits. For example, the textured surface 20 of the component 22 can be immersed in a cleaning solution, such as an acidic solution of 20% by weight HF and 80% by weight HNO3, to clean the process deposits 24. Any exposed regions of the surface 33 of the underlying structure 32 that are not covered by the metal coating 30 can be masked with a protective material, such as a polyester-based material, to protect the regions from erosion by the cleaning solution. An example of a protective material may be polyester tape (plater's tape) commercially available from 3M™, United States. Other cleaning solutions and steps may also be provided, such as rinsing with de-ionized water, ultrasonicating, baking or immersing in other chemical cleaning solutions.
  • The component 22 having the metal coating 30 with the textured surface 20 provides improved results over components 22 without the metal coating 30. For example, a component 22 having a metal coating 30 with an electron beam textured surface 20 that comprises stainless steel, and that is formed over an underlying structure 32 comprising aluminum or titanium, can be cleaned in a cleaning solution comprising HF and HNO3 and recycled for re-use in the process chamber 106 at least about 10 times, while continuing to provide good processing results in the chamber 106. In contrast, a component 22 without a metal coating 30, such as a component 22 consisting of aluminum and having an electron beam textured surface 20, is typically capable of being cleaned and re-cycled for re-use in the process chamber 106 no more than about 3 times, before the erosion of the component 22 becomes too severe to provide good processing results.
  • An example of a suitable process chamber 106 having a component 22 with a metal coating 30 and electron beam textured features 25 and is shown in FIG. 2. The chamber 106 can be a part of a multi-chamber platform (not shown) having a cluster of interconnected chambers connected by a robot arm mechanism that transfers substrates 104 a between the chambers 106. In the version shown, the process chamber 106 comprises a sputter deposition chamber, also called a physical vapor deposition or PVD chamber, which is capable of sputter depositing material on a substrate 104 a, such as one or more of tantalum, tantalum nitride, titanium, titanium nitride, copper, tungsten, tungsten nitride and aluminum. The chamber 106 comprises enclosure walls 118 that enclose a process zone 109, and that include sidewalls 164, a bottom wall 166, and a ceiling 168. A support ring 130 can be arranged between the sidewalls 164 and ceiling 168 to support the ceiling 168. Other chamber walls can include one or more shields 120 that shield the enclosure walls 118 from the sputtering environment.
  • The chamber 106 comprises a substrate support 114 to support substrates 104 a in the sputter deposition chamber 106. The substrate support 114 may be electrically floating or may comprise an electrode 170 that is biased by a power supply 172, such as an RF power supply. The substrate support 114 can also support other wafers 104 such as a moveable shutter disk 104 b that can protect the upper surface 134 of the support 114 when the substrate 104 a is not present. In operation, the substrate 104 a is introduced into the chamber 106 through a substrate loading inlet (not shown) in a sidewall 164 of the chamber 106 and placed on the support 114. The support 114 can be lifted or lowered by support lift bellows and a lift finger assembly (not shown) can be used to lift and lower the substrate onto the support 114 during transport of the substrate 104 a into and out of the chamber 106.
  • The support 114 may also comprise a process kit 139 one or more rings, such as a cover ring 126 and a deposition ring 128, which cover at least a portion of the upper surface 134 of the support 114 to inhibit erosion of the support 114. In one version, the deposition ring 128 at least partially surrounds the substrate 104 a to protect portions of the support 114 not covered by the substrate 104 a. The cover ring 126 encircles and covers at least a portion of the deposition ring 128, and reduces the deposition of particles onto both the deposition ring 128 and the underlying support 114.
  • A process gas, such as a sputtering gas, is introduced into the chamber 106 through a gas delivery system 112 that includes a process gas supply comprising one or more gas sources 174 that each feed a conduit 176 having a gas flow control valve 178, such as a mass flow controller, to pass a set flow rate of the gas therethrough. The conduits 176 can feed the gases to a mixing manifold (not shown) in which the gases are mixed to from a desired process gas composition. The mixing manifold feeds a gas distributor 180 having one or more gas outlets 182 in the chamber 106. The process gas may comprise a non-reactive gas, such as argon or xenon, which is capable of energetically impinging upon and sputtering material from a target. The process gas may also comprise a reactive gas, such as one or more of an oxygen-containing gas and a nitrogen-containing gas, that are capable of reacting with the sputtered material to form a layer on the substrate 104 a. Spent process gas and byproducts are exhausted from the chamber 106 through an exhaust 122 which includes one or more exhaust ports 184 that receive spent process gas and pass the spent gas to an exhaust conduit 186 in which there is a throttle valve 188 to control the pressure of the gas in the chamber 106. The exhaust conduit 186 feeds one or more exhaust pumps 190. Typically, the pressure of the sputtering gas in the chamber 106 is set to sub-atmospheric levels.
  • The sputtering chamber 106 further comprises a sputtering target 124 facing a surface 105 of the substrate 104 a, and comprising material to be sputtered onto the substrate 104 a, such as for example at least one of tantalum and tantalum nitride. The target 124 is electrically isolated from the chamber 106 by an annular insulator ring 132, and is connected to a power supply 192. The sputtering chamber 106 also has a shield 120 to protect a wall 118 of the chamber 106 from sputtered material. The shield 120 can comprise a wall-like cylindrical shape having upper and lower shield sections 120 a, 120 b that shield the upper and lower regions of the chamber 106. In the version shown in FIG. 2, the shield 120 has an upper section 120 a mounted to the support ring 130 and a lower section 120 b that is fitted to the cover ring 126. A clamp shield 141 comprising a clamping ring can also be provided to clamp the upper and lower shield sections 120 a,b together. Alternative shield configurations, such as inner and outer shields, can also be provided. In one version, one or more of the power supply 192, target 124, and shield 120, operate as a gas energizer 116 that is capable of energizing the sputtering gas to sputter material from the target 124. The power supply 192 applies a bias voltage to the target 124 with respect to the shield 120. The electric field generated in the chamber 106 from the applied voltage energizes the sputtering gas to form a plasma that energetically impinges upon and bombards the target 124 to sputter material off the target 124 and onto the substrate 104 a. The support 114 having the electrode 170 and support electrode power supply 172 may also operate as part of the gas energizer 116 by energizing and accelerating ionized material sputtered from the target 124 towards the substrate 104 a. Furthermore, a gas energizing coil 135 can be provided that is powered by a power supply 192 and that is positioned within the chamber 106 to provide enhanced energized gas characteristics, such as improved energized gas density. The gas energizing coil 135 can be supported by a coil support 137 that is attached to a shield 120 or other wall in the chamber 106.
  • The chamber 106 can be controlled by a controller 194 that comprises program code having instruction sets to operate components of the chamber 106 to process substrates 104 a in the chamber 106. For example, the controller 194 can comprise a substrate positioning instruction set to operate one or more of the substrate support 114 and substrate transport to position a substrate 104 a in the chamber 106; a gas flow control instruction set to operate the flow control valves 178 to set a flow of sputtering gas to the chamber 106; a gas pressure control instruction set to operate the exhaust throttle valve 188 to maintain a pressure in the chamber 106; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106; and a process monitoring instruction set to monitor the process in the chamber 106.
  • Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, the features 25 can be formed on the surface 20 by means other than those specifically described. Also, the metal coating 30 may comprise materials other than those described, and may be formed by alternative suitable methods. Furthermore, relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims (18)

1. A component for a substrate processing chamber, the component comprising:
(a) a component structure;
(b) a metal coating on the component structure; and
(c) electron beam textured features on the metal coating, the electron beam textured features comprising a plurality of depressions and protuberances,
whereby the component provides improved erosion resistance in the substrate processing chamber.
2. A component according to claim 1 wherein the metal coating comprises at least one of stainless steel, copper, nickel, tantalum and titanium.
3. A component according to claim 2 wherein the metal coating comprises a sprayed coating that is formed by at least partially melting coating material and propelling the coating material onto the component structure.
4. A component according to claim 1 wherein the metal coating has a thickness of from about 120 micrometers to about 2600 micrometers.
5. A component according to claim 1 wherein the electron beam textured features comprise depressions having (i) a depth of from about 20 micrometers to about 1600 micrometers, and (ii) a surface diameter of from about 120 micrometers to about 2600 micrometers, and protuberances comprising a height of from about 50 micrometers to about 1600 micrometers.
6. A component according to claim 1 wherein the component comprises at least one of a chamber enclosure wall, a chamber shield, a target, a target rim, a cover ring, a deposition ring, a support ring, an insulator ring, a coil, a coil support, a shutter disk, a clamp shield, and a portion of a substrate support.
7. A substrate processing chamber comprising the component of claim 1, the chamber comprising a substrate support, gas delivery system, gas energizer and exhaust.
8. A process kit for a substrate processing chamber, the process kit comprising:
(a) a ring adapted to at least partially surround a substrate in the processing chamber, the ring comprising a metallic material;
(b) a stainless steel coating on the ring; and
(c) electron beam textured features on the stainless steel coating, the electron beam textured features comprising a plurality of depressions and protuberances,
whereby the process kit provides improved erosion resistance in the substrate processing chamber.
9. A component according to claim 8 wherein the electron beam textured features comprise depressions having (i) a depth of from about 20 micrometers to about 1600 micrometers, and (ii) a surface diameter of from about 120 micrometers to about 2600 micrometers, and protuberances comprising a height of from about 50 micrometers to about 1600 micrometers.
10. A component according to claim 8 wherein the ring comprises a metallic material comprising at least one of titanium, stainless steel, copper, tantalum and aluminum.
11. A process chamber shield for a substrate processing chamber, the shield comprising:
(a) a shield structure adapted to at least partially shield a process chamber wall, the shield structure comprising a metallic material;
(b) a stainless steel coating on the shield structure; and
(c) electron beam textured features on the stainless steel coating, the electron beam textured features comprising a plurality of depressions and protuberances,
whereby the process chamber shield provides improved erosion resistance in the substrate processing chamber.
12. A component according to claim 11 wherein the electron beam textured features comprise depressions having (i) a depth of from about 20 micrometers to about 1600 micrometers, and (ii) a surface diameter of from about 120 micrometers to about 2600 micrometers, and protuberances comprising a height of from about 50 micrometers to about 1600 micrometers.
13. A component according to claim 11 wherein the shield structure comprises a metallic material comprising at least one of titanium, stainless steel, copper, tantalum and aluminum.
14. A method of fabricating a component for a substrate processing chamber, the method comprising:
(a) providing a component structure;
(b) forming a metal coating on the component structure, the metal coating having a surface; and
(c) scanning an electron beam across the surface to form a plurality of electron beam textured features comprising depressions and protuberances in the surface.
15. A method according to claim 14 wherein (b) comprises forming a metal coating comprising at least one of stainless steel, copper, nickel, tantalum and titanium.
16. A method according to claim 14 wherein (b) comprises spraying a metal coating on the component structure by at least partially melting coating material and propelling the coating material onto the structure.
17. A method according to claim 14 wherein (b) comprises forming a metal coating having a thickness of from about 120 micrometers to about 2600 micrometers.
18. A method according to claim 14 wherein (c) comprises scanning an electron beam across the surface to form a plurality of electron beam textured features comprising depressions having (i) a depth of from about 20 micrometers to about 1600 micrometers, and (ii) a surface diameter of from about 120 micrometers to about 2600 micrometers, and protuberances having a height of from about 50 micrometers to about 1600 micrometers.
US10/989,975 2003-04-22 2004-11-16 Erosion resistant textured chamber surface Abandoned US20060105182A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/989,975 US20060105182A1 (en) 2004-11-16 2004-11-16 Erosion resistant textured chamber surface
US12/849,012 US8279577B2 (en) 2003-04-22 2010-08-02 Substrate support having fluid channel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/989,975 US20060105182A1 (en) 2004-11-16 2004-11-16 Erosion resistant textured chamber surface

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/421,473 Continuation US7221553B2 (en) 2003-04-22 2003-04-22 Substrate support having heat transfer system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/849,012 Continuation US8279577B2 (en) 2003-04-22 2010-08-02 Substrate support having fluid channel

Publications (1)

Publication Number Publication Date
US20060105182A1 true US20060105182A1 (en) 2006-05-18

Family

ID=36386706

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/989,975 Abandoned US20060105182A1 (en) 2003-04-22 2004-11-16 Erosion resistant textured chamber surface
US12/849,012 Expired - Lifetime US8279577B2 (en) 2003-04-22 2010-08-02 Substrate support having fluid channel

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/849,012 Expired - Lifetime US8279577B2 (en) 2003-04-22 2010-08-02 Substrate support having fluid channel

Country Status (1)

Country Link
US (2) US20060105182A1 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060110620A1 (en) * 2004-11-24 2006-05-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20070026159A1 (en) * 2005-07-29 2007-02-01 The Boc Group, Inc. Method and apparatus for the application of twin wire arc spray coatings
US20070170052A1 (en) * 2005-11-25 2007-07-26 Applied Materials, Inc. Target for sputtering chamber
US20080178801A1 (en) * 2007-01-29 2008-07-31 Applied Materials, Inc. Process kit for substrate processing chamber
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
EP2159302A1 (en) * 2008-08-25 2010-03-03 Applied Materials, Inc. Coating chamber with a moveable shield
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
WO2010023109A1 (en) * 2008-08-25 2010-03-04 Applied Materials Inc. Coating chamber with a moveable shield
US20100108641A1 (en) * 2008-10-03 2010-05-06 Applied Materials, Inc. Lavacoat pre-clean and pre-heat
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US20120258280A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Extended life textured chamber components and method for fabricating same
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US8939707B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone terraced ridges
US8939706B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone having a frangible or pixelated nib surface
US8939705B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone multi depth grooves
US8939716B1 (en) 2014-02-25 2015-01-27 Siemens Aktiengesellschaft Turbine abradable layer with nested loop groove pattern
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US9151175B2 (en) 2014-02-25 2015-10-06 Siemens Aktiengesellschaft Turbine abradable layer with progressive wear zone multi level ridge arrays
US9243511B2 (en) 2014-02-25 2016-01-26 Siemens Aktiengesellschaft Turbine abradable layer with zig zag groove pattern
US9249680B2 (en) 2014-02-25 2016-02-02 Siemens Energy, Inc. Turbine abradable layer with asymmetric ridges or grooves
CN105531796A (en) * 2013-09-17 2016-04-27 应用材料公司 Geometries and patterns for surface texturing to increase deposition retention
WO2016153343A1 (en) 2015-03-20 2016-09-29 Asm International N.V. Method for cleaning deposition apparatus
CN109075008A (en) * 2016-04-27 2018-12-21 应用材料公司 The anode for the non-disappearance being used together with dielectric deposition
WO2019008031A1 (en) 2017-07-04 2019-01-10 Cleanpart Group Gmbh Process chamber component and method of forming a surface texture
US10189082B2 (en) 2014-02-25 2019-01-29 Siemens Aktiengesellschaft Turbine shroud with abradable layer having dimpled forward zone
US10190435B2 (en) 2015-02-18 2019-01-29 Siemens Aktiengesellschaft Turbine shroud with abradable layer having ridges with holes
EP3326196A4 (en) * 2015-07-23 2019-02-27 Honeywell International Inc. Improved sputtering coil product and method of making
US10408079B2 (en) 2015-02-18 2019-09-10 Siemens Aktiengesellschaft Forming cooling passages in thermal barrier coated, combustion turbine superalloy components
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758553B2 (en) 2010-10-05 2014-06-24 Skyworks Solutions, Inc. Fixtures and methods for unbonding wafers by shear force
US8888085B2 (en) 2010-10-05 2014-11-18 Skyworks Solutions, Inc. Devices and methodologies for handling wafers
US8758552B2 (en) 2010-06-07 2014-06-24 Skyworks Solutions, Inc. Debonders and related devices and methods for semiconductor fabrication
US20120080832A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Devices for methodologies related to wafer carriers
GB201021865D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US20130098872A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Switched electron beam plasma source array for uniform plasma production
US8951384B2 (en) 2011-10-20 2015-02-10 Applied Materials, Inc. Electron beam plasma source with segmented beam dump for uniform plasma generation
US9129777B2 (en) 2011-10-20 2015-09-08 Applied Materials, Inc. Electron beam plasma source with arrayed plasma sources for uniform plasma generation
US8894805B2 (en) 2011-10-20 2014-11-25 Applied Materials, Inc. Electron beam plasma source with profiled magnet shield for uniform plasma generation
US9018570B2 (en) * 2011-12-15 2015-04-28 Intermolecular, Inc. Combinatorial heating of substrates by an inductive process and combinatorial independent heating
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
US10537013B2 (en) * 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
KR102112368B1 (en) * 2013-02-28 2020-05-18 도쿄엘렉트론가부시키가이샤 Mounting table and plasma processing apparatus
US9443700B2 (en) 2013-03-12 2016-09-13 Applied Materials, Inc. Electron beam plasma source with segmented suppression electrode for uniform plasma generation
WO2014164910A1 (en) * 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
CN105684133B (en) 2013-11-06 2020-05-15 应用材料公司 Sol-gel coated support ring
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
US9986598B2 (en) * 2014-07-02 2018-05-29 Applied Materials, Inc. Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
WO2016003633A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Apparatus, systems, and methods for temperature control of substrates using embedded fiber optics and epoxy optical diffusers
US10497606B2 (en) * 2015-02-09 2019-12-03 Applied Materials, Inc. Dual-zone heater for plasma processing
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
KR20180112794A (en) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 Ceramic shower head with conductive layers embedded
JP6875417B2 (en) 2016-04-08 2021-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum chuck pressure control system
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
JP6183567B1 (en) 2016-05-13 2017-08-23 Toto株式会社 Electrostatic chuck
US10468288B2 (en) * 2016-10-19 2019-11-05 Kla-Tencor Corporation Methods and systems for chucking a warped wafer
JP6982394B2 (en) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 Work piece processing device and mounting table
CN112352064A (en) * 2018-06-08 2021-02-09 应用材料公司 Temperature control pedestal for flat panel processing equipment
US10698025B2 (en) 2018-07-20 2020-06-30 Formfactor Beaverton, Inc. Probe systems and methods that utilize a flow-regulating structure for improved collection of an optical image of a device under test
US11499229B2 (en) * 2018-12-04 2022-11-15 Applied Materials, Inc. Substrate supports including metal-ceramic interfaces
WO2020219304A1 (en) * 2019-04-22 2020-10-29 Lam Research Corporation Electrostatic chuck with spatially tunable rf coupling to a wafer
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
US20230119010A1 (en) * 2021-10-20 2023-04-20 Applied Materials, Inc. Linear accelerator coil including multiple fluid channels

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3457151A (en) * 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3522083A (en) * 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
USRE31198E (en) * 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4419201A (en) * 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4491496A (en) * 1983-01-05 1985-01-01 Commissariat A L'energie Atomique Enclosure for the treatment, and particularly for the etching of substrates by the reactive plasma method
US4673554A (en) * 1984-12-18 1987-06-16 Sumitomo Chemical Company, Limited Method of purifying tantalum
US4713119A (en) * 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4717462A (en) * 1985-10-25 1988-01-05 Hitachi, Ltd. Sputtering apparatus
US4721792A (en) * 1985-02-13 1988-01-26 Sumitomo Chemical Company, Limited N,N',N",N'"-tetrakis(substituted benzyl)-acetylenecarbamide derivatives
US4756322A (en) * 1985-03-08 1988-07-12 Lami Philippe A Means for restoring the initial cleanness conditions in a quartz tube used as a reaction chamber for the production of integrated circuits
US4959105A (en) * 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US5032469A (en) * 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
US5064511A (en) * 1989-06-05 1991-11-12 Diaprint S.R.L. Electrochemical graining of aluminum or aluminum alloy surfaces
US5104501A (en) * 1989-06-13 1992-04-14 Daicel Chemical Industries, Ltd. Electrolytic cleaning method and electrolytic cleaning solution for stamper
US5164016A (en) * 1990-02-08 1992-11-17 Ugine, Aciers De Chatillon Et Gueugnon Method for pickling or cleaning materials of steel, in particular stainless steel
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
US5180322A (en) * 1990-08-22 1993-01-19 Dainippon Screen Mfg. Co., Ltd. Manufacturing process of shadow mask and shadow mask plate therefor
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5215624A (en) * 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) * 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5338367A (en) * 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5509558A (en) * 1993-07-16 1996-04-23 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5520740A (en) * 1989-06-28 1996-05-28 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and apparatus suitable for practicing the same
US5536723A (en) * 1988-06-15 1996-07-16 Brigham & Women's Hospital S-nitroso derivatives of hydrazinoacetic acids, 1-[(acylthio and (mercapto)-1-oxoalkyl]-1,2,34-Tetrahydroquinoline-2-carboxylic acids and alanyl prolines and isoquinolines
US5549802A (en) * 1993-05-17 1996-08-27 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5587039A (en) * 1992-01-09 1996-12-24 Varian Associates, Inc. Plasma etch equipment
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5714010A (en) * 1989-06-28 1998-02-03 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by a microwave PCVD method and an apparatus suitable for practicing the same
US5808270A (en) * 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5840434A (en) * 1992-09-10 1998-11-24 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element and method for producing the same
US5858100A (en) * 1994-04-06 1999-01-12 Semiconductor Process Co., Ltd. Substrate holder and reaction apparatus
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5910338A (en) * 1996-04-26 1999-06-08 Applied Materials, Inc. Surface preparation to enhance adhesion of a dielectric layer
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5967047A (en) * 1993-12-27 1999-10-19 Agfa-Gevaert Ag Thermal process for applying hydrophilic layers to hydrophobic substrates for offset printing plates
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6059945A (en) * 1996-08-23 2000-05-09 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6120621A (en) * 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6306489B1 (en) * 1997-05-07 2001-10-23 Heraeus Quarzglas Gmbh Quartz glass component for a reactor housing a method of manufacturing same and use thereof
US6306498B1 (en) * 1997-12-22 2001-10-23 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US20010033706A1 (en) * 2000-03-16 2001-10-25 Yuji Shimomura Rolling sliding member, process for the production thereof and rolling sliding unit
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6383459B1 (en) * 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US20020086118A1 (en) * 2000-12-29 2002-07-04 Chang Christopher C. Low contamination plasma chamber components and methods for making the same
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6454870B1 (en) * 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US20030026917A1 (en) * 2001-06-27 2003-02-06 Shyh-Nung Lin Process chamber components having textured internal surfaces and method of manufacture
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6555471B2 (en) * 1997-02-18 2003-04-29 Micron Technology, Inc. Method of making a void-free aluminum film
US6566161B1 (en) * 1998-05-27 2003-05-20 Honeywell International Inc. Tantalum sputtering target and method of manufacture
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20030108680A1 (en) * 2001-07-09 2003-06-12 Maurice Gell Duplex coatings and bulk materials, and methods of manufacture thereof
US20030118731A1 (en) * 2001-12-21 2003-06-26 Applied Materials, Inc. Method of fabricating a coated process chamber component
US20030116276A1 (en) * 2001-12-21 2003-06-26 Weldon Edwin Charles Methods of roughening a ceramic surface
US6592830B1 (en) * 1998-10-26 2003-07-15 Aleksandr Krupin Treating niobium and or tantalum containing raw materials
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20030170486A1 (en) * 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US20030173526A1 (en) * 2002-03-13 2003-09-18 Applied Materials, Inc. Method of surface texturizing
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US20030196890A1 (en) * 2002-04-19 2003-10-23 Applied Materials, Inc. Reducing particle generation during sputter deposition
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US20030221702A1 (en) * 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US20040045574A1 (en) * 2000-08-11 2004-03-11 Samantha Tan System and method for cleaning semiconductor fabrication equipment parts
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US20060110620A1 (en) * 2004-11-24 2006-05-25 Applied Materials, Inc. Process chamber component with layered coating and method

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4628991A (en) * 1984-11-26 1986-12-16 Trilogy Computer Development Partners, Ltd. Wafer scale integrated circuit testing chuck
US5002123A (en) * 1989-04-20 1991-03-26 Microelectronics And Computer Technology Corporation Low pressure high heat transfer fluid heat exchanger
US5198753A (en) * 1990-06-29 1993-03-30 Digital Equipment Corporation Integrated circuit test fixture and method
US5186238A (en) * 1991-04-25 1993-02-16 International Business Machines Corporation Liquid film interface cooling chuck for semiconductor wafer processing
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5455382A (en) * 1991-10-31 1995-10-03 Sumitomo Metal Industries, Ltd. IC package heat sink fin
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
JPH06204323A (en) * 1992-10-27 1994-07-22 Applied Materials Inc Clampling for dome-shaped heated pedistal within wafer process chamber
US5366002A (en) * 1993-05-05 1994-11-22 Applied Materials, Inc. Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US5676205A (en) * 1993-10-29 1997-10-14 Applied Materials, Inc. Quasi-infinite heat source/sink
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
TW286414B (en) * 1995-07-10 1996-09-21 Watkins Johnson Co Electrostatic chuck assembly
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
JPH09157846A (en) * 1995-12-01 1997-06-17 Teisan Kk Temperature controller
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6107608A (en) * 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
JPH10284360A (en) * 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5921855A (en) * 1997-05-15 1999-07-13 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing system
US6276072B1 (en) * 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
US6180926B1 (en) * 1998-10-19 2001-01-30 Applied Materials, Inc. Heat exchanger apparatus for a semiconductor wafer support and method of fabricating same
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6749814B1 (en) * 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6320736B1 (en) * 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6423976B1 (en) * 1999-05-28 2002-07-23 Applied Materials, Inc. Ion implanter and a method of implanting ions
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6853067B1 (en) * 1999-10-12 2005-02-08 Microassembly Technologies, Inc. Microelectromechanical systems using thermocompression bonding
US6278089B1 (en) * 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6786982B2 (en) * 2000-01-10 2004-09-07 General Electric Company Casting having an enhanced heat transfer, surface, and mold and pattern for forming same
JP3448737B2 (en) * 2000-05-25 2003-09-22 住友重機械工業株式会社 Wafer chuck cooling plate and wafer chuck
US7044212B1 (en) * 2000-08-25 2006-05-16 Net Nanofiltertechnik Gmbh Refrigeration device and a method for producing the same
WO2002023964A1 (en) * 2000-09-13 2002-03-21 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6998579B2 (en) * 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US6434003B1 (en) * 2001-04-24 2002-08-13 York International Corporation Liquid-cooled power semiconductor device heatsink
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3921234B2 (en) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US20040065656A1 (en) 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
JP4587016B2 (en) * 2003-05-30 2010-11-24 ソニー株式会社 Reactor and manufacturing method thereof, reformer, power supply system
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7741585B2 (en) * 2004-12-22 2010-06-22 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with two-axis movement
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US7766075B2 (en) * 2005-12-09 2010-08-03 The Boeing Company Microchannel heat exchanger
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR20100103627A (en) * 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for controlling temperature of a substrate
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control

Patent Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3457151A (en) * 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) * 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) * 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
USRE31198E (en) * 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4419201A (en) * 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4491496A (en) * 1983-01-05 1985-01-01 Commissariat A L'energie Atomique Enclosure for the treatment, and particularly for the etching of substrates by the reactive plasma method
US4673554A (en) * 1984-12-18 1987-06-16 Sumitomo Chemical Company, Limited Method of purifying tantalum
US4721792A (en) * 1985-02-13 1988-01-26 Sumitomo Chemical Company, Limited N,N',N",N'"-tetrakis(substituted benzyl)-acetylenecarbamide derivatives
US4756322A (en) * 1985-03-08 1988-07-12 Lami Philippe A Means for restoring the initial cleanness conditions in a quartz tube used as a reaction chamber for the production of integrated circuits
US4717462A (en) * 1985-10-25 1988-01-05 Hitachi, Ltd. Sputtering apparatus
US4713119A (en) * 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US5536723A (en) * 1988-06-15 1996-07-16 Brigham & Women's Hospital S-nitroso derivatives of hydrazinoacetic acids, 1-[(acylthio and (mercapto)-1-oxoalkyl]-1,2,34-Tetrahydroquinoline-2-carboxylic acids and alanyl prolines and isoquinolines
US5032469A (en) * 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
US4959105A (en) * 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
US5064511A (en) * 1989-06-05 1991-11-12 Diaprint S.R.L. Electrochemical graining of aluminum or aluminum alloy surfaces
US5104501A (en) * 1989-06-13 1992-04-14 Daicel Chemical Industries, Ltd. Electrolytic cleaning method and electrolytic cleaning solution for stamper
US5520740A (en) * 1989-06-28 1996-05-28 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and apparatus suitable for practicing the same
US5714010A (en) * 1989-06-28 1998-02-03 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by a microwave PCVD method and an apparatus suitable for practicing the same
US5338367A (en) * 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
US5164016A (en) * 1990-02-08 1992-11-17 Ugine, Aciers De Chatillon Et Gueugnon Method for pickling or cleaning materials of steel, in particular stainless steel
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5180322A (en) * 1990-08-22 1993-01-19 Dainippon Screen Mfg. Co., Ltd. Manufacturing process of shadow mask and shadow mask plate therefor
US5248386A (en) * 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) * 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5587039A (en) * 1992-01-09 1996-12-24 Varian Associates, Inc. Plasma etch equipment
US5762748A (en) * 1992-08-27 1998-06-09 Applied Materials, Inc Lid and door for a vacuum chamber and pretreatment therefor
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5840434A (en) * 1992-09-10 1998-11-24 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element and method for producing the same
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5549802A (en) * 1993-05-17 1996-08-27 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
US5509558A (en) * 1993-07-16 1996-04-23 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5967047A (en) * 1993-12-27 1999-10-19 Agfa-Gevaert Ag Thermal process for applying hydrophilic layers to hydrophobic substrates for offset printing plates
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5858100A (en) * 1994-04-06 1999-01-12 Semiconductor Process Co., Ltd. Substrate holder and reaction apparatus
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5910338A (en) * 1996-04-26 1999-06-08 Applied Materials, Inc. Surface preparation to enhance adhesion of a dielectric layer
US6120621A (en) * 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US6059945A (en) * 1996-08-23 2000-05-09 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5939146A (en) * 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5808270A (en) * 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US6555471B2 (en) * 1997-02-18 2003-04-29 Micron Technology, Inc. Method of making a void-free aluminum film
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6306489B1 (en) * 1997-05-07 2001-10-23 Heraeus Quarzglas Gmbh Quartz glass component for a reactor housing a method of manufacturing same and use thereof
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6306498B1 (en) * 1997-12-22 2001-10-23 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6566161B1 (en) * 1998-05-27 2003-05-20 Honeywell International Inc. Tantalum sputtering target and method of manufacture
US6592830B1 (en) * 1998-10-26 2003-07-15 Aleksandr Krupin Treating niobium and or tantalum containing raw materials
US6444083B1 (en) * 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US20010033706A1 (en) * 2000-03-16 2001-10-25 Yuji Shimomura Rolling sliding member, process for the production thereof and rolling sliding unit
US6394023B1 (en) * 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US20040045574A1 (en) * 2000-08-11 2004-03-11 Samantha Tan System and method for cleaning semiconductor fabrication equipment parts
US6383459B1 (en) * 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20020086118A1 (en) * 2000-12-29 2002-07-04 Chang Christopher C. Low contamination plasma chamber components and methods for making the same
US20030026917A1 (en) * 2001-06-27 2003-02-06 Shyh-Nung Lin Process chamber components having textured internal surfaces and method of manufacture
US6933025B2 (en) * 2001-06-27 2005-08-23 Applied Materials, Inc. Chamber having components with textured surfaces and method of manufacture
US20040180158A1 (en) * 2001-06-27 2004-09-16 Applied Materials, Inc. Chamber having components with textured surfaces and method of manufacture
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030108680A1 (en) * 2001-07-09 2003-06-12 Maurice Gell Duplex coatings and bulk materials, and methods of manufacture thereof
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6454870B1 (en) * 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US20030118731A1 (en) * 2001-12-21 2003-06-26 Applied Materials, Inc. Method of fabricating a coated process chamber component
US20030116276A1 (en) * 2001-12-21 2003-06-26 Weldon Edwin Charles Methods of roughening a ceramic surface
US20030136428A1 (en) * 2002-01-23 2003-07-24 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20030170486A1 (en) * 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US20030173526A1 (en) * 2002-03-13 2003-09-18 Applied Materials, Inc. Method of surface texturizing
US20040056211A1 (en) * 2002-03-13 2004-03-25 Applied Materials, Inc. Method of surface texturizing
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US20030196890A1 (en) * 2002-04-19 2003-10-23 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6565984B1 (en) * 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20030221702A1 (en) * 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
US20040099285A1 (en) * 2002-11-25 2004-05-27 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6902627B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Cleaning chamber surfaces to recover metal-containing compounds
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US20060110620A1 (en) * 2004-11-24 2006-05-25 Applied Materials, Inc. Process chamber component with layered coating and method

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9068273B2 (en) 2002-11-25 2015-06-30 Quantum Global Technologies LLC Electrochemical removal of tantalum-containing materials
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20100086805A1 (en) * 2004-11-24 2010-04-08 Applied Materials, Inc. Process chamber component with layered coating and method
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8021743B2 (en) 2004-11-24 2011-09-20 Applied Materials, Inc. Process chamber component with layered coating and method
US20060110620A1 (en) * 2004-11-24 2006-05-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US9481608B2 (en) 2005-07-13 2016-11-01 Applied Materials, Inc. Surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US20070026159A1 (en) * 2005-07-29 2007-02-01 The Boc Group, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US10347475B2 (en) 2005-10-31 2019-07-09 Applied Materials, Inc. Holding assembly for substrate processing chamber
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US11658016B2 (en) 2005-10-31 2023-05-23 Applied Materials, Inc. Shield for a substrate processing chamber
US20070170052A1 (en) * 2005-11-25 2007-07-26 Applied Materials, Inc. Target for sputtering chamber
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US20080178801A1 (en) * 2007-01-29 2008-07-31 Applied Materials, Inc. Process kit for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
EP2159302A1 (en) * 2008-08-25 2010-03-03 Applied Materials, Inc. Coating chamber with a moveable shield
WO2010023109A1 (en) * 2008-08-25 2010-03-04 Applied Materials Inc. Coating chamber with a moveable shield
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
KR20110063775A (en) * 2008-08-28 2011-06-14 어플라이드 머티어리얼스, 인코포레이티드 Process kit shields and methods of use thereof
KR101642037B1 (en) 2008-08-28 2016-07-22 어플라이드 머티어리얼스, 인코포레이티드 Process kit shields and methods of use thereof
US20100108641A1 (en) * 2008-10-03 2010-05-06 Applied Materials, Inc. Lavacoat pre-clean and pre-heat
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
KR101919429B1 (en) * 2011-04-11 2018-11-19 어플라이드 머티어리얼스, 인코포레이티드 Extended life textured chamber components and method for fabricating same
US20120258280A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Extended life textured chamber components and method for fabricating same
EP3047516A4 (en) * 2013-09-17 2017-03-15 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
CN105531796A (en) * 2013-09-17 2016-04-27 应用材料公司 Geometries and patterns for surface texturing to increase deposition retention
US9151175B2 (en) 2014-02-25 2015-10-06 Siemens Aktiengesellschaft Turbine abradable layer with progressive wear zone multi level ridge arrays
US10196920B2 (en) 2014-02-25 2019-02-05 Siemens Aktiengesellschaft Turbine component thermal barrier coating with crack isolating engineered groove features
US8939707B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone terraced ridges
US8939706B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone having a frangible or pixelated nib surface
US9243511B2 (en) 2014-02-25 2016-01-26 Siemens Aktiengesellschaft Turbine abradable layer with zig zag groove pattern
US8939716B1 (en) 2014-02-25 2015-01-27 Siemens Aktiengesellschaft Turbine abradable layer with nested loop groove pattern
US10323533B2 (en) 2014-02-25 2019-06-18 Siemens Aktiengesellschaft Turbine component thermal barrier coating with depth-varying material properties
US10221716B2 (en) 2014-02-25 2019-03-05 Siemens Aktiengesellschaft Turbine abradable layer with inclined angle surface ridge or groove pattern
US9920646B2 (en) 2014-02-25 2018-03-20 Siemens Aktiengesellschaft Turbine abradable layer with compound angle, asymmetric surface area ridge and groove pattern
US8939705B1 (en) 2014-02-25 2015-01-27 Siemens Energy, Inc. Turbine abradable layer with progressive wear zone multi depth grooves
US9249680B2 (en) 2014-02-25 2016-02-02 Siemens Energy, Inc. Turbine abradable layer with asymmetric ridges or grooves
US10189082B2 (en) 2014-02-25 2019-01-29 Siemens Aktiengesellschaft Turbine shroud with abradable layer having dimpled forward zone
US10190435B2 (en) 2015-02-18 2019-01-29 Siemens Aktiengesellschaft Turbine shroud with abradable layer having ridges with holes
US10408079B2 (en) 2015-02-18 2019-09-10 Siemens Aktiengesellschaft Forming cooling passages in thermal barrier coated, combustion turbine superalloy components
NL2014497A (en) * 2015-03-20 2016-10-10 Asm Int Nv Method for cleaning deposition apparatus.
KR102590817B1 (en) 2015-03-20 2023-10-19 에이에스엠 인터내셔널 엔.브이. How to Clean a Lamination Device
WO2016153343A1 (en) 2015-03-20 2016-09-29 Asm International N.V. Method for cleaning deposition apparatus
CN107406979A (en) * 2015-03-20 2017-11-28 Asm国际股份有限公司 Method for clean deposition equipment
KR20170128263A (en) * 2015-03-20 2017-11-22 에이에스엠 인터내셔널 엔.브이. Method for cleaning deposition apparatus
EP3326196A4 (en) * 2015-07-23 2019-02-27 Honeywell International Inc. Improved sputtering coil product and method of making
EP3449496A4 (en) * 2016-04-27 2020-01-01 Applied Materials, Inc. Non-disappearing anode for use with dielectric deposition
US11114289B2 (en) 2016-04-27 2021-09-07 Applied Materials, Inc. Non-disappearing anode for use with dielectric deposition
CN109075008A (en) * 2016-04-27 2018-12-21 应用材料公司 The anode for the non-disappearance being used together with dielectric deposition
WO2019007488A1 (en) 2017-07-04 2019-01-10 Cleanpart Group Gmbh Process chamber component and method of forming a surface texture
KR20200024892A (en) * 2017-07-04 2020-03-09 클린파트 그룹 게엠베하 How to Form Process Chamber Components and Surface Textures
CN110891713A (en) * 2017-07-04 2020-03-17 清洁设备集团公司 Process chamber component and method of forming surface texture
TWI797141B (en) * 2017-07-04 2023-04-01 德商克寧帕特集團有限公司 Process chamber component and method of forming a surface texture
KR102574263B1 (en) 2017-07-04 2023-09-05 클린파트 그룹 게엠베하 Process chamber components and methods for forming surface textures
WO2019008031A1 (en) 2017-07-04 2019-01-10 Cleanpart Group Gmbh Process chamber component and method of forming a surface texture
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making

Also Published As

Publication number Publication date
US20110024047A1 (en) 2011-02-03
US8279577B2 (en) 2012-10-02

Similar Documents

Publication Publication Date Title
US20060105182A1 (en) Erosion resistant textured chamber surface
US8021743B2 (en) Process chamber component with layered coating and method
US7910218B2 (en) Cleaning and refurbishing chamber components having metal coatings
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
US8142989B2 (en) Textured chamber surface
US6656535B2 (en) Method of fabricating a coated process chamber component
US6902628B2 (en) Method of cleaning a coated process chamber component
US9689070B2 (en) Deposition ring and electrostatic chuck for physical vapor deposition chamber
US20050238807A1 (en) Refurbishment of a coated chamber component
US6444083B1 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR20070055413A (en) Target and process kit components for sputtering chamber
WO2005083154A2 (en) Cleaning of chamber components

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BRUECKNER, KARL;SCHWEITZER, MARC O'DONNELL;POPIOLKOWSKI, ALAN;AND OTHERS;REEL/FRAME:016007/0431;SIGNING DATES FROM 20041103 TO 20041115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION