US20060105575A1 - Small volume process chamber with hot inner surfaces - Google Patents

Small volume process chamber with hot inner surfaces Download PDF

Info

Publication number
US20060105575A1
US20060105575A1 US11/303,210 US30321005A US2006105575A1 US 20060105575 A1 US20060105575 A1 US 20060105575A1 US 30321005 A US30321005 A US 30321005A US 2006105575 A1 US2006105575 A1 US 2006105575A1
Authority
US
United States
Prior art keywords
substrate
plasma
etch
cold trap
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/303,210
Inventor
Andrew Bailey
Tuqiang Ni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/390,117 external-priority patent/US6939796B2/en
Priority claimed from US10/390,520 external-priority patent/US6821899B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/303,210 priority Critical patent/US20060105575A1/en
Publication of US20060105575A1 publication Critical patent/US20060105575A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Definitions

  • the present invention relates generally to etching semiconductor substrates, and more particularly, to systems and methods for plasma etching semiconductor substrates.
  • the manufacturing of the integrated circuit devices includes the use of plasma etching chambers.
  • the plasma etch chambers are capable of etching selected layers on the substrate as defined by a photoresist mask.
  • the plasma etch chambers are configured to receive processing gases (i.e., etch chemistries) while a radio frequency (RF) power is applied to one or more electrodes of the plasma etch chamber.
  • RF radio frequency
  • the pressure inside the plasma etch chamber is also controlled for the particular process.
  • the process gases in the chamber are activated such that a plasma is created.
  • the plasma is thus configured to perform the desired etching of the selected layers of the semiconductor wafer.
  • Low volatility byproducts are produced in some prior art plasma etch processes.
  • the byproduct in a copper etch process using chlorine containing gases (e.g., C12 and HCl), the byproduct is CuClx.
  • CuClx is non-volatile at room temperature.
  • the low-volatility byproducts typically condense on the chamber walls. During each plasma etch cycle, the byproducts build-up on the chamber walls. Eventually the byproducts build-up to a certain thickness. The byproduct build-up then begins to “flake” off of the chamber walls and is therefore becomes a significant particle source. The particles can contaminate the substrates being etched in the chamber.
  • the chambers are often designed to permit the use of simple lining parts, such as, disks, rings, and cylinders. Because these lining parts are configured to confine the plasma over the substrate being processed, these parts are continuously exposed and attacked by the processing plasma energies. Due to this exposure, these parts ultimately erode or accumulate polymer buildup, requiring replacement or thorough cleaning. However, the cleaning and/or replacement costs of these lining parts can become very expensive both in actual cost and in lost production time required for the cleaning and replacement.
  • the present invention fills these needs by providing an improved system for processing a substrate. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below.
  • One embodiment includes a method of processing a substrate.
  • the method including loading a substrate into a plasma chamber and setting a pressure of the plasma chamber to a pre-determined pressure set point. Multiple inner surfaces that define a plasma zone are heated to a processing temperature. A process gas is injected into the plasma zone to form a plasma and the substrate is processed.
  • the processing temperature can be greater than about 200 degrees (e.g., between about 200 degrees C. and about 400 degrees C. or a higher temperature).
  • the method further includes drawing a byproduct vapor from the plasma zone through a cold trap to condense the byproduct vapor in the cold trap.
  • the cold trap has a temperature of at least about 50 degrees C. cooler than the processing temperature.
  • Processing the substrate can include etching the substrate. Processing the substrate can also include etching a copper film on the substrate. Heating the inner surfaces defining the plasma zone to the processing temperature can include maintaining a surface of the substrate at a second temperature sufficient to cause a byproduct vapor to condense on the surface of the substrate to deposit a film on the substrate. The second temperature is about 50 degrees C cooler than the processing temperature.
  • the pre-determined pressure set point is less than atmospheric pressure.
  • the predetermined pressure set point is within a range of about 1 mTorr and about 500 mTorr.
  • the plasma chamber is a small volume plasma chamber.
  • the small volume plasma chamber includes a first electrode.
  • the first electrode forming a chuck for supporting the substrate.
  • a second electrode is also included.
  • the second electrode being deposed substantially parallel to the first electrode.
  • the second electrode being one of the inner surfaces.
  • the first electrode and second electrode being separated by a predetermined distance. The predetermined distance is equal to a range of between about 0.5 cm and about 5 cm.
  • the small volume plasma chamber includes a hot liner, wherein the hot liner forms a portion of the plurality of inner surfaces.
  • Processing the substrate includes a stress free planarization wherein the substrate is patterned, having a conductive interconnect material filling multiple features in the pattern.
  • the conductive interconnect material having an overburden portion having at least one non-uniformity.
  • the stress free planarization includes planarizing the overburden portion that includes depositing an additional layer on the overburden portion and planarizing the additional layer and the overburden portion. The additional layer being substantially entirely removed in the planarizing process.
  • Another embodiment provides a small volume plasma chamber that includes a first electrode, the first electrode forming a chuck for supporting the substrate.
  • a second electrode is also included. The second electrode being deposed substantially parallel to the first electrode. The first electrode and second electrode being separated by a predetermined distance.
  • a hot liner is also included. The hot liner and the second electrode form a portion of several inner surfaces that define a plasma zone. The predetermined distance is equal to a range of between about 0.5 cm and about 5 cm.
  • the small volume plasma chamber can also include a cold trap.
  • the cold trap being sufficiently cooler than the inner surfaces that define the plasma zone to substantially cause a plasma byproduct vapor to condense in the cold trap.
  • the cold trap is coupled to the plasma zone by a passage.
  • Another embodiment provides a method of forming a semiconductor device including loading a patterned substrate into a plasma chamber.
  • the substrate having a conductive interconnect material filling several features in the pattern.
  • the conductive interconnect material having an overburden portion having at least one non-uniformity.
  • a pressure of the plasma chamber is set to a pre-determined pressure set point. Multiple inner surfaces that define a plasma zone, are heated to a processing temperature of greater than about 200 degree C.
  • a process gas is injected into the plasma zone to form a plasma.
  • the substrate is processed which includes forming an additional layer on the overburden portion and planarizing the additional layer and the overburden portion. The additional layer being substantially entirely removed in the planarizing process.
  • a byproduct vapor from the plasma zone is drawn through a cold trap to cause the byproduct vapor to condense in the cold trap.
  • the conductive interconnect material can include copper.
  • the present invention provides a small volume plasma chamber having multiple inner surfaces capable of being substantially uniformly heated to a processing temperature to ensure that byproduct vapors, especially byproduct vapors having a low volatility, will not condense on the inner surfaces so as to form particle contamination sources.
  • the byproduct vapors are drawn away from the plasma zone and through a cold trap where the byproduct vapors can condense.
  • the cold trap is sufficiently physically isolated that particles formed by the condensed byproduct vapors are substantially prevented from migrating to the substrate being processed.
  • the present invention provides an advantage of substantially minimizing the particle generation and resulting substrate contamination. This is achieved by substantially eliminating condensation of plasma chemistries on the inner surfaces of the plasma chamber. Condensation is directed in a cold trap that is at least partially physically isolated from the plasma zone.
  • FIG. 1A shows side view of a small volume plasma etch process chamber, in accordance with one embodiment of the present invention.
  • FIG. 1B is a flowchart of the method operations for etching a substrate in the plasma chamber described above, in accordance with one embodiment of the present invention.
  • FIG. 2A shows a patterned semiconductor substrate in a dual damascene process in accordance with one embodiment of the present invention.
  • FIG. 2B shows an additional layer added in accordance with one embodiment of the present invention.
  • FIG. 3 shows a substantially planar overburden portion in accordance with one embodiment of the present invention.
  • FIG. 4A shows the substrate having undergone a second etching process in accordance with one embodiment of the present invention.
  • FIG. 4B shows the substrate having undergone a barrier removal process in accordance with one embodiment of the present invention.
  • FIG. 5 is a flowchart of the method operations of performing a local planarization, in accordance with one embodiment of the present invention.
  • FIGS. 6A-6D show a sequence of chemical conversion and etch-back processes applied to a substrate to increase local uniformity, in accordance with one embodiment of the present invention.
  • FIG. 7 is a flowchart of the method operations of the chemical conversion and etch-back processes applied to a substrate to increase local uniformity, in accordance with one embodiment of the present invention
  • FIG. 8 is a flowchart of the method operation of correcting global non-uniformities in accordance with one embodiment of the present invention.
  • FIG. 9 shows a substantially removed, planarized overburden portion in accordance with one embodiment of the present invention.
  • One embodiment provides a plasma etch chamber with a relatively small volume that includes a capacitively coupled RF source.
  • the inner surface area of the small volume plasma etch chamber is sufficiently small that the inner surface area can be easily heated to an elevated temperature (e.g., greater than about 200 degrees C.).
  • the elevated temperature is sufficient to substantially prevent a low volatility byproduct from condensing on the inner surface.
  • the capacitively coupled RF plasma chamber uses parallel plates having a narrow gap between them. The parallel plates form a top and bottom electrodes with the substrate situated on the bottom electrode and the top electrode situated very close to the surface of the substrate. In this configuration, the top and bottom electrodes form a majority of the inner surface area.
  • FIG. 1A shows side view of a small volume plasma etch process chamber 100 , in accordance with one embodiment of the present invention.
  • a substrate 108 being processed is clamped to a heated chuck 102 that also serves as the bottom electrode.
  • the chuck 102 can be an electro-static chuck.
  • a focus ring 122 can also be included in the bottom electrode.
  • Process gases are delivered from a gas source trough an inlet port 126 .
  • the process gases flow through an optional baffle plate 106 and through a perforated “showerhead-type” top electrode 104 .
  • the baffle plate 106 disperses the gas flow.
  • the baffle plate 106 can be separated from the top electrode 104 by a low contact dielectric 116 (e.g., quartz, insulator, etc.) so that the baffle plate 106 is not substantially connected to the tope electrode 104 either electrically or thermally.
  • a plasma zone 114 is formed by the volume defined by the top electrode 104 , the bottom electrode 102 and the hot liner 124 .
  • the gas flow is well dispersed in the plasma zone 114 .
  • the top electrode 104 and the bottom electrode 102 are separated by a distance d of about 0.5 cm to about 5 cm. In one exemplary embodiment, d is equal to about 2 cm.
  • the top electrode 104 can be coupled to a ground potential 112 and the bottom electrode 102 coupled to the RF source 110 as shown. In an alternative embodiment, the bottom electrode 102 can be coupled to the ground potential 112 and the top electrode 104 coupled the RF source 110 .
  • the RF source can have a frequency of between about 400 kHz and about 60 MHz.
  • the RF source can have a voltage of between about 100 volts and about 2000 volts.
  • the plasma chamber 100 can be configured in a push-pull configuration.
  • both the top electrode 104 and the bottom electrode 102 are powered by the RF source.
  • the sputtering from ions in the push-pull configuration can further reduce the deposition of the low-volatile by-products on the top electrode 104 .
  • the deposition of etch by-products on the top electrode 104 is a major concern since it is proximate to the surface substrate 108 .
  • the push-pull configuration plasma chamber 100 can also be powered from a single RF source 110 . In this push-pull RF configuration, the plasma potential is reduced to one half, which allows the plasma to be more easily confined in the plasma zone 114 .
  • the process gases can be heated by hot plasma bombardment. If a higher temperature is needed to prevent deposition of the byproducts on the inner surface area, then additional electric heaters can be embedded in the top electrode 104 .
  • a hot liner 124 between the electrodes is electrically heated to prevent any deposition on the liner.
  • the hot liner 124 can be heated with embedded resistive heaters or other types of heat sources (e.g., hot oil, radiant heat source)
  • the hot liner 124 can also serve as a plasma confinement barrier defining a plasma zone 114 formed between the top electrode 104 , the bottom electrode 102 and the hot liner.
  • the hot liner 124 can be manufactured from a plasma resistant material (e.g., quartz or alumina or any suitable materials coated with plasma resistant layers).
  • a copper film can be etched using a chlorine containing gaseous species.
  • the minimum temperature for all surfaces e.g., hot liner 124 , top electrode 104 , and bottom electrode 102
  • the minimum temperature for all surfaces should be between about 200 to about 400 degrees C.
  • the etch byproducts e.g., CuClx
  • the etch byproducts and other chemistries in the plasma are vaporized at a sufficient vapor pressure and can therefore be pumped out of the plasma zone 114 in the plasma etch chamber and out of the outlet ports 116 .
  • the volatility of the various chemistries and byproducts in the plasma also increases.
  • vapors having a low volatility can be more efficiently evacuated from the plasma zone 114 as the temperature is increased. Because the low volatility copper etch byproducts are more effectively evacuated, then the particle generation caused by build-up of the etch byproduct on the inner surfaces of the plasma zone 114 is substantially reduced.
  • the chamber is not limited to 400 degrees C. and could be heated to a much higher temperature if desired.
  • the process chamber could be sufficiently heated to 500 degrees C. Temperatures higher than 500 degrees C. can also be used.
  • a typical prior art plasma etch chamber is too large to be effectively heated to 200 degrees C. and at least some portion of the inner surface of the prior art plasma etch chamber is sufficiently cool enough that the etch byproducts can condense and eventually build-up and flake off, thereby becoming a particle contamination source.
  • the typical plasma etch processes occur at less than 100 degrees C. (e.g., 60 degrees C.) and as described above, the etch byproducts can condense on the inner surfaces, near the substrate, and cause particle contamination.
  • the plasma etch process can be accomplished at a pressure less than or equal to about atmospheric pressure (i.e. less than or equal to about 1 Torr).
  • the copper plasma etch process can be operated at a range of about 1-500 mTorr.
  • a cold trap 120 is included adjacent to the hot liner 124 .
  • a narrow passage 126 through the hot liner 124 couples the plasma zone 114 with the cold trap 120 .
  • the etch byproduct vapor is drawn through the cold trap 120 and drawn out the outlet ports 116 by one or more pumps 144 .
  • Much of the etch byproduct condenses in the cold trap 120 .
  • the etch byproduct gradually builds up on the surfaces of the cold trap 120 .
  • any particles e.g., flakes and other loose etch byproduct buildup
  • the narrow passage 126 can have a width of between about 5 mm to about 20 mm. In this manner the particles are sufficiently physically separated from the substrate 108 to substantially eliminate particle contamination resulting from the plasma etch byproducts.
  • the cold trap 120 can be actively cooled or may simply not be heated and therefore sufficiently cool to cause the etch byproducts to condense.
  • the cold trap 120 can be thermally isolated from the heated portions of the plasma chamber 100 so that the cold trap will remain substantially cooler than the heated portions (e.g., more than about 50 degrees C. cooler, for example, than the hot liner 120 and the substrate 108 ).
  • the cold trap 120 can be thermally coupled to the wall of the chamber 100 or the chuck housing 142 so that the cold trap 120 will remain approximately the same temperature as the respective wall of the chamber 100 or the chuck housing 142 .
  • the substrate 108 can be loaded in to the plasma etch chamber 100 via a loading port 128 .
  • the bottom electrode 1 - 2 can be lowered in the chuck housing 142 or the hot liner 124 can be lifted (e.g., by lifters 130 ) or combinations thereof to provide substrate loading and unloading access to the plasma zone 114 .
  • FIG. 1B is a flowchart of the method operations 150 for etching a substrate in the plasma chamber 100 described above, in accordance with one embodiment of the present invention.
  • the substrate is loaded into the plasma chamber 100 (e.g., through the loading port 128 ).
  • the loading port can also be closed for processing.
  • a pressure inside the plasma chamber 100 is adjusted to the desired set point as described above.
  • the plasma chamber 100 is heated to the required processing temperature.
  • the processing temperature can be any temperature sufficiently high enough to provide sufficient volatility of the desired species. As described above, in a copper etch process using a chlorine containing gaseous etchant species, the processing temperature is about 200 degrees C. or even higher (e.g., about 250 to about 400 degrees C). If the intended process is an etch process, then all inner surfaces exposed to the plasma zone 114 are heated to the processing temperature. In the alternative, if the intended process is a deposition process, then all inner surfaces exposed to the plasma zone 114 , except the substrate 108 , are heated to the processing temperature. Heating the inner surfaces to the processing temperature can be accomplished by forming a plasma in the plasma zone 114 or by actively heating the various surfaces with resistive, radiant or other types of thermal energy sources.
  • the process gases are injected into the plasma chamber 100 and a etch (or deposition) plasma is formed.
  • the etching (or deposition) operation is performed.
  • the etching chemistry etches away a portion of the surface of the substrate 108 that is exposed to the plasma.
  • a copper layer can be etched by a chlorine containing species causing CuClx byproducts to be vaporized in the plasma.
  • the byproduct vapors and gases are drawn out of the plasma zone 114 and through the cold trap 120 and out of the plasma chamber 100 by the pump(s) 144 . At least a portion of the byproduct vapors condense on the relatively cool surfaces of the cold trap 120 , as the byproduct vapors are drawn through the cold trap. In this manner substantially all of the particles that may be caused by the condensed byproduct vapors are formed away from the inner surfaces that the substrate 108 is exposed to. As a result, the particle contamination of the substrate 108 is substantially eliminated.
  • the etching (or deposition) operation is ended.
  • the etching (or deposition) operation ends when a desired result is achieved.
  • the operation ends when an etching time has been achieved or when a desired end point has been achieved.
  • the substrate 108 is removed from the plasma chamber 100 and the method operations end.
  • etch processes with chlorine based etchants
  • present invention is not limited to copper etches.
  • a platinum material could be etched by chlorine and carbon monoxide gases.
  • Other etchant species can include HBr, HI, BCl 3 and CF 4 .
  • the chamber 100 shown in FIG. 1A can also be used to perform chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the chuck 102 may be cooled heated so that the vapor species will deposit on the substrate 108 mounted on the chuck 102 .
  • an organic film can be deposited using C 2 H 4 or C 2 H 2 as precursors.
  • a copper film can be deposited either thermally or using plasma assistance on the substrate 108 and inner surfaces. After the substrate 108 is removed from the processing chamber, the copper film on hot inner surface can be cleaned using a chlorine or a bromine containing etchant.
  • the plasma chamber 100 can be used to perform a stress free planarization as described in co-owned and co-pending U.S. patent application Ser. No. 10/390,520 filed on Mar. 14, 2003 and entitled “System, Method and Apparatus for Improved Local Dual-Damascene Planarization,” and U.S. patent application Ser. No. 10/390,117 filed on Mar. 14, 2003, and entitled “System, Method and Apparatus for Improved Global Dual-Damascene Planarization.” Both applications are incorporated herein by reference in their entirety for all purposes.
  • FIG. 2A shows a patterned semiconductor substrate 200 in a dual damascene process in accordance with one embodiment of the present invention.
  • the substrate 200 has been patterned as part of the semiconductor manufacturing process such as a dual damascene manufacturing process.
  • a mask can be used to pattern the substrate 200 .
  • the substrate 200 includes a large, somewhat isolated feature 202 (e.g., trench, via, etc.) a smaller, somewhat isolated feature 204 and several features 206 that are densely packed together.
  • a barrier layer 210 is also included.
  • the barrier layer 210 is typically a different material than the substrate 200 or a conductive interconnect material 220 .
  • the conductive interconnect material 220 can be copper or copper alloy or other conductive material.
  • An overburden portion 212 of the conductive interconnect material 220 extends above the features 202 , 204 , 206 and includes corresponding localized variations 214 , 216 , 218 in thickness of the overburden portion 212 .
  • the larger feature 202 has a corresponding larger decrease in the thickness of the overburden portion 212 as compared to the smaller feature 204 , which has a slightly smaller variation in thickness of the overburden portion 212 .
  • the densely packed features 206 have a somewhat increased thickness of the overburden portion 212 .
  • Typical etch processes etch the overburden portion 212 of the conductive interconnect material 220 at a fairly uniform rate over the entire wafer area and therefore the typical etching process will expose the barrier layer 210 near the large feature 202 before the barrier layer 210 near the densely packed features 206 will be exposed. In sum, the typical etching process cannot planarize the overburden portion 212 of the conductive interconnect material.
  • FIG. 2B shows an additional layer 222 added in accordance with one embodiment of the present invention.
  • the additional layer 222 is formed on top of the overburden portion 212 .
  • the additional layer 222 can be a substantially planar fill material (e.g., spin on glass (SOG), polysilicon, polymer resist, bilayer, UV or thermally curable material, or other material that can flow to form a planar surface and which has the appropriate etching characteristics).
  • An optional, relatively thin (e.g., about 25-100 nm in thickness) conformal layer 224 may also be included between the additional layer 222 and the overburden portion 212 .
  • the conformal layer 224 can be a barrier layer or an adhesion layer.
  • the conformal layer 224 can allow a wider variety of materials that can be used for the additional layer 222 .
  • the additional layer 222 and the overburden portion 212 have a substantially 1:1 etch selectivity so that a subsequent etching process (e.g., plasma or gaseous etch process) can etch both the additional layer 222 and the overburden portion 212 at substantially the same rate.
  • a subsequent etching process e.g., plasma or gaseous etch process
  • FIG. 3 shows a substantially planar overburden portion 212 ′ in accordance with one embodiment of the present invention. Because the additional layer 202 forms a substantially planar surface over the stack of layers 200 , 210 , 212 , 222 , a first etching process can uniformly etch the additional layer 222 and the overburden 212 over the entire area until the remaining overburden portion 212 ′ is substantially locally planar in that the local variations 214 , 216 , 218 are substantially eliminated.
  • a typical recipe would involve conditions that provide a 1:1 etch selectivity between the additional layer 222 and the overburden portion 212 .
  • a halogen e.g., Cl, F, Br, I
  • CF4, C12, and HCl are typical examples.
  • etch rates, selectivity, uniformity and reduce corrosion include variation of process variables such as substrate temperature and inclusion of one or more additives (e.g., Ar, H2, Cl, O2, CH3X (X ⁇ F, Cl, Br, I), CH2F2, and CH4).
  • additives e.g., Ar, H2, Cl, O2, CH3X (X ⁇ F, Cl, Br, I), CH2F2, and CH4
  • Another approach involves a sputter dominant etch with Ar or other inert gas such as He, Xe, Ne, Kr, as the primary etchant of the copper overburden portion 212 with other additives to provide etch rate control of the additional layer 222 and passivation of the top surface of the remaining copper 212 .
  • the other additives can include, for example H2 and/or CF4. Either of these processes is can operate over a wide temperature range of between about 75 degrees C. and about 400 degrees C.
  • the first etching process is an etch process designed to leave the remaining overburden portion 212 ′ substantially locally planar in that the local variations 214 , 216 , 218 are substantially eliminated.
  • One or more subsequent etching processes will remove the bulk or the majority of the overburden portion 212 ′.
  • a finish etching process can be applied to continue the etching process to an endpoint at which the overburden portion 212 ′ is removed from the barrier 210 .
  • the finish etching process can also be included in the bulk etch process.
  • Subsequent processes after the finish etch can include selective barrier removal and passivating the remaining conductive material 220 to prevent corrosion and provide stability for further processing.
  • An additional operation after the finish etch can be designed not to significantly remove any material but only passivate the remaining conductive material 220 to prevent corrosion and provide stability for further processing.
  • FIG. 4A shows the substrate 200 having undergone a second etching process in accordance with one embodiment of the present invention.
  • the second etching process continues to an endpoint such that the barrier layer 210 will be exposed at all locations substantially simultaneously and leaving only the portion 220 of the conductive material (e.g., copper, copper-containing alloys and combinations, and other conductive material) that fills the features 202 , 204 , 206 .
  • the conductive material e.g., copper, copper-containing alloys and combinations, and other conductive material
  • the first etching process and the second etching process can be substantially similar or significantly different.
  • the first etching process can be an etching process for improving the local planarity of the overburden portion 212 due to local non-uniformities 214 , 216 , 218 (e.g., caused by feature 202 , 204 , 206 locations, sizes and concentrations in underlying layers).
  • the entire additional layer 222 and a portion of the overburden portion 212 can be removed in the first etching process.
  • the second etching process can be a much more selective etching process that removes the bulk of the remaining, planar overburden 212 ′ to the endpoint (i.e., when the barrier layer 210 is exposed).
  • FIG. 4B shows the substrate having undergone a barrier removal process in accordance with one embodiment of the present invention.
  • a portion of the barrier layer 210 is removed to expose an underlying mask layer 402 . Only the portion of the barrier layer 210 that is formed within the features 202 , 204 , 206 remain.
  • a typical second etching process removes the bulk portion of the overburden 212 at high rate and preferably with a high selectivity to the barrier layer 210 .
  • a halogen—based chemistry e.g., C12, CF4, HCl, HBr, BCl3
  • a physically dominant etch process such as an Ar (or other noble or inert gas) based sputter process
  • Various process parameters can be adjusted to control etch rates and selectivity.
  • the various process parameters can include adjusting process variables such as substrate temperature balance of reactive species, and inclusion of one or more additives (e.g., H2, O2, Ar, He, Xe, Ne, Kr, etc.).
  • FIG. 5 is a flowchart 500 of the method operations of performing a local planarization, in accordance with one embodiment of the present invention.
  • the additional layer 222 is added on top of the conductive overburden portion 212 .
  • the first etch process is applied to remove the majority of the additional layer 222 and the conductive overburden portion 212 .
  • the second etch process is applied to remove the remaining overburden portion 212 ′ to the endpoint.
  • operation 515 can also include a finish etch process as described above.
  • Subsequent processes after the finish etch can include selective barrier removal and passivating the remaining conductive material 120 to prevent corrosion and provide stability for further processing.
  • An additional operation after the finish etch process can be designed not to significantly remove any material but only passivate the remaining conductive material 220 to prevent corrosion and provide stability for further processing.
  • FIGS. 6A-6D show a sequence of chemical conversion and etch-back processes applied to a substrate 600 to increase local uniformity, in accordance with one embodiment of the present invention.
  • FIG. 7 is a flowchart 700 of the method operations of the chemical conversion and etch-back processes applied to a substrate 600 to increase local uniformity, in accordance with one embodiment of the present invention.
  • the substrate 600 has a substantially non-planar overburden portion 602 with non-planar surface profile 606 , similar to the substrate 100 described in FIG. 2A above.
  • an additional layer 604 is formed on top of the overburden portion 602 .
  • the additional layer 604 may be deposited or formed on the overburden portion 602 .
  • the additional layer 604 can be formed through a chemical conversion of a top-most portion of the overburden portion 602 .
  • a controlled exposure to a gas can form a copper reaction product layer 604 .
  • a halogen gas that can form a Cu-halide layer 604 .
  • the copper reactant layer 604 diffuses into the surface of the copper overburden 602 to convert a top portion of the copper overburden 602 .
  • the additional layer 604 can be deposited on the overburden portion 602 .
  • the deposited layer 604 can include a polymer layer or an oxide layer being deposited on the overburden portion 602 .
  • an etch-back process is applied to remove the additional layer 604 .
  • a portion of the overburden portion 602 may also be removed.
  • Removing the additional layer 604 results in further softening (i.e., planarizing) of the profile of the overburden portion 602 to profile 606 ′.
  • the Cu-halide substantially softens the contours of the overburden portion 602 .
  • a Cu-halide can also maintain a substantially 1:1 etch-back selectivity with the copper overburden portion 602 .
  • Operations 705 and 710 can be repeated multiple times to substantially planarize the overburden portion 602 to subsequent profiles 606 ′ and 606 ′′, as shown in FIG. 6D , until the resulting profile is substantially planar.
  • Chemical conversion of copper overburden portion 602 utilizing shape dependence of compound formation can be typically achieved by oxidizing the copper at the Cu-reactive species interface. Copper oxidization in this instance can include a chemical conversion of elemental copper to a copper compound with copper in a positive oxidation state. By way of example, oxidation of the copper to cuprous- or cupric chloride (CuCl or CuCl2) at the surface can occur in a chlorine plasma at lower temperatures (e.g., ⁇ 200 degrees C.).
  • the etch-back process involves reduction of this copper compound to another chemical compound capable of being volatile and thus leaving the surface of the remaining overburden 602 ′ at the fixed substrate temperature.
  • this copper compound to another chemical compound capable of being volatile and thus leaving the surface of the remaining overburden 602 ′ at the fixed substrate temperature.
  • reactive hydrogen species e.g., H2 plasma.
  • Alternating the shape-dependent conversion followed by etch-back of the converted portion can lead to bulk removal of the copper overburden portion 602 , while simultaneously planarizing the topography (e.g., profile) of the copper overburden 602 .
  • operation 715 if the overburden portion 602 is substantially planarized, then the method operations end. Alternatively, if in operation 715 , the overburden portion 602 is not substantially planarized, then the method operations continue at operation 705 above. In one embodiment, operations 705 - 715 can occur in situ within a single etch chamber. In an alternative embodiment, operation 710 can occur ex situ and can include ECD or low-down force CMP processes to achieve the substantially planar overburden portion 602 ′ as shown in FIG. 6D .
  • FIGS. 6A-7 can be used as a planar bulk removal process that performs both planarization of the non-planar overburden portion 602 and removal of the bulk of the overburden portion 602 .
  • the local planarization of the substrates 200 , 600 can be determined through any one or more of several known layer thickness mapping technologies that are known in the art.
  • an eddy current sensor can map the thickness of the overburden portion 212 , 212 ′ as described in commonly owned U.S. patent application Ser. No. 10/328,912 entitled System, Method And Apparatus For Thin-Film Substrate Signal Separation Using Eddy Current by Gotkis et al., filed on Dec. 23, 2002 and U.S. patent application Ser. No. 10/251,033 entitled System And Method For Metal Residue Detection And Mapping Within A Multi-Step Sequence by Gotkis et al., filed on Sep. 19, 2002, which are incorporated by reference herein, in their entirety.
  • FIGS. 2A-7 describe various approaches to substantially eliminating local, pattern dependant non-uniformities in an overburden portion.
  • methods and systems described in FIGS. 2A-7 above do not directly address correction of global non-uniformities.
  • Global non-uniformities can include variations in removal rates of material in the center of the substrate as compared to the edge of the substrate and other non-uniformities that are not localized phenomena.
  • FIG. 8 is a flowchart of the method operation 800 of correcting global non-uniformities in accordance with one embodiment of the present invention.
  • a substrate having localized non-uniformities such as feature-pattern dependant non-uniformities in the overburden portion is received.
  • the localized non-uniformities are substantially eliminated such as through CMP, ECP or the methods and systems described in FIGS. 2A-7 above or any other method known in the art.
  • Substantially removing the localized non-uniformities forms a substantially, locally planarized overburden portion such as the planarized overburden portion 212 ′ shown in FIG. 3 above.
  • FIG. 9 shows a substantially removed, planarized overburden portion 902 in accordance with one embodiment of the present invention.
  • the substantially removed, planarized overburden portion 902 can be a relatively thin overburden portion such as a few hundred angstroms in thickness.
  • the substrate with the planarized overburden portion is mapped to identify and quantify any global non-uniformities in the planarized overburden portion.
  • the planarized overburden portion can be mapped with any one or more of several known layer thickness mapping technologies that are known in the art as described above.
  • the mapping can be in situ (within the current process chamber) or ex situ (external to the current process chamber).
  • An in situ mapping process can also be dynamic and allow for the subsequent processes to be dynamically adjusted as the subsequent processes progress.
  • the location and quantity of the global non-uniformities, as determined in operation 815 above, are removed in a substantially mechanical stress-free process by adjusting an etching process to address the specific requirements of the detected global non-uniformities in a finish etch process.
  • an etching process to address the specific requirements of the detected global non-uniformities in a finish etch process.
  • the recipe can be adjusted such that the center to edge non-uniformity can be compensated for so that the entire barrier layer 210 will be exposed simultaneously.
  • the stress-free process avoids the CMP problems described above because no mechanical force is applied to the substrate during the etch-back process.
  • the recipe e.g., selected values of process variables
  • the recipe is selective to barrier layer 210 (i.e., will etch the barrier at a much slower rate than the recipe will etch the copper, e.g., a typical selectivity range of copper etch over barrier etch in these processes is greater than about 1 but less than about 3) and that will minimize any recesses (e.g., excess removal of the conductive material 120 in the features 202 , 204 , 206 ).
  • the finish etch can have relatively slow etch rates for both copper of the remaining overburden portion 902 and the barrier layer 210 to minimize any recess into the features 202 , 204 , 206 with respect to the remaining height barrier of the barrier layer 210 . As a result, the finish etch cannot have a very high selectivity to etch the copper.
  • a final etch-back process can also be included.
  • the final etch-back process includes etch-back of the mask material and/or the ILD material with appropriate selectivity and uniformity control such that the final outcome provides substantially globally uniform and substantially planar features with minimal copper and ILD loss (e.g., any copper recess is globally uniform across the substrate 200 at the end of the final etch and barrier removal processes).
  • the final etch would include a uniform process to etch-back the mask material with high selectivity to minimize copper loss and minimize the copper recess.
  • a halogen-based process where the halogen concentration is low and the substrate temperature is low (e.g., less than about 200 degrees C.) will maintain a low copper etch rate while still sufficiently chemically etching the mask material.
  • Any plasma feed gas including halogen reactive species e.g., CF4, C2F6, C4F6) can be used.
  • Etch rate control additives can include Ar, O2, CH2F2 and others can also be included.
  • Typical selectivity obtained in this process is greater than about 2.
  • Variations of the recipe to provide for uniformity control include pressure, temperature variation across substrate, ion flux uniformity controls, gas concentrations and chamber wall temperature.
  • Variations to control selectivity include reactive halogen species concentration, substrate temperature, and bias power.
  • the invention also relates to a device or an apparatus for performing these operations.
  • the apparatus may be specially constructed for the required purposes, or it may be a general-purpose computer selectively activated or configured by a computer program stored in the computer.
  • various general-purpose machines may be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.
  • the invention can also be embodied as computer readable code on a computer readable medium.
  • the computer readable medium is any data storage device that can store data which can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices.
  • the computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.

Abstract

A system and method of processing a substrate including loading a substrate into a plasma chamber and setting a pressure of the plasma chamber to a pre-determined pressure set point. Several inner surfaces that define a plasma zone are heated to a processing temperature of greater than about 200 degrees C. A process gas is injected into the plasma zone to form a plasma and the substrate is processed.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of and claims priority from U.S. patent application Ser. No. 10/744,355 filed on Dec. 22, 2003, and entitled “Small Volume Process Chamber with Hot Inner Surfaces.” U.S. patent application Ser. No. 10/744,355 is a continuation-in-part and claims priority from U.S. patent application Ser. No. 10/390,520 filed on Mar. 14, 2003 and entitled “System, Method and Apparatus for Improved Local Dual-Damascene Planarization,” which is incorporated herein by reference in its entirety. U.S. patent application Ser. No. 10/744,355 is also a continuation-in-part and claims priority from U.S. patent application Ser. No. 10/390,117 filed on Mar. 14, 2003, and entitled “System, Method and Apparatus for Improved Global Dual-Damascene Planarization,” which is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to etching semiconductor substrates, and more particularly, to systems and methods for plasma etching semiconductor substrates.
  • 2. Description of the Related Art
  • In general, the manufacturing of the integrated circuit devices (in the form of semiconductor substrates and wafers) includes the use of plasma etching chambers. The plasma etch chambers are capable of etching selected layers on the substrate as defined by a photoresist mask. The plasma etch chambers are configured to receive processing gases (i.e., etch chemistries) while a radio frequency (RF) power is applied to one or more electrodes of the plasma etch chamber. The pressure inside the plasma etch chamber is also controlled for the particular process. Upon applying the desired RF power to the electrode(s), the process gases in the chamber are activated such that a plasma is created. The plasma is thus configured to perform the desired etching of the selected layers of the semiconductor wafer.
  • Low volatility byproducts are produced in some prior art plasma etch processes. By way of example, in a copper etch process using chlorine containing gases (e.g., C12 and HCl), the byproduct is CuClx. CuClx is non-volatile at room temperature. The low-volatility byproducts typically condense on the chamber walls. During each plasma etch cycle, the byproducts build-up on the chamber walls. Eventually the byproducts build-up to a certain thickness. The byproduct build-up then begins to “flake” off of the chamber walls and is therefore becomes a significant particle source. The particles can contaminate the substrates being etched in the chamber.
  • Recognizing that the internal surfaces of the plasma etch chamber are exposed to the plasma, the chambers are often designed to permit the use of simple lining parts, such as, disks, rings, and cylinders. Because these lining parts are configured to confine the plasma over the substrate being processed, these parts are continuously exposed and attacked by the processing plasma energies. Due to this exposure, these parts ultimately erode or accumulate polymer buildup, requiring replacement or thorough cleaning. However, the cleaning and/or replacement costs of these lining parts can become very expensive both in actual cost and in lost production time required for the cleaning and replacement.
  • In view of the foregoing, there is a need for a system and method of substantially eliminating particle contamination from byproduct build-up on the walls of the plasma etch chamber.
  • SUMMARY OF THE INVENTION
  • Broadly speaking, the present invention fills these needs by providing an improved system for processing a substrate. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below.
  • One embodiment includes a method of processing a substrate. The method including loading a substrate into a plasma chamber and setting a pressure of the plasma chamber to a pre-determined pressure set point. Multiple inner surfaces that define a plasma zone are heated to a processing temperature. A process gas is injected into the plasma zone to form a plasma and the substrate is processed. The processing temperature can be greater than about 200 degrees (e.g., between about 200 degrees C. and about 400 degrees C. or a higher temperature).
  • The method further includes drawing a byproduct vapor from the plasma zone through a cold trap to condense the byproduct vapor in the cold trap. The cold trap has a temperature of at least about 50 degrees C. cooler than the processing temperature.
  • Processing the substrate can include etching the substrate. Processing the substrate can also include etching a copper film on the substrate. Heating the inner surfaces defining the plasma zone to the processing temperature can include maintaining a surface of the substrate at a second temperature sufficient to cause a byproduct vapor to condense on the surface of the substrate to deposit a film on the substrate. The second temperature is about 50 degrees C cooler than the processing temperature.
  • The pre-determined pressure set point is less than atmospheric pressure. The predetermined pressure set point is within a range of about 1 mTorr and about 500 mTorr.
  • The plasma chamber is a small volume plasma chamber. The small volume plasma chamber includes a first electrode. The first electrode forming a chuck for supporting the substrate. A second electrode is also included. The second electrode being deposed substantially parallel to the first electrode. The second electrode being one of the inner surfaces. The first electrode and second electrode being separated by a predetermined distance. The predetermined distance is equal to a range of between about 0.5 cm and about 5 cm. The small volume plasma chamber includes a hot liner, wherein the hot liner forms a portion of the plurality of inner surfaces.
  • Processing the substrate includes a stress free planarization wherein the substrate is patterned, having a conductive interconnect material filling multiple features in the pattern. The conductive interconnect material having an overburden portion having at least one non-uniformity. The stress free planarization includes planarizing the overburden portion that includes depositing an additional layer on the overburden portion and planarizing the additional layer and the overburden portion. The additional layer being substantially entirely removed in the planarizing process.
  • Another embodiment provides a small volume plasma chamber that includes a first electrode, the first electrode forming a chuck for supporting the substrate. A second electrode is also included. The second electrode being deposed substantially parallel to the first electrode. The first electrode and second electrode being separated by a predetermined distance. A hot liner is also included. The hot liner and the second electrode form a portion of several inner surfaces that define a plasma zone. The predetermined distance is equal to a range of between about 0.5 cm and about 5 cm.
  • The small volume plasma chamber can also include a cold trap. The cold trap being sufficiently cooler than the inner surfaces that define the plasma zone to substantially cause a plasma byproduct vapor to condense in the cold trap. The cold trap is coupled to the plasma zone by a passage.
  • Another embodiment provides a method of forming a semiconductor device including loading a patterned substrate into a plasma chamber. The substrate having a conductive interconnect material filling several features in the pattern. The conductive interconnect material having an overburden portion having at least one non-uniformity. A pressure of the plasma chamber is set to a pre-determined pressure set point. Multiple inner surfaces that define a plasma zone, are heated to a processing temperature of greater than about 200 degree C. A process gas is injected into the plasma zone to form a plasma. The substrate is processed which includes forming an additional layer on the overburden portion and planarizing the additional layer and the overburden portion. The additional layer being substantially entirely removed in the planarizing process. A byproduct vapor from the plasma zone is drawn through a cold trap to cause the byproduct vapor to condense in the cold trap. The conductive interconnect material can include copper.
  • The present invention provides a small volume plasma chamber having multiple inner surfaces capable of being substantially uniformly heated to a processing temperature to ensure that byproduct vapors, especially byproduct vapors having a low volatility, will not condense on the inner surfaces so as to form particle contamination sources.
  • Further, the byproduct vapors are drawn away from the plasma zone and through a cold trap where the byproduct vapors can condense. The cold trap is sufficiently physically isolated that particles formed by the condensed byproduct vapors are substantially prevented from migrating to the substrate being processed.
  • The present invention provides an advantage of substantially minimizing the particle generation and resulting substrate contamination. This is achieved by substantially eliminating condensation of plasma chemistries on the inner surfaces of the plasma chamber. Condensation is directed in a cold trap that is at least partially physically isolated from the plasma zone.
  • Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, and like reference numerals designate like structural elements.
  • FIG. 1A shows side view of a small volume plasma etch process chamber, in accordance with one embodiment of the present invention.
  • FIG. 1B is a flowchart of the method operations for etching a substrate in the plasma chamber described above, in accordance with one embodiment of the present invention.
  • FIG. 2A shows a patterned semiconductor substrate in a dual damascene process in accordance with one embodiment of the present invention.
  • FIG. 2B shows an additional layer added in accordance with one embodiment of the present invention.
  • FIG. 3 shows a substantially planar overburden portion in accordance with one embodiment of the present invention.
  • FIG. 4A shows the substrate having undergone a second etching process in accordance with one embodiment of the present invention.
  • FIG. 4B shows the substrate having undergone a barrier removal process in accordance with one embodiment of the present invention.
  • FIG. 5 is a flowchart of the method operations of performing a local planarization, in accordance with one embodiment of the present invention.
  • FIGS. 6A-6D show a sequence of chemical conversion and etch-back processes applied to a substrate to increase local uniformity, in accordance with one embodiment of the present invention.
  • FIG. 7 is a flowchart of the method operations of the chemical conversion and etch-back processes applied to a substrate to increase local uniformity, in accordance with one embodiment of the present invention
  • FIG. 8 is a flowchart of the method operation of correcting global non-uniformities in accordance with one embodiment of the present invention.
  • FIG. 9 shows a substantially removed, planarized overburden portion in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
  • Several exemplary embodiments for an improved system and method for performing a plasma etch process on a substrate will now be described. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
  • One embodiment provides a plasma etch chamber with a relatively small volume that includes a capacitively coupled RF source. The inner surface area of the small volume plasma etch chamber is sufficiently small that the inner surface area can be easily heated to an elevated temperature (e.g., greater than about 200 degrees C.). The elevated temperature is sufficient to substantially prevent a low volatility byproduct from condensing on the inner surface. The capacitively coupled RF plasma chamber uses parallel plates having a narrow gap between them. The parallel plates form a top and bottom electrodes with the substrate situated on the bottom electrode and the top electrode situated very close to the surface of the substrate. In this configuration, the top and bottom electrodes form a majority of the inner surface area.
  • FIG. 1A shows side view of a small volume plasma etch process chamber 100, in accordance with one embodiment of the present invention. A substrate 108 being processed is clamped to a heated chuck 102 that also serves as the bottom electrode. The chuck 102 can be an electro-static chuck. A focus ring 122 can also be included in the bottom electrode.
  • Process gases are delivered from a gas source trough an inlet port 126. The process gases flow through an optional baffle plate 106 and through a perforated “showerhead-type” top electrode 104. The baffle plate 106 disperses the gas flow. The baffle plate 106 can be separated from the top electrode 104 by a low contact dielectric 116 (e.g., quartz, insulator, etc.) so that the baffle plate 106 is not substantially connected to the tope electrode 104 either electrically or thermally. A plasma zone 114 is formed by the volume defined by the top electrode 104, the bottom electrode 102 and the hot liner 124. The gas flow is well dispersed in the plasma zone 114.
  • The top electrode 104 and the bottom electrode 102 are separated by a distance d of about 0.5 cm to about 5 cm. In one exemplary embodiment, d is equal to about 2 cm. The top electrode 104 can be coupled to a ground potential 112 and the bottom electrode 102 coupled to the RF source 110 as shown. In an alternative embodiment, the bottom electrode 102 can be coupled to the ground potential 112 and the top electrode 104 coupled the RF source 110. The RF source can have a frequency of between about 400 kHz and about 60 MHz. The RF source can have a voltage of between about 100 volts and about 2000 volts.
  • In an alternative embodiment, the plasma chamber 100 can be configured in a push-pull configuration. In the push-pull configuration, both the top electrode 104 and the bottom electrode 102 are powered by the RF source. The sputtering from ions in the push-pull configuration can further reduce the deposition of the low-volatile by-products on the top electrode 104. As described above, the deposition of etch by-products on the top electrode 104 is a major concern since it is proximate to the surface substrate 108. The push-pull configuration plasma chamber 100 can also be powered from a single RF source 110. In this push-pull RF configuration, the plasma potential is reduced to one half, which allows the plasma to be more easily confined in the plasma zone 114.
  • The process gases can be heated by hot plasma bombardment. If a higher temperature is needed to prevent deposition of the byproducts on the inner surface area, then additional electric heaters can be embedded in the top electrode 104. A hot liner 124 between the electrodes is electrically heated to prevent any deposition on the liner. The hot liner 124 can be heated with embedded resistive heaters or other types of heat sources (e.g., hot oil, radiant heat source) The hot liner 124 can also serve as a plasma confinement barrier defining a plasma zone 114 formed between the top electrode 104, the bottom electrode 102 and the hot liner. The hot liner 124 can be manufactured from a plasma resistant material (e.g., quartz or alumina or any suitable materials coated with plasma resistant layers).
  • In an exemplary use, a copper film can be etched using a chlorine containing gaseous species. In such a use, the minimum temperature for all surfaces (e.g., hot liner 124, top electrode 104, and bottom electrode 102) that are around the substrate 108, should be between about 200 to about 400 degrees C. At that temperature range the etch byproducts (e.g., CuClx) and other chemistries in the plasma are vaporized at a sufficient vapor pressure and can therefore be pumped out of the plasma zone 114 in the plasma etch chamber and out of the outlet ports 116. As the temperature is increased, the volatility of the various chemistries and byproducts in the plasma also increases. As a result, vapors having a low volatility (e.g., copper etch byproducts) can be more efficiently evacuated from the plasma zone 114 as the temperature is increased. Because the low volatility copper etch byproducts are more effectively evacuated, then the particle generation caused by build-up of the etch byproduct on the inner surfaces of the plasma zone 114 is substantially reduced.
  • It should be understood that while 400 degrees C. is cited herein is an exemplary upper temperature limit, the chamber is not limited to 400 degrees C. and could be heated to a much higher temperature if desired. By way of example, if a particular etch by product did not have a sufficient vapor pressure until heated to 500 degrees C., then the process chamber could be sufficiently heated to 500 degrees C. Temperatures higher than 500 degrees C. can also be used.
  • In contrast, a typical prior art plasma etch chamber is too large to be effectively heated to 200 degrees C. and at least some portion of the inner surface of the prior art plasma etch chamber is sufficiently cool enough that the etch byproducts can condense and eventually build-up and flake off, thereby becoming a particle contamination source. The typical plasma etch processes occur at less than 100 degrees C. (e.g., 60 degrees C.) and as described above, the etch byproducts can condense on the inner surfaces, near the substrate, and cause particle contamination.
  • Because the etch byproduct CuClx has a low volatility, the plasma etch process can be accomplished at a pressure less than or equal to about atmospheric pressure (i.e. less than or equal to about 1 Torr). By way of example the copper plasma etch process can be operated at a range of about 1-500 mTorr.
  • A cold trap 120 is included adjacent to the hot liner 124. A narrow passage 126 through the hot liner 124 couples the plasma zone 114 with the cold trap 120. As gases and the etch byproduct vapor is pumped out of the plasma zone 114, the etch byproduct vapor is drawn through the cold trap 120 and drawn out the outlet ports 116 by one or more pumps 144. Much of the etch byproduct condenses in the cold trap 120. As a result, the etch byproduct gradually builds up on the surfaces of the cold trap 120. Any particles (e.g., flakes and other loose etch byproduct buildup) that form in the cold trap 120 cannot easily migrate back to the plasma zone 114, and therefore to the substrate 108, due to the relatively small width of the narrow passage 126. By way of example, the narrow passage 126 can have a width of between about 5 mm to about 20 mm. In this manner the particles are sufficiently physically separated from the substrate 108 to substantially eliminate particle contamination resulting from the plasma etch byproducts.
  • The cold trap 120 can be actively cooled or may simply not be heated and therefore sufficiently cool to cause the etch byproducts to condense. The cold trap 120 can be thermally isolated from the heated portions of the plasma chamber 100 so that the cold trap will remain substantially cooler than the heated portions (e.g., more than about 50 degrees C. cooler, for example, than the hot liner 120 and the substrate 108). By way of example the cold trap 120 can be thermally coupled to the wall of the chamber 100 or the chuck housing 142 so that the cold trap 120 will remain approximately the same temperature as the respective wall of the chamber 100 or the chuck housing 142.
  • The substrate 108 can be loaded in to the plasma etch chamber 100 via a loading port 128. The bottom electrode 1-2 can be lowered in the chuck housing 142 or the hot liner 124 can be lifted (e.g., by lifters 130) or combinations thereof to provide substrate loading and unloading access to the plasma zone 114.
  • FIG. 1B is a flowchart of the method operations 150 for etching a substrate in the plasma chamber 100 described above, in accordance with one embodiment of the present invention. In an operation 155, the substrate is loaded into the plasma chamber 100 (e.g., through the loading port 128). The loading port can also be closed for processing. In an operation 160, a pressure inside the plasma chamber 100 is adjusted to the desired set point as described above.
  • In an operation 165, the plasma chamber 100 is heated to the required processing temperature. The processing temperature can be any temperature sufficiently high enough to provide sufficient volatility of the desired species. As described above, in a copper etch process using a chlorine containing gaseous etchant species, the processing temperature is about 200 degrees C. or even higher (e.g., about 250 to about 400 degrees C). If the intended process is an etch process, then all inner surfaces exposed to the plasma zone 114 are heated to the processing temperature. In the alternative, if the intended process is a deposition process, then all inner surfaces exposed to the plasma zone 114, except the substrate 108, are heated to the processing temperature. Heating the inner surfaces to the processing temperature can be accomplished by forming a plasma in the plasma zone 114 or by actively heating the various surfaces with resistive, radiant or other types of thermal energy sources.
  • In an operation 170, the process gases are injected into the plasma chamber 100 and a etch (or deposition) plasma is formed. In an operation 175, the etching (or deposition) operation is performed. In an etching operation, the etching chemistry etches away a portion of the surface of the substrate 108 that is exposed to the plasma. By way of example, a copper layer can be etched by a chlorine containing species causing CuClx byproducts to be vaporized in the plasma.
  • In an operation 180, the byproduct vapors and gases are drawn out of the plasma zone 114 and through the cold trap 120 and out of the plasma chamber 100 by the pump(s) 144. At least a portion of the byproduct vapors condense on the relatively cool surfaces of the cold trap 120, as the byproduct vapors are drawn through the cold trap. In this manner substantially all of the particles that may be caused by the condensed byproduct vapors are formed away from the inner surfaces that the substrate 108 is exposed to. As a result, the particle contamination of the substrate 108 is substantially eliminated.
  • In an operation 185, the etching (or deposition) operation is ended. The etching (or deposition) operation ends when a desired result is achieved. By way of example, the operation ends when an etching time has been achieved or when a desired end point has been achieved. In an operation 240, the substrate 108 is removed from the plasma chamber 100 and the method operations end.
  • While the above examples are described in terms of copper etch processes with chlorine based etchants, the present invention is not limited to copper etches. By way of example a platinum material could be etched by chlorine and carbon monoxide gases. Other etchant species can include HBr, HI, BCl3 and CF4.
  • In an alternative embodiment, the chamber 100 shown in FIG. 1A can also be used to perform chemical vapor deposition (CVD). In a CVD operation, the chuck 102 may be cooled heated so that the vapor species will deposit on the substrate 108 mounted on the chuck 102. By way of example, an organic film can be deposited using C2H4 or C2H2 as precursors. In another CVD embodiment, a copper film can be deposited either thermally or using plasma assistance on the substrate 108 and inner surfaces. After the substrate 108 is removed from the processing chamber, the copper film on hot inner surface can be cleaned using a chlorine or a bromine containing etchant.
  • The plasma chamber 100 can be used to perform a stress free planarization as described in co-owned and co-pending U.S. patent application Ser. No. 10/390,520 filed on Mar. 14, 2003 and entitled “System, Method and Apparatus for Improved Local Dual-Damascene Planarization,” and U.S. patent application Ser. No. 10/390,117 filed on Mar. 14, 2003, and entitled “System, Method and Apparatus for Improved Global Dual-Damascene Planarization.” Both applications are incorporated herein by reference in their entirety for all purposes.
  • FIG. 2A shows a patterned semiconductor substrate 200 in a dual damascene process in accordance with one embodiment of the present invention. The substrate 200 has been patterned as part of the semiconductor manufacturing process such as a dual damascene manufacturing process. A mask can be used to pattern the substrate 200. The substrate 200 includes a large, somewhat isolated feature 202 (e.g., trench, via, etc.) a smaller, somewhat isolated feature 204 and several features 206 that are densely packed together. A barrier layer 210 is also included. The barrier layer 210 is typically a different material than the substrate 200 or a conductive interconnect material 220. The conductive interconnect material 220 can be copper or copper alloy or other conductive material.
  • An overburden portion 212 of the conductive interconnect material 220 extends above the features 202, 204, 206 and includes corresponding localized variations 214, 216, 218 in thickness of the overburden portion 212. As shown, the larger feature 202 has a corresponding larger decrease in the thickness of the overburden portion 212 as compared to the smaller feature 204, which has a slightly smaller variation in thickness of the overburden portion 212. The densely packed features 206 have a somewhat increased thickness of the overburden portion 212.
  • Typical etch processes etch the overburden portion 212 of the conductive interconnect material 220 at a fairly uniform rate over the entire wafer area and therefore the typical etching process will expose the barrier layer 210 near the large feature 202 before the barrier layer 210 near the densely packed features 206 will be exposed. In sum, the typical etching process cannot planarize the overburden portion 212 of the conductive interconnect material.
  • FIG. 2B shows an additional layer 222 added in accordance with one embodiment of the present invention. The additional layer 222 is formed on top of the overburden portion 212. The additional layer 222 can be a substantially planar fill material (e.g., spin on glass (SOG), polysilicon, polymer resist, bilayer, UV or thermally curable material, or other material that can flow to form a planar surface and which has the appropriate etching characteristics). An optional, relatively thin (e.g., about 25-100 nm in thickness) conformal layer 224 may also be included between the additional layer 222 and the overburden portion 212. The conformal layer 224 can be a barrier layer or an adhesion layer. The conformal layer 224 can allow a wider variety of materials that can be used for the additional layer 222.
  • The additional layer 222 and the overburden portion 212 have a substantially 1:1 etch selectivity so that a subsequent etching process (e.g., plasma or gaseous etch process) can etch both the additional layer 222 and the overburden portion 212 at substantially the same rate.
  • FIG. 3 shows a substantially planar overburden portion 212′ in accordance with one embodiment of the present invention. Because the additional layer 202 forms a substantially planar surface over the stack of layers 200, 210, 212, 222, a first etching process can uniformly etch the additional layer 222 and the overburden 212 over the entire area until the remaining overburden portion 212′ is substantially locally planar in that the local variations 214, 216, 218 are substantially eliminated.
  • A typical recipe would involve conditions that provide a 1:1 etch selectivity between the additional layer 222 and the overburden portion 212. By way of example, if the additional layer 222 is SOG, and the overburden portion 212 is copper, then a halogen (e.g., Cl, F, Br, I) based chemistry provides etch rate control for both the SOG as well as copper to allow for tuning for the desired 1:1 selectivity. Although any plasma feed gas producing reactive halogen radicals can be used, CF4, C12, and HCl are typical examples. Various process parameters can be adjusted to control etch rates, selectivity, uniformity and reduce corrosion include variation of process variables such as substrate temperature and inclusion of one or more additives (e.g., Ar, H2, Cl, O2, CH3X (X═F, Cl, Br, I), CH2F2, and CH4).
  • Another approach involves a sputter dominant etch with Ar or other inert gas such as He, Xe, Ne, Kr, as the primary etchant of the copper overburden portion 212 with other additives to provide etch rate control of the additional layer 222 and passivation of the top surface of the remaining copper 212. The other additives can include, for example H2 and/or CF4. Either of these processes is can operate over a wide temperature range of between about 75 degrees C. and about 400 degrees C.
  • The first etching process is an etch process designed to leave the remaining overburden portion 212′ substantially locally planar in that the local variations 214, 216, 218 are substantially eliminated. One or more subsequent etching processes will remove the bulk or the majority of the overburden portion 212′. A finish etching process can be applied to continue the etching process to an endpoint at which the overburden portion 212′ is removed from the barrier 210. The finish etching process can also be included in the bulk etch process. Subsequent processes after the finish etch can include selective barrier removal and passivating the remaining conductive material 220 to prevent corrosion and provide stability for further processing. An additional operation after the finish etch can be designed not to significantly remove any material but only passivate the remaining conductive material 220 to prevent corrosion and provide stability for further processing.
  • FIG. 4A shows the substrate 200 having undergone a second etching process in accordance with one embodiment of the present invention. The second etching process continues to an endpoint such that the barrier layer 210 will be exposed at all locations substantially simultaneously and leaving only the portion 220 of the conductive material (e.g., copper, copper-containing alloys and combinations, and other conductive material) that fills the features 202, 204, 206.
  • The first etching process and the second etching process can be substantially similar or significantly different. By way of example, the first etching process can be an etching process for improving the local planarity of the overburden portion 212 due to local non-uniformities 214, 216, 218 (e.g., caused by feature 202, 204, 206 locations, sizes and concentrations in underlying layers). The entire additional layer 222 and a portion of the overburden portion 212 can be removed in the first etching process. By comparison, the second etching process can be a much more selective etching process that removes the bulk of the remaining, planar overburden 212′ to the endpoint (i.e., when the barrier layer 210 is exposed).
  • FIG. 4B shows the substrate having undergone a barrier removal process in accordance with one embodiment of the present invention. A portion of the barrier layer 210 is removed to expose an underlying mask layer 402. Only the portion of the barrier layer 210 that is formed within the features 202, 204, 206 remain. A typical second etching process removes the bulk portion of the overburden 212 at high rate and preferably with a high selectivity to the barrier layer 210. By way of example, if the overburden portion 212 is copper, a halogen—based chemistry (e.g., C12, CF4, HCl, HBr, BCl3) can be effectively used for the second etching process. In another approach a physically dominant etch process such as an Ar (or other noble or inert gas) based sputter process can be used. Various process parameters can be adjusted to control etch rates and selectivity. The various process parameters can include adjusting process variables such as substrate temperature balance of reactive species, and inclusion of one or more additives (e.g., H2, O2, Ar, He, Xe, Ne, Kr, etc.).
  • FIG. 5 is a flowchart 500 of the method operations of performing a local planarization, in accordance with one embodiment of the present invention. In operation 505, the additional layer 222 is added on top of the conductive overburden portion 212. In operation 510, the first etch process is applied to remove the majority of the additional layer 222 and the conductive overburden portion 212. In operation 515, the second etch process is applied to remove the remaining overburden portion 212′ to the endpoint.
  • In an alternative embodiment, operation 515 can also include a finish etch process as described above. Subsequent processes after the finish etch can include selective barrier removal and passivating the remaining conductive material 120 to prevent corrosion and provide stability for further processing. An additional operation after the finish etch process can be designed not to significantly remove any material but only passivate the remaining conductive material 220 to prevent corrosion and provide stability for further processing.
  • FIGS. 6A-6D show a sequence of chemical conversion and etch-back processes applied to a substrate 600 to increase local uniformity, in accordance with one embodiment of the present invention. FIG. 7 is a flowchart 700 of the method operations of the chemical conversion and etch-back processes applied to a substrate 600 to increase local uniformity, in accordance with one embodiment of the present invention. As shown in FIG. 6A, the substrate 600 has a substantially non-planar overburden portion 602 with non-planar surface profile 606, similar to the substrate 100 described in FIG. 2A above.
  • Referring now to FIGS. 6B and 7, in operation 705, an additional layer 604 is formed on top of the overburden portion 602. The additional layer 604 may be deposited or formed on the overburden portion 602. By way of example, the additional layer 604 can be formed through a chemical conversion of a top-most portion of the overburden portion 602. If the overburden portion 602 is copper or copper alloy, then a controlled exposure to a gas can form a copper reaction product layer 604. One example is a halogen gas that can form a Cu-halide layer 604. The copper reactant layer 604 diffuses into the surface of the copper overburden 602 to convert a top portion of the copper overburden 602. Processes for chemical conversion of copper are known in the art, such as Nagraj S. Kulkarni and Robert T. DeHoff, “Application of Volatility Diagrams for Low Temperature, Dry Etching, and Planarization of Copper”, Journal of Electrochemical Society, 149 (11) G620-G632, 2002.
  • In another example, the additional layer 604 can be deposited on the overburden portion 602. The deposited layer 604 can include a polymer layer or an oxide layer being deposited on the overburden portion 602.
  • Referring now to operation 710 and FIG. 6C, an etch-back process is applied to remove the additional layer 604. A portion of the overburden portion 602 may also be removed. Removing the additional layer 604 results in further softening (i.e., planarizing) of the profile of the overburden portion 602 to profile 606′. The Cu-halide substantially softens the contours of the overburden portion 602. A Cu-halide can also maintain a substantially 1:1 etch-back selectivity with the copper overburden portion 602. Operations 705 and 710 can be repeated multiple times to substantially planarize the overburden portion 602 to subsequent profiles 606′ and 606″, as shown in FIG. 6D, until the resulting profile is substantially planar.
  • Chemical conversion of copper overburden portion 602 utilizing shape dependence of compound formation can be typically achieved by oxidizing the copper at the Cu-reactive species interface. Copper oxidization in this instance can include a chemical conversion of elemental copper to a copper compound with copper in a positive oxidation state. By way of example, oxidation of the copper to cuprous- or cupric chloride (CuCl or CuCl2) at the surface can occur in a chlorine plasma at lower temperatures (e.g., <200 degrees C.).
  • The etch-back process involves reduction of this copper compound to another chemical compound capable of being volatile and thus leaving the surface of the remaining overburden 602′ at the fixed substrate temperature. By way of example, there can be a reduction of the CuCl2 to volatile Cu3Cl3 in the presence of reactive hydrogen species (e.g., H2 plasma). Alternating the shape-dependent conversion followed by etch-back of the converted portion can lead to bulk removal of the copper overburden portion 602, while simultaneously planarizing the topography (e.g., profile) of the copper overburden 602.
  • In operation 715, if the overburden portion 602 is substantially planarized, then the method operations end. Alternatively, if in operation 715, the overburden portion 602 is not substantially planarized, then the method operations continue at operation 705 above. In one embodiment, operations 705-715 can occur in situ within a single etch chamber. In an alternative embodiment, operation 710 can occur ex situ and can include ECD or low-down force CMP processes to achieve the substantially planar overburden portion 602′ as shown in FIG. 6D.
  • The method operations described in FIGS. 6A-7 can be used as a planar bulk removal process that performs both planarization of the non-planar overburden portion 602 and removal of the bulk of the overburden portion 602.
  • The local planarization of the substrates 200, 600 can be determined through any one or more of several known layer thickness mapping technologies that are known in the art. By way of example, an eddy current sensor can map the thickness of the overburden portion 212, 212′ as described in commonly owned U.S. patent application Ser. No. 10/328,912 entitled System, Method And Apparatus For Thin-Film Substrate Signal Separation Using Eddy Current by Gotkis et al., filed on Dec. 23, 2002 and U.S. patent application Ser. No. 10/251,033 entitled System And Method For Metal Residue Detection And Mapping Within A Multi-Step Sequence by Gotkis et al., filed on Sep. 19, 2002, which are incorporated by reference herein, in their entirety.
  • The methods and systems described in FIGS. 2A-7 above describe various approaches to substantially eliminating local, pattern dependant non-uniformities in an overburden portion. However, methods and systems described in FIGS. 2A-7 above do not directly address correction of global non-uniformities. Global non-uniformities can include variations in removal rates of material in the center of the substrate as compared to the edge of the substrate and other non-uniformities that are not localized phenomena.
  • FIG. 8 is a flowchart of the method operation 800 of correcting global non-uniformities in accordance with one embodiment of the present invention. In operation 805, a substrate having localized non-uniformities such as feature-pattern dependant non-uniformities in the overburden portion is received. In operation 810, the localized non-uniformities are substantially eliminated such as through CMP, ECP or the methods and systems described in FIGS. 2A-7 above or any other method known in the art. Substantially removing the localized non-uniformities forms a substantially, locally planarized overburden portion such as the planarized overburden portion 212′ shown in FIG. 3 above.
  • FIG. 9 shows a substantially removed, planarized overburden portion 902 in accordance with one embodiment of the present invention. The substantially removed, planarized overburden portion 902 can be a relatively thin overburden portion such as a few hundred angstroms in thickness.
  • In operation 815, the substrate with the planarized overburden portion is mapped to identify and quantify any global non-uniformities in the planarized overburden portion. The planarized overburden portion can be mapped with any one or more of several known layer thickness mapping technologies that are known in the art as described above. The mapping can be in situ (within the current process chamber) or ex situ (external to the current process chamber). An in situ mapping process can also be dynamic and allow for the subsequent processes to be dynamically adjusted as the subsequent processes progress.
  • In operation 820, the location and quantity of the global non-uniformities, as determined in operation 815 above, are removed in a substantially mechanical stress-free process by adjusting an etching process to address the specific requirements of the detected global non-uniformities in a finish etch process. By way of example, if the remaining overburden portion 902 were approximately 500 angstroms thick in the center and 300 angstroms thick on the edge, then the recipe can be adjusted such that the center to edge non-uniformity can be compensated for so that the entire barrier layer 210 will be exposed simultaneously. The stress-free process avoids the CMP problems described above because no mechanical force is applied to the substrate during the etch-back process.
  • The recipe (e.g., selected values of process variables) that is selected is selective to barrier layer 210 (i.e., will etch the barrier at a much slower rate than the recipe will etch the copper, e.g., a typical selectivity range of copper etch over barrier etch in these processes is greater than about 1 but less than about 3) and that will minimize any recesses (e.g., excess removal of the conductive material 120 in the features 202, 204, 206).
  • The finish etch can have relatively slow etch rates for both copper of the remaining overburden portion 902 and the barrier layer 210 to minimize any recess into the features 202, 204, 206 with respect to the remaining height barrier of the barrier layer 210. As a result, the finish etch cannot have a very high selectivity to etch the copper.
  • A final etch-back process can also be included. The final etch-back process includes etch-back of the mask material and/or the ILD material with appropriate selectivity and uniformity control such that the final outcome provides substantially globally uniform and substantially planar features with minimal copper and ILD loss (e.g., any copper recess is globally uniform across the substrate 200 at the end of the final etch and barrier removal processes). In this instance, the final etch would include a uniform process to etch-back the mask material with high selectivity to minimize copper loss and minimize the copper recess. By way of example, a halogen-based process where the halogen concentration is low and the substrate temperature is low (e.g., less than about 200 degrees C.) will maintain a low copper etch rate while still sufficiently chemically etching the mask material. Any plasma feed gas including halogen reactive species (e.g., CF4, C2F6, C4F6) can be used. Etch rate control additives can include Ar, O2, CH2F2 and others can also be included.
  • If the global copper recess and/or mask/ILD loss are non-uniform across the substrate at the end of the finish etch and final etch-back process, then additional variations in the recipe must be taken to correct for the global non-uniformities. By way of example, typical instances are a result of etch non-uniformity are described as center fast or edge fast etch rates. In either of these instances, can result in a variation in copper recess and/or mask/ILD loss across the substrate. Compensation can be achieved to counter this variation to obtain globally planar features with minimal copper and mask loss utilizing appropriate uniformity and selectivity controls during the final etch-back of the mask/ILD material. In the instance of a center-fast finish etch process resulting in larger copper recess in the center of the substrate can be compensated for by an edge-fast final etch back process which selectively etches the mask material to bring to the same level as the copper level in the features 202, 204, 206. Typical selectivity obtained in this process is greater than about 2. Variations of the recipe to provide for uniformity control include pressure, temperature variation across substrate, ion flux uniformity controls, gas concentrations and chamber wall temperature. Variations to control selectivity include reactive halogen species concentration, substrate temperature, and bias power.
  • Any of the operations described herein that form part of the invention are useful machine operations. The invention also relates to a device or an apparatus for performing these operations. The apparatus may be specially constructed for the required purposes, or it may be a general-purpose computer selectively activated or configured by a computer program stored in the computer. In particular, various general-purpose machines may be used with computer programs written in accordance with the teachings herein, or it may be more convenient to construct a more specialized apparatus to perform the required operations.
  • The invention can also be embodied as computer readable code on a computer readable medium. The computer readable medium is any data storage device that can store data which can thereafter be read by a computer system. Examples of the computer readable medium include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROMs, CD-Rs, CD-RWs, magnetic tapes, and other optical and non-optical data storage devices. The computer readable medium can also be distributed over a network coupled computer systems so that the computer readable code is stored and executed in a distributed fashion.
  • It will be further appreciated that the instructions represented by the operations in any of the above figures are not required to be performed in the order illustrated, and that all the processing represented by the operations may not be necessary to practice the invention. Further, the processes described in any of the above figures can also be implemented in software stored in any one of or combinations of the RAM, the ROM, or the hard disk drive.
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (20)

1. A method of processing a substrate comprising:
loading a substrate into a plasma chamber;
setting a pressure of the plasma chamber to a pre-determined pressure set point;
heating a plurality of inner surfaces defining a plasma zone to a processing temperature greater than about 200 degrees C., the plurality of inner surfaces including a hot liner, the hot liner having a temperature sufficient to substantially prevent deposition on the hot liner;
injecting a process gas into the plasma zone to form a plasma; and
processing the substrate.
2. The method of claim 1, further comprising drawing a byproduct vapor from the plasma zone through a cold trap to condense the byproduct vapor in the cold trap.
3. The method of claim 2, wherein the cold trap has a temperature of not less than about 50 degrees C. cooler than the processing temperature.
4. The method of claim 1, wherein processing the substrate includes etching the substrate.
5. The method of claim 1, wherein processing the substrate includes etching a copper film on the substrate
6. The method of claim 1, wherein heating the plurality of inner surfaces defining the plasma zone to the processing temperature includes maintaining a surface of the substrate at a second temperature sufficient to cause a byproduct vapor to condense on the surface of the substrate to deposit a film on the substrate.
7. The method of claim 6, wherein maintaining a surface of the substrate at the second temperature sufficient to cause the byproduct vapor to condense on the surface of the substrate to deposit a film on the substrate includes actively cooling the surface of the substrate.
8. The method of claim 6, wherein the second temperature is not less than about 50 degrees C. cooler than the processing temperature.
9. The method of claim 1, wherein the pre-determined pressure set point is less than atmospheric pressure.
10. The method of claim 1, wherein the pre-determined pressure set point is within a range of about 1 mTorr and about 500 mTorr.
11. The method of claim 1, wherein the plasma chamber is a small volume plasma chamber.
12. The method of claim 11, wherein the small volume plasma chamber includes:
a first electrode, the first electrode forming a chuck for supporting the substrate; and
a second electrode, the second electrode being deposed substantially parallel to the first electrode, the second electrode being one of the plurality of inner surfaces, the first electrode and second electrode being separated by a predetermined distance.
13. The method of claim 12, wherein the predetermined distance is equal to a range of between about 0.5 cm and about 5 cm.
14. The method of claim 11, wherein the small volume plasma chamber further includes a cold trap, the cold trap being sufficiently cooler than the plurality of inner surfaces that define the plasma zone to substantially cause a plasma byproduct vapor to condense in the cold trap, the cold trap being coupled to the plasma zone by a passage through the hot liner.
15. The method of claim 14, wherein the passage through the hot liner to the cold trap has a width of between about 5 mm and about 20 mm.
16. The method of claim 11, wherein the hot liner is manufactured from a substantially plasma resistant material.
17. The method of claim 1, wherein processing the substrate includes a stress free planarization.
18. The method of claim 17, wherein the substrate is patterned, having a conductive interconnect material filling a plurality of features in the pattern, the conductive interconnect material having an overburden portion having at least one non-uniformity and wherein the stress free planarization includes:
planarizing the overburden portion including:
depositing an additional layer on the overburden portion; and
planarizing the additional layer and the overburden portion, the additional layer being substantially entirely removed in the planarizing process.
19. The method of claim 18, wherein the conductive interconnect material includes copper.
20. A semiconductor device formed by a method comprising:
loading a patterned substrate into a plasma chamber, the substrate having a conductive interconnect material filling a plurality of features in the pattern, the conductive interconnect material having an overburden portion having at least one non-uniformity;
setting a pressure of the plasma chamber to a pre-determined pressure set point;
heating a plurality of inner surfaces defining a plasma zone to a processing temperature of greater than about 200 degrees C.;
injecting a process gas into the plasma zone to form a plasma;
processing the substrate including:
forming an additional layer on the overburden portion; and
planarizing the additional layer and the overburden portion, the additional layer being substantially entirely removed in the planarizing process; and
drawing a byproduct vapor from the plasma zone through a cold trap to condense the byproduct vapor in the cold trap.
US11/303,210 2003-03-14 2005-12-15 Small volume process chamber with hot inner surfaces Abandoned US20060105575A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/303,210 US20060105575A1 (en) 2003-03-14 2005-12-15 Small volume process chamber with hot inner surfaces

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/390,117 US6939796B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved global dual-damascene planarization
US10/390,520 US6821899B2 (en) 2003-03-14 2003-03-14 System, method and apparatus for improved local dual-damascene planarization
US10/744,355 US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces
US11/303,210 US20060105575A1 (en) 2003-03-14 2005-12-15 Small volume process chamber with hot inner surfaces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/744,355 Division US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces

Publications (1)

Publication Number Publication Date
US20060105575A1 true US20060105575A1 (en) 2006-05-18

Family

ID=34749217

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/744,355 Expired - Fee Related US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces
US11/303,210 Abandoned US20060105575A1 (en) 2003-03-14 2005-12-15 Small volume process chamber with hot inner surfaces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/744,355 Expired - Fee Related US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces

Country Status (9)

Country Link
US (2) US7009281B2 (en)
EP (1) EP1697973A1 (en)
JP (1) JP5314247B2 (en)
KR (1) KR101211446B1 (en)
CN (1) CN100508108C (en)
IL (1) IL176269A0 (en)
SG (1) SG131933A1 (en)
TW (1) TWI298004B (en)
WO (1) WO2005067005A1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020006477A1 (en) * 2000-04-03 2002-01-17 Takeshi Shishido Exhaust processing method, plasma processing method and plasma processing apparatus
US20090004870A1 (en) * 2007-06-27 2009-01-01 Wei Liu Methods for high temperature etching a high-k material gate structure
WO2011059891A2 (en) * 2009-11-11 2011-05-19 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110287632A1 (en) * 2010-05-21 2011-11-24 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20120164839A1 (en) * 2010-12-24 2012-06-28 Tokyo Electron Limited Substrate processing method
WO2013062834A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20130224953A1 (en) * 2012-02-29 2013-08-29 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10240231B2 (en) * 2015-04-30 2019-03-26 Advanced Micro-Fabrication Equipment Inc, Shanghai Chemical vapor deposition apparatus and its cleaning method
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20230360956A1 (en) * 2018-09-28 2023-11-09 Applied Materials, Inc. Coaxial lift device with dynamic leveling

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4399206B2 (en) * 2003-08-06 2010-01-13 株式会社アルバック Thin film manufacturing equipment
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
CN100358099C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Plasma processing device
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP5044931B2 (en) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
US20070227663A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
DE102006030265B4 (en) * 2006-06-30 2014-01-30 Globalfoundries Inc. A method for improving the planarity of a surface topography in a microstructure
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
JP5567392B2 (en) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 Plasma processing equipment
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
CN102376604B (en) * 2010-08-19 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 Vacuum processing equipment and temperature control method thereof, and semiconductor device processing method
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
KR101864132B1 (en) 2010-10-05 2018-07-13 에바텍 아크티엔게젤샤프트 In-situ conditioning for vacuum processing of polymer substrates
CN102543839B (en) * 2010-12-22 2014-01-08 中国科学院微电子研究所 Planarization method for interlayer dielectric (ILD) layer
KR101855217B1 (en) 2010-12-30 2018-05-08 비코 인스트루먼츠 인코포레이티드 Wafer processing with carrier extension
TWI511223B (en) * 2011-06-03 2015-12-01 Hermes Epitek Corp Semiconductor equipment
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9388493B2 (en) * 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
KR101598465B1 (en) * 2014-09-30 2016-03-02 세메스 주식회사 Apparatus and method for treating a subtrate
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
CN106672892A (en) * 2016-12-21 2017-05-17 中国电子科技集团公司第五十五研究所 Method for reducing depressed deformation of sacrificial layer in three-dimensional stacking in chemical mechanical polishing
KR102492733B1 (en) * 2017-09-29 2023-01-27 삼성디스플레이 주식회사 Copper plasma etching method and manufacturing method of display panel
JP6575641B1 (en) * 2018-06-28 2019-09-18 株式会社明電舎 Shower head and processing equipment
SG11202100703SA (en) * 2018-07-30 2021-02-25 Nordson Corp Systems for workpiece processing with plasma
CN111326391B (en) * 2018-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source

Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5009738A (en) * 1989-04-28 1991-04-23 Leybold Aktiengesellschaft Apparatus for plasma etching
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5198677A (en) * 1991-10-11 1993-03-30 The United States Of America As Represented By The United States Department Of Energy Production of N+ ions from a multicusp ion beam apparatus
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5302241A (en) * 1991-02-20 1994-04-12 Micron Technology, Inc. Post etching treatment of semiconductor devices
US5380397A (en) * 1989-08-28 1995-01-10 Hitachi, Ltd. Method of treating samples
US5387315A (en) * 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5744402A (en) * 1994-11-30 1998-04-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5968847A (en) * 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147005A (en) * 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6153116A (en) * 1998-08-18 2000-11-28 United Microelectronics Corp. Method of detecting end point and monitoring uniformity in chemical-mechanical polishing operation
US6174813B1 (en) * 1998-07-22 2001-01-16 United Integrated Circuits Corp. Dual damascene manufacturing process
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US20010003060A1 (en) * 1997-04-25 2001-06-07 Takashi Yokohama Multilevel interconnecting structure in semiconductor device and method of forming the same
US20010015175A1 (en) * 2000-02-21 2001-08-23 Toshio Masuda Plasma processing system and apparatus and a sample processing method
US20010018271A1 (en) * 2000-02-25 2001-08-30 Michihiko Yanagisawa Method for manufacturing a semiconductor wafer
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US20020016084A1 (en) * 2000-04-28 2002-02-07 Todd Michael A. CVD syntheses of silicon nitride materials
US20020020494A1 (en) * 1998-06-24 2002-02-21 Yokogawa Ken?Apos;Etsu Plasma processing system and method
US6350664B1 (en) * 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US6365327B1 (en) * 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6368517B1 (en) * 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US20020045354A1 (en) * 1997-08-13 2002-04-18 Yan Ye Method of heating a semiconductor substrate
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20020081854A1 (en) * 2000-12-22 2002-06-27 Patrick Morrow Method for making a dual damascene interconnect using a multilayer hard mask
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6440840B1 (en) * 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20020153350A1 (en) * 2001-04-18 2002-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US20020155695A1 (en) * 2001-04-19 2002-10-24 Silicon Integrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6475298B1 (en) * 2000-10-13 2002-11-05 Lam Research Corporation Post-metal etch treatment to prevent corrosion
US6482755B1 (en) * 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
US20030004375A1 (en) * 1999-10-04 2003-01-02 Joseph Mizrahi Process for producing a purified lactic acid solution
US20030013316A1 (en) * 2001-07-12 2003-01-16 Il-Goo Kim Method of forming wiring using a dual damascene process
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US20030032278A1 (en) * 2001-08-08 2003-02-13 Lam Research Corporation All dual damascene oxide etch process steps in one confined plasma chamber
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US20030045100A1 (en) * 2000-07-31 2003-03-06 Massachusetts Institute Of Technology In-situ method and apparatus for end point detection in chemical mechanical polishing
US20030044725A1 (en) * 2001-07-24 2003-03-06 Chen-Chiu Hsue Dual damascene process using metal hard mask
US20030057179A1 (en) * 1999-12-28 2003-03-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20030073319A1 (en) * 2001-10-12 2003-04-17 Bulent Basol Chemical mechanical polishing endpoint detection
US20030082996A1 (en) * 2001-10-12 2003-05-01 Vincent Fortin Determining an endpoint in a polishing process
US20030087586A1 (en) * 2001-11-07 2003-05-08 Applied Materials, Inc. Chemical mechanical polishing endpoinat detection
US20030092260A1 (en) * 2001-11-15 2003-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
US6573187B1 (en) * 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6600229B2 (en) * 2001-01-23 2003-07-29 Honeywell International Inc. Planarizers for spin etch planarization of electronic components
US20030159779A1 (en) * 2001-12-04 2003-08-28 Yasumi Sago Insulation-film etching system
US20030166345A1 (en) * 2002-03-02 2003-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving an etching profile in dual damascene etching
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6620726B1 (en) * 2002-02-26 2003-09-16 Advanced Micro Devices, Inc. Method of forming metal lines having improved uniformity on a substrate
US20030184732A1 (en) * 2002-03-29 2003-10-02 Lam Research System and method of broad band optical end point detection for film change indication
US20030186546A1 (en) * 2002-02-26 2003-10-02 Dirk Wollstein Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US20030196989A1 (en) * 1999-07-19 2003-10-23 Chartered Semiconductor Manufacturing Ltd. Selective & damage free Cu cleaning process for pre-dep, post etch/CMP
US20030203321A1 (en) * 2002-04-25 2003-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for dual-damascene formation using a via plug
US20030211746A1 (en) * 2002-05-09 2003-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer
US6653224B1 (en) * 2001-12-27 2003-11-25 Lam Research Corporation Methods for fabricating interconnect structures having Low K dielectric properties
US6739953B1 (en) * 2003-04-09 2004-05-25 Lsi Logic Corporation Mechanical stress free processing method
US6767829B2 (en) * 2000-03-17 2004-07-27 Tokyo Electron Limited Plasma deposition method and system

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS6444043A (en) * 1987-08-11 1989-02-16 Nec Corp Formation of multilayer interconnection structure
JPH03215687A (en) * 1990-01-19 1991-09-20 Nec Corp Dry etching device
JP3045259B2 (en) * 1992-03-02 2000-05-29 東京エレクトロン株式会社 Plasma equipment
JP3314403B2 (en) * 1992-03-24 2002-08-12 株式会社日立製作所 Method for manufacturing semiconductor integrated circuit device
JP2000082699A (en) * 1994-04-20 2000-03-21 Tokyo Electron Ltd Etching processing apparatus
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP2000331991A (en) * 1999-03-15 2000-11-30 Sony Corp Manufacture of semiconductor device
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
DE19938404A1 (en) 1999-08-13 2001-02-22 Clariant Gmbh Cosmetic preparations
KR100887014B1 (en) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 Dielectric etch chamber with expanded process window
TWI243404B (en) 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US20020182853A1 (en) 2001-05-31 2002-12-05 Hsueh-Chung Chen Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
DE10223945B4 (en) 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Method for improving the production of damascene metal structures

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5009738A (en) * 1989-04-28 1991-04-23 Leybold Aktiengesellschaft Apparatus for plasma etching
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5556714A (en) * 1989-08-28 1996-09-17 Hitachi, Ltd. Method of treating samples
US5770100A (en) * 1989-08-28 1998-06-23 Fukuyama; Ryooji Method of treating samples
US5380397A (en) * 1989-08-28 1995-01-10 Hitachi, Ltd. Method of treating samples
US5098516A (en) * 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
US5302241A (en) * 1991-02-20 1994-04-12 Micron Technology, Inc. Post etching treatment of semiconductor devices
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5198677A (en) * 1991-10-11 1993-03-30 The United States Of America As Represented By The United States Department Of Energy Production of N+ ions from a multicusp ion beam apparatus
US5387315A (en) * 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5744402A (en) * 1994-11-30 1998-04-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US20010003060A1 (en) * 1997-04-25 2001-06-07 Takashi Yokohama Multilevel interconnecting structure in semiconductor device and method of forming the same
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US20020045354A1 (en) * 1997-08-13 2002-04-18 Yan Ye Method of heating a semiconductor substrate
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6096230A (en) * 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6448176B1 (en) * 1998-01-16 2002-09-10 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US5968847A (en) * 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
US20020020494A1 (en) * 1998-06-24 2002-02-21 Yokogawa Ken?Apos;Etsu Plasma processing system and method
US6174813B1 (en) * 1998-07-22 2001-01-16 United Integrated Circuits Corp. Dual damascene manufacturing process
US6153116A (en) * 1998-08-18 2000-11-28 United Microelectronics Corp. Method of detecting end point and monitoring uniformity in chemical-mechanical polishing operation
US6004188A (en) * 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6368517B1 (en) * 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
US20030196989A1 (en) * 1999-07-19 2003-10-23 Chartered Semiconductor Manufacturing Ltd. Selective & damage free Cu cleaning process for pre-dep, post etch/CMP
US6147005A (en) * 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6573187B1 (en) * 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6365327B1 (en) * 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6350664B1 (en) * 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20020175071A1 (en) * 1999-09-30 2002-11-28 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20030004375A1 (en) * 1999-10-04 2003-01-02 Joseph Mizrahi Process for producing a purified lactic acid solution
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US20030057179A1 (en) * 1999-12-28 2003-03-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US20010015175A1 (en) * 2000-02-21 2001-08-23 Toshio Masuda Plasma processing system and apparatus and a sample processing method
US20010018271A1 (en) * 2000-02-25 2001-08-30 Michihiko Yanagisawa Method for manufacturing a semiconductor wafer
US6767829B2 (en) * 2000-03-17 2004-07-27 Tokyo Electron Limited Plasma deposition method and system
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US20020016084A1 (en) * 2000-04-28 2002-02-07 Todd Michael A. CVD syntheses of silicon nitride materials
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US20030045100A1 (en) * 2000-07-31 2003-03-06 Massachusetts Institute Of Technology In-situ method and apparatus for end point detection in chemical mechanical polishing
US6475298B1 (en) * 2000-10-13 2002-11-05 Lam Research Corporation Post-metal etch treatment to prevent corrosion
US6383935B1 (en) * 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6517413B1 (en) * 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6482755B1 (en) * 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
US20020081854A1 (en) * 2000-12-22 2002-06-27 Patrick Morrow Method for making a dual damascene interconnect using a multilayer hard mask
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6600229B2 (en) * 2001-01-23 2003-07-29 Honeywell International Inc. Planarizers for spin etch planarization of electronic components
US6482331B2 (en) * 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US20020153350A1 (en) * 2001-04-18 2002-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US20020155695A1 (en) * 2001-04-19 2002-10-24 Silicon Integrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6617232B2 (en) * 2001-07-12 2003-09-09 Samsung Electronics Co., Ltd. Method of forming wiring using a dual damascene process
US20030013316A1 (en) * 2001-07-12 2003-01-16 Il-Goo Kim Method of forming wiring using a dual damascene process
US20030044725A1 (en) * 2001-07-24 2003-03-06 Chen-Chiu Hsue Dual damascene process using metal hard mask
US20030032278A1 (en) * 2001-08-08 2003-02-13 Lam Research Corporation All dual damascene oxide etch process steps in one confined plasma chamber
US20030029567A1 (en) * 2001-08-08 2003-02-13 Rajinder Dhindsa Dual frequency plasma processor
US6559049B2 (en) * 2001-08-08 2003-05-06 Lam Research Corporation All dual damascene oxide etch process steps in one confined plasma chamber
US20030213558A1 (en) * 2001-10-12 2003-11-20 Bulent Basol Chemical mechanical polishing endpoint detection
US20030082996A1 (en) * 2001-10-12 2003-05-01 Vincent Fortin Determining an endpoint in a polishing process
US20030073319A1 (en) * 2001-10-12 2003-04-17 Bulent Basol Chemical mechanical polishing endpoint detection
US6579800B2 (en) * 2001-10-12 2003-06-17 Nutool, Inc. Chemical mechanical polishing endpoint detection
US20030087586A1 (en) * 2001-11-07 2003-05-08 Applied Materials, Inc. Chemical mechanical polishing endpoinat detection
US20030092260A1 (en) * 2001-11-15 2003-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
US6582974B2 (en) * 2001-11-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
US20030159779A1 (en) * 2001-12-04 2003-08-28 Yasumi Sago Insulation-film etching system
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6653224B1 (en) * 2001-12-27 2003-11-25 Lam Research Corporation Methods for fabricating interconnect structures having Low K dielectric properties
US6440840B1 (en) * 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
US6620726B1 (en) * 2002-02-26 2003-09-16 Advanced Micro Devices, Inc. Method of forming metal lines having improved uniformity on a substrate
US20030186546A1 (en) * 2002-02-26 2003-10-02 Dirk Wollstein Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
US20030166345A1 (en) * 2002-03-02 2003-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving an etching profile in dual damascene etching
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US20030184732A1 (en) * 2002-03-29 2003-10-02 Lam Research System and method of broad band optical end point detection for film change indication
US20030203321A1 (en) * 2002-04-25 2003-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for dual-damascene formation using a via plug
US20030211746A1 (en) * 2002-05-09 2003-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer
US6739953B1 (en) * 2003-04-09 2004-05-25 Lsi Logic Corporation Mechanical stress free processing method

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020006477A1 (en) * 2000-04-03 2002-01-17 Takeshi Shishido Exhaust processing method, plasma processing method and plasma processing apparatus
US7211708B2 (en) * 2000-04-03 2007-05-01 Canon Kabushiki Kaisha Exhaust processing method, plasma processing method and plasma processing apparatus
US20070169890A1 (en) * 2000-04-03 2007-07-26 Canon Kabushiki Kaisha Exhaust processing method, plasma processing method and plasma processing apparatus
US20090004870A1 (en) * 2007-06-27 2009-01-01 Wei Liu Methods for high temperature etching a high-k material gate structure
US8501626B2 (en) * 2007-06-27 2013-08-06 Applied Materials, Inc. Methods for high temperature etching a high-K material gate structure
TWI479562B (en) * 2007-06-27 2015-04-01 Applied Materials Inc Methods for high temperature etching a high-k material gate structure
WO2011059891A3 (en) * 2009-11-11 2011-08-18 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110162803A1 (en) * 2009-11-11 2011-07-07 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
WO2011059891A2 (en) * 2009-11-11 2011-05-19 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US8840725B2 (en) 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110287632A1 (en) * 2010-05-21 2011-11-24 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9490135B2 (en) 2010-05-21 2016-11-08 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
CN102947920A (en) * 2010-05-21 2013-02-27 朗姆研究公司 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8608974B2 (en) * 2010-12-24 2013-12-17 Tokyo Electron Limited Substrate processing method
US20120164839A1 (en) * 2010-12-24 2012-06-28 Tokyo Electron Limited Substrate processing method
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11177136B2 (en) * 2011-03-01 2021-11-16 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11574831B2 (en) 2011-03-01 2023-02-07 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US10453694B2 (en) * 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10468282B2 (en) 2011-03-01 2019-11-05 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
WO2013062834A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US10566205B2 (en) * 2012-02-29 2020-02-18 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US20130224953A1 (en) * 2012-02-29 2013-08-29 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10943788B2 (en) 2012-02-29 2021-03-09 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US10240231B2 (en) * 2015-04-30 2019-03-26 Advanced Micro-Fabrication Equipment Inc, Shanghai Chemical vapor deposition apparatus and its cleaning method
US20230360956A1 (en) * 2018-09-28 2023-11-09 Applied Materials, Inc. Coaxial lift device with dynamic leveling
US20230360955A1 (en) * 2018-09-28 2023-11-09 Applied Materials, Inc. Coaxial lift device with dynamic leveling
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20230175123A1 (en) * 2020-12-10 2023-06-08 Applied Materials, Inc. Continuous liner for use in a processing chamber
US11814724B2 (en) * 2020-12-10 2023-11-14 Applied Materials, Inc. Continuous liner for use in a processing chamber

Also Published As

Publication number Publication date
EP1697973A1 (en) 2006-09-06
KR101211446B1 (en) 2012-12-12
TWI298004B (en) 2008-06-11
IL176269A0 (en) 2006-10-05
SG131933A1 (en) 2007-05-28
TW200527979A (en) 2005-08-16
US7009281B2 (en) 2006-03-07
US20050070105A1 (en) 2005-03-31
JP2007520059A (en) 2007-07-19
WO2005067005A1 (en) 2005-07-21
JP5314247B2 (en) 2013-10-16
CN100508108C (en) 2009-07-01
KR20060115898A (en) 2006-11-10
CN1898774A (en) 2007-01-17

Similar Documents

Publication Publication Date Title
US7009281B2 (en) Small volume process chamber with hot inner surfaces
US8017516B2 (en) Method for stress free conductor removal
IL176808A (en) Stress free etch processing in combination with a dynamic liquid meniscus
IL170851A (en) System, method and apparatus for improved local dual-damascene planarization
IL178053A (en) System, method and apparatus for self-cleaning dry etch
IL170852A (en) System, method and apparatus for improved global dual-damascene planarization
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US7129167B1 (en) Methods and systems for a stress-free cleaning a surface of a substrate
KR100851455B1 (en) New methodologies to reduce process sensitivity to the chamber condition
WO2023008025A1 (en) Etching method, method for manufacturing semiconductor device, etching program, and plasma processing device
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features
TW202320165A (en) Etching method, method for manufacturing semiconductor device, etching program, and plasma processing device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION