US20060113675A1 - Barrier material and process for Cu interconnect - Google Patents

Barrier material and process for Cu interconnect Download PDF

Info

Publication number
US20060113675A1
US20060113675A1 US11/001,471 US147104A US2006113675A1 US 20060113675 A1 US20060113675 A1 US 20060113675A1 US 147104 A US147104 A US 147104A US 2006113675 A1 US2006113675 A1 US 2006113675A1
Authority
US
United States
Prior art keywords
layer
barrier layer
semiconductor device
combinations
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/001,471
Inventor
Chung-Liang Chang
Ching-Hua Hsieh
Shau-Lin Shue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US11/001,471 priority Critical patent/US20060113675A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHUNG-LIANG, HSIEH, CHING-HUA, SHUE, SHAU-LIN
Priority to TW094121868A priority patent/TWI256677B/en
Priority to CNB200510088729XA priority patent/CN100385660C/en
Publication of US20060113675A1 publication Critical patent/US20060113675A1/en
Priority to US12/181,770 priority patent/US8178437B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates generally to semiconductor device fabrication and more particularly to a structure and method for improved resistance to electromigration problems with conductive lines and vias, such as copper, between interconnected layers.
  • metallization layers are formed by a dielectric layer stack including, for example, silicon dioxide and/or silicon nitride with aluminum as the typical metal. Since aluminum exhibits significant electromigration at higher current densities, copper is replacing aluminum. Copper has significantly lower electrical resistance and reduced electromigration problems.
  • copper may not be deposited in higher amounts in an efficient manner by well-established deposition methods, such as chemical and physical vapor deposition.
  • copper may not be efficiently patterned by well-established anisotropic etch processes and therefore the so-called damascene technique is employed in forming metallization layers including copper lines.
  • damascene technique the dielectric layer is deposited and then patterned with trenches and vias that are subsequently filled with copper by plating methods, such as electroplating or electroless plating.
  • a further issue is the ability of copper to readily diffuse in silicon dioxide. Therefore, copper diffusion may negatively affect device performance, or may even lead to a complete failure of the device. It is therefore necessary to provide a diffusion barrier layer between the copper surfaces and the neighboring materials to substantially prevent copper from migrating to sensitive device regions. Silicon nitride is known as an effective copper diffusion barrier, and is thus frequently used as a dielectric barrier material separating a copper surface from an interlayer dielectric, such as silicon dioxide.
  • Electromigration is a diffusion phenomenon occurring under the influence of an electric field, which leads to copper diffusion in the direction of the moving charge carriers. This can produce voids in the copper lines that may cause device failure. It has been confirmed that these voids typically originate at the copper silicon nitride interface and represent one of the most dominant diffusion paths in copper metallization structures. It is therefore of great importance to produce high quality interfaces between the copper and the diffusion barrier layer to reduce the electromigration to an acceptable degree.
  • the device performance of extremely scaled integrated circuits is substantially limited by the parasitic capacitances of adjacent interconnect lines, which may be reduced by decreasing the resistivity thereof and by decreasing the capacitive coupling in that the overall dielectric constant of the dielectric layer is maintained as low as possible.
  • silicon nitride has a relatively high dielectric constant k of approximately 7 compared to silicon dioxide (k ⁇ 4) or other silicon dioxide based low-k dielectric layers (k ⁇ 4), it is generally preferable to form the silicon nitride layer with a minimum thickness.
  • the barrier characteristics of the silicon nitride layer depend on the thickness thereof so that thinning the silicon nitride layer, as would be desirable for a reduced overall dielectric constant, may not be practical to an extent as required for further scaling semiconductor devices including copper metallization layers without compromising device performance.
  • a semiconductor device comprises a substrate and a dielectric layer on the substrate.
  • the dielectric layer has at least one opening.
  • the dielectric layer if porous, may optionally undergo a pore-sealing process thereby improving its dielectric characteristics.
  • a diffusion barrier layer is deposited on the dielectric layer.
  • a conductor preferably copper, is deposited over the barrier.
  • An optional glue layer is deposited between the barrier layer and the conductor.
  • the thickness ratio of the glue layer to the barrier layer is about 1 to 50.
  • Another alternative embodiment comprises treating the barrier with an electron beam or an RTP process to improve properties such as adhesion and conductivity.
  • the barrier layer comprises a layer about 10 to 30 Angstroms thick.
  • the barrier layer includes of at least one layer of TaN, TiN, WN, TbN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
  • the barrier layer may be applied using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
  • the glue layer comprises a metal-rich nitride about 10 to 500 Angstroms thick. It is applied using PVD, CVD, PECVD, PEALD, or preferably ALD. Alternative preferred embodiments may include a glue layer comprising at least one layer of Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and combinations thereof.
  • Still other preferred embodiments may further include a cap layer deposited at least upon the conductor. It may be deposited by ALD, PVD, PECVD, PEALD, and/or CVD methods, including nitridation and silicidation methods.
  • the cap layer preferably includes at least one layer of Co, W, Al, Ta, Ti, Ni, or Ru, and combinations thereof.
  • Other alternative preferred embodiments further include a conductor annealing step.
  • the annealing step is performed at about 150 to 450° C., for about 0.5 to 5 minutes, in N2/H2 forming gas.
  • FIG. 1 is a cross sectional view of a semiconductor device at an intermediate step in an exemplary damascene process further illustrating a barrier layer according to preferred embodiments;
  • FIG. 2 is a cross-sectional view showing a preferred embodiment that includes barrier, glue, and seed layers;
  • FIG. 3 is a cross-sectional view showing a conductor deposited on the glue layer according to preferred embodiments
  • FIG. 4 is a cross-sectional view showing CMP planarization according to preferred embodiments.
  • FIG. 5 cross-sectional view showing a cap layer according to preferred embodiments.
  • FIG. 6 is a process flow diagram according to several embodiments of the present invention.
  • This invention relates generally to semiconductor device fabrication and more particularly to a structure and method for improved resistance to electromigration problems with conductive lines and vias, such as copper, between interconnected layers.
  • the present invention will now be described with respect to preferred embodiments in a specific context, namely the creation of copper conductive lines and vias in the damascene process. It is believed that embodiments of this invention are particularly advantageous when used in this process. It is further believed that embodiments of this invention are advantageous when used in other semiconductor fabrication applications wherein diffusion barriers and electromigration, for example, are a concern. It is further believed that embodiments described herein will benefit other integrated circuit interconnection applications not specifically mentioned. Therefore, the specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • FIG. 1 there is shown a cross section of the representative intermediate damascene structure 100 created in the surface of a semiconductor substrate 102 that is to be treated in accordance with an exemplary damascene process and embodiments of the invention.
  • the substrate 102 may comprise, for example, functional and logical devices, or it may comprise other interconnected layers.
  • the details of the damascene process are described by Bao et al. in U.S. Pat. No. 6,248,665 and in U.S. patent publication 2004/0121583, both of which are hereby incorporated by reference.
  • the IMD layer 112 is a low-k (i.e. k less than about 4) dielectric, for example a carbon doped silicon dioxide, also referred to as organo silicate glass (OSG) and C-oxide.
  • low-k materials may include borophosphosilicate glass (BPSG), borosilicate glass (BSG), phosphosilicate glass (PSG), deposited over the surface of the semiconductor structures to a thickness of between about 5000 to 9000 Angstroms and preferably planarized.
  • Open pores in low-k materials are known to degrade performance. Therefore embodiments include a pore-sealing method comprising plasma pore sealing using Ar and NH3, e-beam pore sealing, metal organic pore sealing, or preferably vapor pore sealing.
  • a low-k surface is subjected to treatment with 4MS (tetramethylsilane) at a temperature of about 400° C.
  • the 4MS used in the treatment of the present invention can be replaced by trimethylsilane, dimethylsilane or methylsilane.
  • the vapor can be composed of organic or metal-organic molecules, preferably having a size larger than 10 ⁇ . The temperature ranges from about 350-450° C. for about 5-30 seconds.
  • the e-beam pore sealing employs an electron beam with a typical condition of 2000 ⁇ 5000 keV, 1 ⁇ 6 mA, and 75 ⁇ 100 ⁇ C/cm2.
  • Plasma pore sealing uses an Ar plasma to bombard the low-k surface to block the pores of the sidewall of the dual damascene.
  • an IMD covering dielectric layer for example an etch stop layer 114 .
  • the etch stop layer 114 may include, for example, silicon nitride and/or silicon oxynitride (SiON), which may function as both an etching stop and anti-reflectance coating (ARC) layer.
  • the etch stop layer 114 is preferably from about 500 Angstroms to about 1500 Angstroms in thickness.
  • the dual damascene structure 100 is formed by first sequentially photolithographically patterning and anisotropically etching the via opening 104 through the etch stop layer 114 , the IMD layer 112 , and at least partially through the first etch stop layer 103 . This is followed by a similar process to photolithographically pattern and anisotropically etch a trench opening 106 through the etch stop layer 114 and a portion of the IMD layer 112 . These steps form a trench opening 106 overlying and encompassing the via opening 104 . It will be appreciated that the trench opening 106 may encompass one or more via openings 104 and that the trench opening 106 and via opening 104 may be formed in separate stacked IMD layers 112 including another etch stop layer 114 formed between the respective IMD layers.
  • a barrier layer 116 is blanket deposited to line at least the dual damascene feature opening.
  • the barrier layer is preferably about 10 to 30 Angstroms thick, and it forms a barrier for Cu diffusion.
  • the barrier layer 116 may include a metal nitride such as TaN, TiN, WN, ThN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
  • the barrier layer 116 is metal rich, either throughout the bulk or only on the surface. In metal-rich, barrier layers, the ratio of nitrogen to metal is preferably less than about one (atomic ratio).
  • the barrier layer includes a first barrier layer on the surface of the low-k dielectric layer and a second barrier layer on the first barrier layer.
  • the first barrier layer includes an atomic layer deposited (ALD) material selected from the group consisting essentially of Ta, W, and combinations thereof.
  • the second barrier layer is selected from the group consisting essentially of Ni, Co, Al, AlCu alloy, W, Ti, Ta, Ra, Ru, and combinations thereof.
  • An optional Cu seed layer may be deposited on the second barrier layer.
  • the barrier layer 116 may be applied using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PEALD plasma enhanced atomic layer deposition
  • the barrier layer 116 comprises TaN, and it is deposited using atomic layer deposition (ALD).
  • An ALD deposited, TaN barrier layer 116 is particularly advantageous in forming a damascene structure with reduced capacitance and reduced electromigration effects.
  • ALD barrier 116 deposition is more preferred than, for example, PVD.
  • ALD significantly reduces the parasitic capacitance between neighboring conductive structures by as much as 11.5%, as compared to PVD.
  • An ALD deposited barrier therefore, enables thinner metal lines because the metal line with ALD barrier has a lower effective resisitvity.
  • the barrier layer 116 includes a Ta/TaN bi-layer structure.
  • Ta/TaN bilayer embodiments include: PEALD TaN and ALD Ta, ALD TaN and PEALD Ta, or PEALD TaN and PEALD Ta.
  • preferred embodiments contain a glue layer 118 between the barrier layer 116 and an overlaying conductor (described below, see FIG. 3, 120 ).
  • Glue layer 118 enhances adhesion between and adjacent layers.
  • the glue layer 118 preferably contains materials that bond with copper and/or the underlying barrier layer. It may be about 10 to 500 Angstroms thick, preferably less than about 150 ⁇ . It is also preferably metal-rich.
  • the glue layer 118 preferably comprises two layers (not specifically shown).
  • the first layer is preferably a metal-rich thin layer from about 130 to 170 Angstroms, preferably about 150 Angstroms.
  • the second layer is stoichiometric metal nitride layer about 500 to 600 Angstroms, preferably about 550 Angstroms.
  • the glue layer 118 may be applied using PVD, CVD, PECVD, PEALD, and, preferably, ALD at a deposition rate less than about lA/sec at about 100-300° C.
  • Alternative embodiments include a glue layer 118 consisting of Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu alloy, and combinations thereof.
  • the ratio of the glue layer 118 thickness to the barrier layer 116 thickness is about 1 to 50.
  • a seed layer 119 is optionally deposited over the glue layer 118 by, for example, PVD and/or CVD.
  • Seed layer 119 preferably copper, is PVD deposited to form a continuous layer about 400 to 700 ⁇ thick over the wafer process surface, thereby providing a continuously conductive surface for forming the bulk of the copper during the ECD process.
  • a conductor 120 is electroplated according to a conventional electro-chemical deposition (ECD) process to fill the dual damascene trench 106 and via 104 including an overlying portion above the trench level.
  • ECD electro-chemical deposition
  • a seed layer (not shown) is between glue layer 118 and conductor 120 .
  • electroplating electroplating
  • Alternative embodiments may include the conductor 120 comprising at least one of Cu, Al, Au, or Ag, and combinations thereof, or alloyed compositions thereof.
  • an upper portion 122 of the recessed conductor is removed.
  • the depth of the recessed area 121 is increased by first oxidizing the upper portion 122 of the exposed conductor, e.g. copper, followed by another CMP step or wet etching step to remove the oxidized upper portion 122 of the copper feature.

Abstract

A semiconductor diffusion barrier layer and its method of manufacture is described. The barrier layer includes of at least one layer of TaN, TiN, WN, TbN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof. The barrier layer may further include a metal rich surface. Embodiments preferably include a glue layer about 10 to 500 Angstroms thick, the glue layer consisting of Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and a metal-rich nitride, and combinations thereof. The ratio of the glue layer thickness to the barrier layer thickness is preferably about 1 to 50. Other alternative preferred embodiments further include a conductor annealing step. The various layers may be deposited using PVD, CVD, PECVD, PEALD and/or ALD methods including nitridation and silicidation methods.

Description

    TECHNICAL FIELD
  • This invention relates generally to semiconductor device fabrication and more particularly to a structure and method for improved resistance to electromigration problems with conductive lines and vias, such as copper, between interconnected layers.
  • BACKGROUND
  • In modern integrated circuits, minimum feature sizes, such as the channel length of field effect transistors, have reached the deep sub-micron range, thereby steadily increasing performance of these circuits in terms of speed and power consumption. As the size of the individual circuit elements is reduced, so is the available real estate for conductive interconnects in integrated circuits. Consequently, these interconnects have to be reduced to compensate for a reduced amount of available real estate and for an increased number of circuit elements provided per chip.
  • In integrated circuits having minimum dimensions of approximately 0.35 μm and less, a limiting factor of device performance is the signal propagation delay caused by the switching speed of the transistor elements. As the channel length of these transistor elements has now reached 0.18 μm and less, however, capacitance between neighboring conductive structures is increasingly problematic. Parasitic RC time constants therefore require the introduction of a new materials and methods for forming metallization layers.
  • Traditionally, metallization layers are formed by a dielectric layer stack including, for example, silicon dioxide and/or silicon nitride with aluminum as the typical metal. Since aluminum exhibits significant electromigration at higher current densities, copper is replacing aluminum. Copper has significantly lower electrical resistance and reduced electromigration problems.
  • The introduction of copper, however, entails a plurality of issues to be dealt with. For example, copper may not be deposited in higher amounts in an efficient manner by well-established deposition methods, such as chemical and physical vapor deposition. Moreover, copper may not be efficiently patterned by well-established anisotropic etch processes and therefore the so-called damascene technique is employed in forming metallization layers including copper lines. Typically, in the damascene technique, the dielectric layer is deposited and then patterned with trenches and vias that are subsequently filled with copper by plating methods, such as electroplating or electroless plating.
  • A further issue is the ability of copper to readily diffuse in silicon dioxide. Therefore, copper diffusion may negatively affect device performance, or may even lead to a complete failure of the device. It is therefore necessary to provide a diffusion barrier layer between the copper surfaces and the neighboring materials to substantially prevent copper from migrating to sensitive device regions. Silicon nitride is known as an effective copper diffusion barrier, and is thus frequently used as a dielectric barrier material separating a copper surface from an interlayer dielectric, such as silicon dioxide.
  • Although copper exhibits superior characteristics with respect to resistance to electromigration compared to aluminum, the ongoing shrinkage of feature sizes, however, leads to increased current densities, thereby causing a non-acceptable degree of electromigration. Electromigration is a diffusion phenomenon occurring under the influence of an electric field, which leads to copper diffusion in the direction of the moving charge carriers. This can produce voids in the copper lines that may cause device failure. It has been confirmed that these voids typically originate at the copper silicon nitride interface and represent one of the most dominant diffusion paths in copper metallization structures. It is therefore of great importance to produce high quality interfaces between the copper and the diffusion barrier layer to reduce the electromigration to an acceptable degree.
  • As previously noted, the device performance of extremely scaled integrated circuits is substantially limited by the parasitic capacitances of adjacent interconnect lines, which may be reduced by decreasing the resistivity thereof and by decreasing the capacitive coupling in that the overall dielectric constant of the dielectric layer is maintained as low as possible. Since silicon nitride has a relatively high dielectric constant k of approximately 7 compared to silicon dioxide (k≈4) or other silicon dioxide based low-k dielectric layers (k<4), it is generally preferable to form the silicon nitride layer with a minimum thickness. It turns out, however, that the barrier characteristics of the silicon nitride layer depend on the thickness thereof so that thinning the silicon nitride layer, as would be desirable for a reduced overall dielectric constant, may not be practical to an extent as required for further scaling semiconductor devices including copper metallization layers without compromising device performance.
  • In light of the above-specified problems, a need exists for diffusion barrier layers exhibiting an improvement with respect to diffusion barrier efficiency, resistance to electromigration, lower parasitic capacitance, and other problems.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by preferred embodiments of the present invention, in which improved structures and methods relating to copper diffusion barriers yield devices having enhanced electromigration performance.
  • In a preferred embodiment, a semiconductor device comprises a substrate and a dielectric layer on the substrate. The dielectric layer has at least one opening. The dielectric layer, if porous, may optionally undergo a pore-sealing process thereby improving its dielectric characteristics. A diffusion barrier layer is deposited on the dielectric layer. A conductor, preferably copper, is deposited over the barrier. An optional glue layer is deposited between the barrier layer and the conductor.
  • In an alternative preferred embodiment, the thickness ratio of the glue layer to the barrier layer is about 1 to 50. Another alternative embodiment comprises treating the barrier with an electron beam or an RTP process to improve properties such as adhesion and conductivity.
  • In other preferred embodiments, the barrier layer comprises a layer about 10 to 30 Angstroms thick. The barrier layer includes of at least one layer of TaN, TiN, WN, TbN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof. The barrier layer may be applied using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
  • In other preferred embodiments, the glue layer comprises a metal-rich nitride about 10 to 500 Angstroms thick. It is applied using PVD, CVD, PECVD, PEALD, or preferably ALD. Alternative preferred embodiments may include a glue layer comprising at least one layer of Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and combinations thereof.
  • Still other preferred embodiments may further include a cap layer deposited at least upon the conductor. It may be deposited by ALD, PVD, PECVD, PEALD, and/or CVD methods, including nitridation and silicidation methods. The cap layer preferably includes at least one layer of Co, W, Al, Ta, Ti, Ni, or Ru, and combinations thereof.
  • Other alternative preferred embodiments further include a conductor annealing step. Preferably, the annealing step is performed at about 150 to 450° C., for about 0.5 to 5 minutes, in N2/H2 forming gas.
  • Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the specific embodiments disclosed might be readily utilized as a basis for modifying or designing other structures or processes for carrying out the purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions and variations on the example embodiments described do not depart from the spirit and scope of the invention as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross sectional view of a semiconductor device at an intermediate step in an exemplary damascene process further illustrating a barrier layer according to preferred embodiments;
  • FIG. 2 is a cross-sectional view showing a preferred embodiment that includes barrier, glue, and seed layers;
  • FIG. 3 is a cross-sectional view showing a conductor deposited on the glue layer according to preferred embodiments;
  • FIG. 4 is a cross-sectional view showing CMP planarization according to preferred embodiments;
  • FIG. 5 cross-sectional view showing a cap layer according to preferred embodiments; and
  • FIG. 6 is a process flow diagram according to several embodiments of the present invention.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The operation and fabrication of the presently preferred embodiments are discussed in detail below. However, the embodiments and examples described herein are not the only applications or uses contemplated for the invention. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention or the appended claims.
  • This invention relates generally to semiconductor device fabrication and more particularly to a structure and method for improved resistance to electromigration problems with conductive lines and vias, such as copper, between interconnected layers. The present invention will now be described with respect to preferred embodiments in a specific context, namely the creation of copper conductive lines and vias in the damascene process. It is believed that embodiments of this invention are particularly advantageous when used in this process. It is further believed that embodiments of this invention are advantageous when used in other semiconductor fabrication applications wherein diffusion barriers and electromigration, for example, are a concern. It is further believed that embodiments described herein will benefit other integrated circuit interconnection applications not specifically mentioned. Therefore, the specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • Referring now to FIG. 1, there is shown a cross section of the representative intermediate damascene structure 100 created in the surface of a semiconductor substrate 102 that is to be treated in accordance with an exemplary damascene process and embodiments of the invention. The substrate 102 may comprise, for example, functional and logical devices, or it may comprise other interconnected layers. The details of the damascene process are described by Bao et al. in U.S. Pat. No. 6,248,665 and in U.S. patent publication 2004/0121583, both of which are hereby incorporated by reference.
  • Referring to FIG. 1, in an exemplary application of the present invention, there is shown a cross sectional, side view representation of a portion of a semiconductor device having a semiconductor wafer with an anisotropically etched, intermediate, dual damascene structure 100. Within the intermediate damascene structure 100 is a recessed feature 85 having a via portion 104 and an overlying trench line portion 106. While there are several ways to form a dual damascene structure, one approach involves at least two photolithographic patterning and anisotropic etching steps to first form via openings 104 followed by a similar process to form overlying trench line openings 106 encompassing one or more via openings 104.
  • Still referring to FIG. 1, a first etch stop layer 103, formed of, for example silicon nitride (Si3N4), is provided over a conductive region 108, for example a copper damascene structure formed in an underlying dielectric insulating layer 110. In accordance with the conventional damascene process, the word copper is known to include suitable copper alloys. Overlying the first etch stop layer 103 is another insulating dielectric layer 112, also referred to as an inter-metal dielectric (IMD) layer.
  • For example, the IMD layer 112 is a low-k (i.e. k less than about 4) dielectric, for example a carbon doped silicon dioxide, also referred to as organo silicate glass (OSG) and C-oxide. In alternative embodiments, low-k materials may include borophosphosilicate glass (BPSG), borosilicate glass (BSG), phosphosilicate glass (PSG), deposited over the surface of the semiconductor structures to a thickness of between about 5000 to 9000 Angstroms and preferably planarized. Exemplary organic low-k materials include polyarylene ether, hydrogen silesquioxane (HSQ), methyl silsesquioxane (MSQ), polysilsequioxane, polyimide, benzocyclbbutene, and amorphous Teflon. Other types of low-k materials suitably used with the method of the present invention include fluorinated silicate glass (FSG) and porous oxides. In preferred embodiments, the dielectric layer is preferably a low-k material containing C, O, Si, and F, such as fluorine-doped —(O—Si(CH3)2—O)—.
  • Open pores in low-k materials, e.g. IMD layer 112, are known to degrade performance. Therefore embodiments include a pore-sealing method comprising plasma pore sealing using Ar and NH3, e-beam pore sealing, metal organic pore sealing, or preferably vapor pore sealing. In preferred embodiments, a low-k surface is subjected to treatment with 4MS (tetramethylsilane) at a temperature of about 400° C. The 4MS used in the treatment of the present invention can be replaced by trimethylsilane, dimethylsilane or methylsilane. The vapor can be composed of organic or metal-organic molecules, preferably having a size larger than 10 Å. The temperature ranges from about 350-450° C. for about 5-30 seconds.
  • The e-beam pore sealing employs an electron beam with a typical condition of 2000˜5000 keV, 1˜6 mA, and 75˜100 μC/cm2. Plasma pore sealing uses an Ar plasma to bombard the low-k surface to block the pores of the sidewall of the dual damascene.
  • Still referring to FIG. 1, overlying the IMD layer 112 is formed an IMD covering dielectric layer, for example an etch stop layer 114. The etch stop layer 114 may include, for example, silicon nitride and/or silicon oxynitride (SiON), which may function as both an etching stop and anti-reflectance coating (ARC) layer. The etch stop layer 114 is preferably from about 500 Angstroms to about 1500 Angstroms in thickness.
  • The dual damascene structure 100 is formed by first sequentially photolithographically patterning and anisotropically etching the via opening 104 through the etch stop layer 114, the IMD layer 112, and at least partially through the first etch stop layer 103. This is followed by a similar process to photolithographically pattern and anisotropically etch a trench opening 106 through the etch stop layer 114 and a portion of the IMD layer 112. These steps form a trench opening 106 overlying and encompassing the via opening 104. It will be appreciated that the trench opening 106 may encompass one or more via openings 104 and that the trench opening 106 and via opening 104 may be formed in separate stacked IMD layers 112 including another etch stop layer 114 formed between the respective IMD layers.
  • Still referring to FIG. 1, a barrier layer 116 is blanket deposited to line at least the dual damascene feature opening. The barrier layer is preferably about 10 to 30 Angstroms thick, and it forms a barrier for Cu diffusion. The barrier layer 116 may include a metal nitride such as TaN, TiN, WN, ThN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof. In alternative embodiments the barrier layer 116 is metal rich, either throughout the bulk or only on the surface. In metal-rich, barrier layers, the ratio of nitrogen to metal is preferably less than about one (atomic ratio).
  • In alternative preferred embodiments, the barrier layer includes a first barrier layer on the surface of the low-k dielectric layer and a second barrier layer on the first barrier layer. The first barrier layer includes an atomic layer deposited (ALD) material selected from the group consisting essentially of Ta, W, and combinations thereof. The second barrier layer is selected from the group consisting essentially of Ni, Co, Al, AlCu alloy, W, Ti, Ta, Ra, Ru, and combinations thereof. An optional Cu seed layer may be deposited on the second barrier layer.
  • The barrier layer 116 may be applied using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD). In preferred embodiments, the barrier layer 116 comprises TaN, and it is deposited using atomic layer deposition (ALD).
  • An ALD deposited, TaN barrier layer 116 is particularly advantageous in forming a damascene structure with reduced capacitance and reduced electromigration effects. As semiconductor dimensions continue to shrink, capacitance between conductive structures is increasingly problematic. Applicants have found that ALD barrier 116 deposition is more preferred than, for example, PVD. In the preferred embodiment comprising a TaN barrier 116, for example, applicants found that ALD significantly reduces the parasitic capacitance between neighboring conductive structures by as much as 11.5%, as compared to PVD. An ALD deposited barrier, therefore, enables thinner metal lines because the metal line with ALD barrier has a lower effective resisitvity.
  • In still other embodiments, the barrier layer 116 includes a Ta/TaN bi-layer structure. Ta/TaN bilayer embodiments include: PEALD TaN and ALD Ta, ALD TaN and PEALD Ta, or PEALD TaN and PEALD Ta.
  • As shown in FIG. 2, preferred embodiments contain a glue layer 118 between the barrier layer 116 and an overlaying conductor (described below, see FIG. 3, 120). Glue layer 118 enhances adhesion between and adjacent layers. The glue layer 118 preferably contains materials that bond with copper and/or the underlying barrier layer. It may be about 10 to 500 Angstroms thick, preferably less than about 150 Å. It is also preferably metal-rich.
  • In alternative embodiments, the glue layer 118 preferably comprises two layers (not specifically shown). The first layer is preferably a metal-rich thin layer from about 130 to 170 Angstroms, preferably about 150 Angstroms. The second layer is stoichiometric metal nitride layer about 500 to 600 Angstroms, preferably about 550 Angstroms. The glue layer 118 may be applied using PVD, CVD, PECVD, PEALD, and, preferably, ALD at a deposition rate less than about lA/sec at about 100-300° C.
  • Alternative embodiments include a glue layer 118 consisting of Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu alloy, and combinations thereof. In preferred embodiments, the ratio of the glue layer 118 thickness to the barrier layer 116 thickness is about 1 to 50.
  • Prior to deposition of a conductor, a seed layer 119 is optionally deposited over the glue layer 118 by, for example, PVD and/or CVD. Seed layer 119, preferably copper, is PVD deposited to form a continuous layer about 400 to 700 Å thick over the wafer process surface, thereby providing a continuously conductive surface for forming the bulk of the copper during the ECD process.
  • Still referring to FIG. 2, the embodiment summarized therein is preferably annealed at about 300° C. for about 1 minute. Annealing advantageously lowers the effective resistivity of the barrier/glue/seed stack. Typically glue layers that include Ta and Co exhibit the most improvement.
  • In other embodiments of the present invention, there is an alternative method to improve adhesion between the barrier layer and adjacent layers. The deposition of the barrier layer, as described above, may further include a thermal treatment such as electron beam annealing or rapid thermal processing, RTP. Preferred treatments advantageously enhance wetability and/or adhesion between the barrier layer and the copper layer.
  • The thermal adhesion process is preferably performed at an intermediate stage during the ALD deposition of the barrier. Typically, barrier formation such as ALD TaN includes multiple steps. First, a Ta precursor is used to form a saturated surface layer. Next, the saturated surface layer is reduced and nitrided using NH3 to form a TaxNy monolayer. The thermal adhesion treatment occurs between these two steps. In the case of a WCN barrier, which is a three-step deposition process, the thermal adhesion is performed prior to the reduction step. The RTP may be incorporated into the ALD chamber. A typical RTP temperature is about 200 to 400° C.
  • Referring to FIG. 3, following deposition of glue layer 118, a conductor 120, preferably copper, is electroplated according to a conventional electro-chemical deposition (ECD) process to fill the dual damascene trench 106 and via 104 including an overlying portion above the trench level. In alternative embodiments, a seed layer (not shown) is between glue layer 118 and conductor 120. Although other copper filling methods, such as PVD and CVD, may be used, electroplating (electrodeposition) is preferred because of its superior gap-filling and step coverage. Alternative embodiments may include the conductor 120 comprising at least one of Cu, Al, Au, or Ag, and combinations thereof, or alloyed compositions thereof.
  • A chemical mechanical polishing (CMP) may be used to polish the conductor fill to the level of the feature. In another alternative, electropolishing or overburden reduction may be used in place of CMP or serially with CMP. In the alternative, a simultaneous CMP and plating process may be performed. As shown in FIG. 4, the CMP process forms a recessed area 121 due to preferential removal of the softer conductor 120.
  • Referring still to FIG. 4, in another embodiment, an upper portion 122 of the recessed conductor is removed. The depth of the recessed area 121 is increased by first oxidizing the upper portion 122 of the exposed conductor, e.g. copper, followed by another CMP step or wet etching step to remove the oxidized upper portion 122 of the copper feature.
  • Following CMP planarization, alternative preferred embodiments include a seed layer (not shown) and conductor 120 anneal. Preferably, the annealing step is performed at about 150 to 450° C., for about 0.5 to 5 minutes, in N2/H2 forming gas. The anneal causes metals in the seed layer to migrate or diffuse throughout the copper fill layer (120), thereby forming a copper-metal fill layer (120). Preferably, the Cu seed layer includes titanium. Annealing advantageously causes the Ti to distribute approximately uniformly within conductor layer 120 and form a uniform copper-titanium fill layer (120). The anneal also causes granularity of the surface of the conductor layer 120 and results in improved adhesion between the conductor layer 120 and a cap layer (as shown in FIG. 5) that is deposited over this surface.
  • Referring to FIG. 5, in an embodiment of the present invention, following the CMP, a cap layer 124 is deposited over the damascene structure. The cap layer 124 is preferably deposited to a thickness of about 50 Angstroms to about 500 Angstroms. The cap layer 124 is preferably sufficiently thick to avoid copper diffusion. It is deposited by conventional ALD, PVD, PECVD, PEALD, and/or CVD methods, including nitridation and silicidation methods known in the art. The cap layer 124 preferably includes at least one layer of W, Al, Ta, Ti, Ni, Ru, or nitrides thereof. Preferred embodiments include a Co or nitride-Co cap layer deposited by CVD or ALD.
  • Still other embodiments may include a cap layer comprising at least one layer of a carbon-containing dielectric (such as SiC, SiOC, SiCN), a nitrogen-containing dielectric, a nitrogen-containing conductive layer, or a silicon-containing layer.
  • Returning to FIG. 5, following the CMP and capping processes, an etch stop layer 126 of silicon nitride may be deposited over the process wafer surface including over the barrier capping layer overlying the copper feature.
  • Referring now to FIG. 6, there is shown a process flow diagram including several of the preferred embodiments of the present invention. In process 602, a substrate is provided, and within the substrate a feature opening is formed in a low-k dielectric insulating layer (ILD). In process 604, the pores of the low-k dielectric are sealed. In process 605, the feature opening is lined with a metal nitride barrier layer. In process 606, the barrier layer is treated to enhance adhesion. In process 608, a metal-rich nitride glue layer is applied. In process 610, a Cu seed layer is applied. In process 612, the feature opening is filled with a conductor. In process 614, the structure is CMP planarized. In process 616, the conductor is annealed. In process 618, a cap layer is applied.
  • The embodiments of the invention described above are exemplary and not limiting, and variations that are apparent to those skilled in the art that include the features of the invention are within the scope of the invention and the appended claims. Although embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims.
  • For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present invention. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (43)

1. A semiconductor device having enhanced electromigration performance, the device comprising:
a low-k dielectric layer, the low-k dielectric layer having a surface with a recessed feature;
a diffusion barrier layer on the surface of the low-k dielectric layer;
a glue layer on the diffusion barrier layer; and
a conductor on the glue layer, the conductor filling the recessed feature.
2. The semiconductor device of claim 1, further including a cap layer on the conductor, wherein the cap layer is selected from the group consisting essentially of Co, W, Al, Ta, Ti, Ni, Ru, and combinations thereof.
3. The semiconductor device of claim 1, wherein the diffusion barrier layer includes a first barrier layer on the surface of the low-k dielectric layer and a second barrier layer on the first barrier layer.
4. The semiconductor device of claim 3, wherein the first barrier layer is selected from the group consisting essentially of Ta, W, and combinations thereof.
5. The semiconductor device of claim 3, wherein the second barrier layer is selected from the group consisting essentially of Ni, Co, Al, AlCu alloy, W, Ti, Ta, Ra, Ru, and combinations thereof.
6. The semiconductor device of claim 3, wherein the second barrier layer is selected from the group consisting essentially of TaN, TiN, WN, TbN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
7. The semiconductor device of claim 1, wherein the diffusion barrier layer is selected from the group consisting essentially of TaN, TiN, WN, TbN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
8. The semiconductor device of claim 7, wherein the diffusion barrier layer is metal rich.
9. The semiconductor device of claim 7, wherein the diffusion barrier layer further includes a metal rich surface.
10. The semiconductor device of claim 7, wherein the diffusion barrier layer further includes a thermal treatment to enhance adhesion.
11. The semiconductor device of claim 1, wherein the diffusion barrier layer is about 10 to 30 Angstroms.
12. The semiconductor device of claim 1, wherein the low-k dielectric layer comprises C, O, Si, and F.
13. The semiconductor device of claim 2, wherein the cap layer is selected from the group consisting essentially of a carbon-containing dielectric, a nitrogen-containing dielectric, a nitrogen-containing conductor, a silicon-containing conductive layer, and silicon, and combinations thereof.
14. The semiconductor device of claim 1, wherein the glue layer is selected from the group consisting essentially of a metal-rich nitride, Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and combinations thereof.
15. The semiconductor device of claim 14, wherein a thickness ratio of the glue layer to the diffusion barrier layer is about 1 to 50.
16. The semiconductor device of claim 14, wherein a thickness of the glue layer is about 10 to 500 Angstroms.
17. The semiconductor device of claim 14, wherein the metal-rich nitride comprises TaN and wherein an atomic ratio of nitrogen to tantalum is less than about 1.
18. The semiconductor device of claim 1, wherein the conductor is selected from the group consisting essentially of Cu, Al, Au, and Ag, and combinations thereof.
19. A method of reducing electromigration effects in a copper damascene device, the method comprising:
forming a low-k dielectric layer, the low-k dielectric layer having a surface with a recessed feature;
forming a diffusion barrier layer over the surface of the low-k dielectric layer;
forming a glue layer upon the diffusion barrier layer;
filing the recessed feature with a conductor;
annealing the conductor; and
forming a cap layer upon the conductor.
20. The method of claim 19, wherein the cap layer is selected from the group consisting essentially of Co, W, Al, Ta, Ti, Ni, Ru, and combinations thereof.
21. The method of claim 19, wherein the diffusion barrier layer is selected from the group consisting essentially of TaN, TiN, WN, ThN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
22. The method of claim 19, wherein the diffusion barrier layer is about 10 to 30 Angstroms.
23. The method of claim 19, wherein the cap layer is selected from the group consisting essentially of a carbon-containing dielectric, a nitrogen-containing dielectric, a nitrogen-containing conductor, a silicon-containing conductive layer, and silicon, and combinations thereof.
24. The method of claim 19, further including thermally treating the diffusion barrier layer.
25. The method of claim 19, wherein the glue layer is selected from the group consisting essentially of a metal-rich nitride, Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and combinations thereof.
26. The method of claim 25, wherein the glue layer is deposited using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
27. The method of claim 25, wherein a thickness ratio of the glue layer to the diffusion barrier layer is about 1 to 50.
28. The method of claim 25, wherein a thickness of the glue layer is about 10 to 500 Angstroms.
29. The method claim 25, wherein the metal-rich nitride comprises TaN and wherein an atomic ratio of nitrogen to tantalum is less than about 1.
30. The method of claim 19, wherein the conductor annealing step comprises annealing at about 150 to 450° C. for about 0.5 to 5 minutes in forming gas.
31. The method of claim 19, wherein the diffusion barrier layer is deposited using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
32. The method of claim 19, wherein the cap layer is deposited using physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), or plasma enhanced atomic layer deposition (PEALD).
33. A method for forming a semiconductor device, comprising:
providing a substrate, the substrate including a low-k dielectric layer with an opening;
performing a pore sealing process;
forming a barrier layer within the opening;
forming a glue layer on the barrier layer;
forming a seed layer on the glue layer;
forming a conductor on the seed layer;
and forming a cap layer on the conductor.
34. The method of claim 33, wherein the cap layer is selected from the group consisting essentially of Co, W, Al, Ta, Ti, Ni, Ru, and combinations thereof.
35. The method of claim 33, wherein the barrier layer is selected from the group consisting essentially of TaN, TiN, WN, ThN, VN, ZrN, CrN, WC, WN, WCN, NbN, AlN, and combinations thereof.
36. The method of claim 33, wherein the barrier layer is about 10 to 30 Angstroms.
37. The method of claim 33, wherein the cap layer is selected from the group consisting essentially of a carbon-containing dielectric, a nitrogen-containing dielectric, a nitrogen-containing conductor, a silicon-containing conductive layer, and silicon, and combinations thereof.
38. The method of claim 33, wherein the glue layer is selected from the group consisting essentially of a metal-rich nitride, Ru, Ta, Ti, W, Co, Ni, Al, Nb, AlCu, and combinations thereof.
39. The method of claim 33, wherein a thickness ratio of the glue layer to the barrier layer is about 1 to 50.
40. The method of claim 33, wherein a thickness of the glue layer is about 10 to 500 Angstroms.
41. The method claim 38, wherein the metal-rich nitride comprises TaN and wherein an atomic ratio of nitrogen to tantalum is less than about 1.
42. The method of claim 33, further comprising annealing the conductor at about 150 to 450° C. for about 0.5 to 5 minutes in forming gas.
43. The method of claim 33, wherein the pore sealing process comprises vapor pore sealing.
US11/001,471 2004-12-01 2004-12-01 Barrier material and process for Cu interconnect Abandoned US20060113675A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/001,471 US20060113675A1 (en) 2004-12-01 2004-12-01 Barrier material and process for Cu interconnect
TW094121868A TWI256677B (en) 2004-12-01 2005-06-29 Barrier material and process for Cu interconnect
CNB200510088729XA CN100385660C (en) 2004-12-01 2005-07-29 Semiconductor element of improved electronic migration and method for forming semiconductor element
US12/181,770 US8178437B2 (en) 2004-12-01 2008-07-29 Barrier material and process for Cu interconnect

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/001,471 US20060113675A1 (en) 2004-12-01 2004-12-01 Barrier material and process for Cu interconnect

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/181,770 Division US8178437B2 (en) 2004-12-01 2008-07-29 Barrier material and process for Cu interconnect

Publications (1)

Publication Number Publication Date
US20060113675A1 true US20060113675A1 (en) 2006-06-01

Family

ID=36566616

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/001,471 Abandoned US20060113675A1 (en) 2004-12-01 2004-12-01 Barrier material and process for Cu interconnect
US12/181,770 Active US8178437B2 (en) 2004-12-01 2008-07-29 Barrier material and process for Cu interconnect

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/181,770 Active US8178437B2 (en) 2004-12-01 2008-07-29 Barrier material and process for Cu interconnect

Country Status (3)

Country Link
US (2) US20060113675A1 (en)
CN (1) CN100385660C (en)
TW (1) TWI256677B (en)

Cited By (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163731A1 (en) * 2005-01-21 2006-07-27 Keishi Inoue Dual damascene interconnections employing a copper alloy at the copper/barrier interface
US20060175708A1 (en) * 2005-02-10 2006-08-10 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US20060199367A1 (en) * 2004-12-10 2006-09-07 Jim-Jey Huang Semiconductor device and manufacturing method thereof
US20060252250A1 (en) * 2005-05-03 2006-11-09 Hsien-Che Teng Method of fabricating a dual-damascene copper structure
US20060261441A1 (en) * 2005-05-23 2006-11-23 Micron Technology, Inc. Process for forming a low carbon, low resistance metal film during the manufacture of a semiconductor device and systems including same
US20070040275A1 (en) * 2005-08-12 2007-02-22 Lee Han C Semiconductor device including diffusion barrier and method for manufacturing the same
US20070111497A1 (en) * 2005-11-15 2007-05-17 International Business Machines Corporation Process for forming a redundant structure
US20070128847A1 (en) * 2005-11-15 2007-06-07 Hong Ji H Semiconductor device and a method for manufacturing the same
US20080003360A1 (en) * 2004-11-23 2008-01-03 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US20080057708A1 (en) * 2006-08-30 2008-03-06 Johann Helneder Method for Filling a Trench in a Semiconductor Product
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US20080237859A1 (en) * 2007-03-26 2008-10-02 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US20080283881A1 (en) * 2007-05-17 2008-11-20 Min Hyung Lee Image Sensor and Method for Manufacturing the Same
US20080315426A1 (en) * 2007-06-21 2008-12-25 International Business Machines Corporation METAL CAP WITH ULTRA-LOW k DIELECTRIC MATERIAL FOR CIRCUIT INTERCONNECT APPLICATIONS
US20080315431A1 (en) * 2007-06-19 2008-12-25 Samsung Electro-Mechanics Co., Ltd. Mounting substrate and manufacturing method thereof
US20090001591A1 (en) * 2007-06-29 2009-01-01 Michael Haverty Reducing resistivity in metal interconnects by compressive straining
US20090001581A1 (en) * 2007-06-28 2009-01-01 Eun Soo Kim Metal line of semiconductor device and method of forming the same
US20090020883A1 (en) * 2007-07-20 2009-01-22 Kayo Nomura Semiconductor device and method for fabricating semiconductor device
US20090045514A1 (en) * 2007-08-15 2009-02-19 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090065939A1 (en) * 2007-09-11 2009-03-12 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US20090087981A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US20090130843A1 (en) * 2007-09-27 2009-05-21 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US20090134518A1 (en) * 2006-06-23 2009-05-28 Tokyo Electron Limited Semiconductor device and manufacturing method of semiconductor device
US20090152735A1 (en) * 2007-12-17 2009-06-18 Han Choon Lee Metal Interconnection and Method for Manufacturing the Same in a Semiconductor Device
US20090166870A1 (en) * 2008-01-02 2009-07-02 Jeong Tae Kim Metal line of semiconductor device and method for forming the same
US20090186481A1 (en) * 2008-01-22 2009-07-23 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090194875A1 (en) * 2008-01-31 2009-08-06 International Business Machines Corporation HIGH PURITY Cu STRUCTURE FOR INTERCONNECT APPLICATIONS
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20090218694A1 (en) * 2008-02-28 2009-09-03 Takahiko Kato Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
US20090226611A1 (en) * 2008-03-07 2009-09-10 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US20100007023A1 (en) * 2005-07-13 2010-01-14 Fujitsu Microelectronics Limited Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy
US20100015798A1 (en) * 2008-07-15 2010-01-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20100038783A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Metal cap for back end of line (beol) interconnects, design structure and method of manufacture
US20100048009A1 (en) * 2008-08-25 2010-02-25 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US20100081276A1 (en) * 2008-10-01 2010-04-01 Tokyo Electron Limited Method for forming cobalt tungsten cap layers
US20100081271A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US20100081275A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US20100078818A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US20100084766A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
US20100197135A1 (en) * 2009-02-02 2010-08-05 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US20100210108A1 (en) * 2009-02-13 2010-08-19 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
EP2243155A1 (en) * 2008-01-29 2010-10-27 International Business Machines Corporation Noble metal cap for interconnect structures
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US20100295181A1 (en) * 2009-05-19 2010-11-25 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US20110180879A1 (en) * 2010-01-25 2011-07-28 Samsung Electronics Co., Ltd. Cmos transistor, semiconductor device including the transistor, and semiconductor module including the device
WO2012010479A1 (en) * 2010-07-19 2012-01-26 International Business Machines Corporation Method and structure to improve the conductivity of narrow copper filled vias
US20120031650A1 (en) * 2007-02-27 2012-02-09 Ulvac, Inc. Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20120074573A1 (en) * 2010-09-29 2012-03-29 Dallmann Gerald Semiconductor structure and method for making same
US8278216B1 (en) * 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US8586473B1 (en) 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8835304B2 (en) * 2012-08-30 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US20140273434A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US20140273463A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8901526B2 (en) 2012-02-28 2014-12-02 Samsung Electronics Co., Ltd. Variable resistive memory device
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
WO2015048259A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Interconnects with fully clad lines
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9214335B2 (en) * 2014-04-24 2015-12-15 International Business Machines Corporation Surface plasma modification of porous thin-films to optimize pore filling
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20160111327A1 (en) * 2012-07-31 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device and Method for Reducing Contact Resistance of a Metal
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US20160181157A1 (en) * 2011-06-09 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through Silicon Via Structure and Method
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
WO2017105448A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Templated metal apparatus for efficient electric conduction
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10109585B2 (en) 2016-08-17 2018-10-23 International Business Machines Corporation Formation of advanced interconnects including a set of metal conductor structures in a patterned dielectric layer
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163756B2 (en) 2009-01-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for stacked dies
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN109844930A (en) * 2016-10-02 2019-06-04 应用材料公司 The doped selective metal covering for improving copper electromigration is padded with ruthenium
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10431544B2 (en) * 2016-02-17 2019-10-01 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN111383989A (en) * 2018-12-27 2020-07-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11039540B2 (en) * 2019-01-01 2021-06-15 Catlam, Llc Multi-layer circuit board with traces thicker than a circuit board layer
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094587B2 (en) 2015-06-03 2021-08-17 Intel Corporation Use of noble metals in the formation of conductive connectors
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410879B2 (en) 2020-04-07 2022-08-09 International Business Machines Corporation Subtractive back-end-of-line vias
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557482B2 (en) 2019-10-04 2023-01-17 International Business Machines Corporation Electrode with alloy interface
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100459099C (en) * 2006-08-31 2009-02-04 中芯国际集成电路制造(上海)有限公司 Copper interconnected fabricating method for semiconductor device and structure thereof
JP2010123586A (en) * 2008-11-17 2010-06-03 Nec Electronics Corp Semiconductor device, and method of manufacturing the same
TWI381484B (en) * 2008-12-17 2013-01-01 Univ Ishou An integrated circuit manufacturing method for an isolation layer with metal ion migration
CN102044475A (en) * 2009-10-13 2011-05-04 中芯国际集成电路制造(上海)有限公司 Interconnecting structure and forming method thereof
US8912658B2 (en) 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
CN102738117B (en) * 2011-04-13 2016-05-04 中国科学院微电子研究所 Interconnection structure and forming method thereof
CN102437096A (en) * 2011-08-29 2012-05-02 上海华力微电子有限公司 Manufacture method for reducing contact resistance of contact hole
CN102332426A (en) * 2011-09-23 2012-01-25 复旦大学 Manufacturing method of copper diffusion impervious layers for nanometer ICs (integrated circuits)
CN102364673A (en) * 2011-11-10 2012-02-29 上海华力微电子有限公司 Method for forming copper interconnection structure
US9136170B2 (en) 2012-05-30 2015-09-15 United Microelectronics Corp. Through silicon via (TSV) structure and process thereof
CN102881647B (en) * 2012-10-12 2015-09-30 上海华力微电子有限公司 The preparation method of copper metal cladding
CN104282617B (en) * 2013-07-01 2017-11-10 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices
CN104347476B (en) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacturing method
US9368448B2 (en) 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
CN103938157B (en) * 2014-05-12 2016-05-25 重庆科技学院 A kind of ZrNbAlN superlattice coating and preparation method
US9741812B1 (en) 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US10199269B2 (en) 2016-11-28 2019-02-05 United Microelectronics Corp. Conductive structure and method for manufacturing conductive structure
US10629478B2 (en) * 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
CN111105990B (en) * 2018-10-29 2023-06-23 株洲中车时代半导体有限公司 Thin film structure suitable for copper metallized semiconductor device and preparation method thereof
CN111312689B (en) * 2019-11-28 2022-03-18 上海华力集成电路制造有限公司 Top copper process structure of integrated circuit and manufacturing method thereof
US11527476B2 (en) 2020-09-11 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure of semiconductor device
US11742290B2 (en) * 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof
US20220319991A1 (en) * 2021-03-31 2022-10-06 Nanya Technology Corporation Semiconductor device with dual barrier layers and method for fabricating the same

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817572A (en) * 1992-06-29 1998-10-06 Intel Corporation Method for forming multileves interconnections for semiconductor fabrication
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6248665B1 (en) * 1999-07-06 2001-06-19 Taiwan Semiconductor Manufacturing Company Delamination improvement between Cu and dielectrics for damascene process
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6323554B1 (en) * 1992-02-26 2001-11-27 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
US6495449B1 (en) * 2000-03-07 2002-12-17 Simplus Systems Corporation Multilayered diffusion barrier structure for improving adhesion property
US20030001266A1 (en) * 2001-06-28 2003-01-02 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US6670266B2 (en) * 2000-03-07 2003-12-30 Simplus Systems Corporation Multilayered diffusion barrier structure for improving adhesion property
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US6753607B1 (en) * 2003-05-19 2004-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for improving interlevel conductor connections
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
US20040152333A1 (en) * 2003-01-31 2004-08-05 Larry Zhao Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness
US6963139B2 (en) * 2001-06-13 2005-11-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device including a layer having a β-crystal structure

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
KR0148325B1 (en) * 1995-03-04 1998-12-01 김주용 Formation method of metal layer in semiconductor device
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP4350337B2 (en) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 Semiconductor device
CN1596466A (en) * 2001-07-02 2005-03-16 陶氏康宁公司 Improved metal barrier behavior by SIC:H deposition on porous materials
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US6924221B2 (en) * 2002-12-03 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated process flow to improve copper filling in a damascene structure

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323554B1 (en) * 1992-02-26 2001-11-27 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US5817572A (en) * 1992-06-29 1998-10-06 Intel Corporation Method for forming multileves interconnections for semiconductor fabrication
US6114242A (en) * 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
US6359160B1 (en) * 1997-12-05 2002-03-19 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for CU metallization
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6248665B1 (en) * 1999-07-06 2001-06-19 Taiwan Semiconductor Manufacturing Company Delamination improvement between Cu and dielectrics for damascene process
US6670266B2 (en) * 2000-03-07 2003-12-30 Simplus Systems Corporation Multilayered diffusion barrier structure for improving adhesion property
US6495449B1 (en) * 2000-03-07 2002-12-17 Simplus Systems Corporation Multilayered diffusion barrier structure for improving adhesion property
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
US6963139B2 (en) * 2001-06-13 2005-11-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device including a layer having a β-crystal structure
US20030001266A1 (en) * 2001-06-28 2003-01-02 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US20040121583A1 (en) * 2002-12-19 2004-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming capping barrier layer over copper feature
US20040152333A1 (en) * 2003-01-31 2004-08-05 Larry Zhao Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness
US6753607B1 (en) * 2003-05-19 2004-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for improving interlevel conductor connections

Cited By (605)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678421B2 (en) 2004-11-23 2010-03-16 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US20080003360A1 (en) * 2004-11-23 2008-01-03 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US20060199367A1 (en) * 2004-12-10 2006-09-07 Jim-Jey Huang Semiconductor device and manufacturing method thereof
US20060163731A1 (en) * 2005-01-21 2006-07-27 Keishi Inoue Dual damascene interconnections employing a copper alloy at the copper/barrier interface
US20060175708A1 (en) * 2005-02-10 2006-08-10 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US20060252250A1 (en) * 2005-05-03 2006-11-09 Hsien-Che Teng Method of fabricating a dual-damascene copper structure
US7538024B2 (en) * 2005-05-03 2009-05-26 United Microelectronics Corp. Method of fabricating a dual-damascene copper structure
US20060261441A1 (en) * 2005-05-23 2006-11-23 Micron Technology, Inc. Process for forming a low carbon, low resistance metal film during the manufacture of a semiconductor device and systems including same
US8383509B2 (en) 2005-07-13 2013-02-26 Fujitsu Semiconductor Limited Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy and semiconductor device of this kind
US20100007023A1 (en) * 2005-07-13 2010-01-14 Fujitsu Microelectronics Limited Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy
US20110151662A1 (en) * 2005-07-13 2011-06-23 Fujitsu Semiconductor Limited Manufacture method for semiconductor device having improved copper diffusion preventive function of plugs and wirings made of copper or copper alloy and semiconductor device of this kind
US20070040275A1 (en) * 2005-08-12 2007-02-22 Lee Han C Semiconductor device including diffusion barrier and method for manufacturing the same
US20070128847A1 (en) * 2005-11-15 2007-06-07 Hong Ji H Semiconductor device and a method for manufacturing the same
US7544601B2 (en) * 2005-11-15 2009-06-09 Dongbu Hitek Co., Ltd. Semiconductor device and a method for manufacturing the same
US20070111497A1 (en) * 2005-11-15 2007-05-17 International Business Machines Corporation Process for forming a redundant structure
US20090212334A1 (en) * 2005-11-15 2009-08-27 Ji Ho Hong Semiconductor device and a method for manufacturing the same
US20070205515A1 (en) * 2005-11-15 2007-09-06 International Business Machines Corporation Device having a redundant structure
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US20090134518A1 (en) * 2006-06-23 2009-05-28 Tokyo Electron Limited Semiconductor device and manufacturing method of semiconductor device
US8278216B1 (en) * 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
DE102006040585B4 (en) * 2006-08-30 2013-02-07 Infineon Technologies Ag A method of filling a trench in a semiconductor product
US20080057708A1 (en) * 2006-08-30 2008-03-06 Johann Helneder Method for Filling a Trench in a Semiconductor Product
DE102006040585A1 (en) * 2006-08-30 2008-03-20 Infineon Technologies Ag A method of filling a trench in a semiconductor product
US7638434B2 (en) 2006-08-30 2009-12-29 Infineon Technologies Ag Method for filling a trench in a semiconductor product
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080173985A1 (en) * 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US8367542B2 (en) * 2007-02-27 2013-02-05 Ulvac, Inc. Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US20120031650A1 (en) * 2007-02-27 2012-02-09 Ulvac, Inc. Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US8372739B2 (en) 2007-03-26 2013-02-12 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US20080237859A1 (en) * 2007-03-26 2008-10-02 Tokyo Electron Limited Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US20080283881A1 (en) * 2007-05-17 2008-11-20 Min Hyung Lee Image Sensor and Method for Manufacturing the Same
US7700401B2 (en) * 2007-05-17 2010-04-20 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US8022553B2 (en) * 2007-06-19 2011-09-20 Samsung Electro-Mechanics Co., Ltd. Mounting substrate and manufacturing method thereof
US20080315431A1 (en) * 2007-06-19 2008-12-25 Samsung Electro-Mechanics Co., Ltd. Mounting substrate and manufacturing method thereof
US20080315426A1 (en) * 2007-06-21 2008-12-25 International Business Machines Corporation METAL CAP WITH ULTRA-LOW k DIELECTRIC MATERIAL FOR CIRCUIT INTERCONNECT APPLICATIONS
US8669182B2 (en) 2007-06-21 2014-03-11 International Business Machines Corporation Metal cap with ultra-low κ dielectric material for circuit interconnect applications
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US20090001581A1 (en) * 2007-06-28 2009-01-01 Eun Soo Kim Metal line of semiconductor device and method of forming the same
US20090001591A1 (en) * 2007-06-29 2009-01-01 Michael Haverty Reducing resistivity in metal interconnects by compressive straining
US20090020883A1 (en) * 2007-07-20 2009-01-22 Kayo Nomura Semiconductor device and method for fabricating semiconductor device
US8026168B2 (en) 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090045514A1 (en) * 2007-08-15 2009-02-19 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US7829454B2 (en) 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US20090065939A1 (en) * 2007-09-11 2009-03-12 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US20090130843A1 (en) * 2007-09-27 2009-05-21 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7704879B2 (en) 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US20090087981A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US20090152735A1 (en) * 2007-12-17 2009-06-18 Han Choon Lee Metal Interconnection and Method for Manufacturing the Same in a Semiconductor Device
US7741216B2 (en) * 2008-01-02 2010-06-22 Hynix Semiconductor Inc. Metal line of semiconductor device and method for forming the same
US20090166870A1 (en) * 2008-01-02 2009-07-02 Jeong Tae Kim Metal line of semiconductor device and method for forming the same
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20090186481A1 (en) * 2008-01-22 2009-07-23 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
WO2009094325A1 (en) * 2008-01-22 2009-07-30 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
EP2243155A4 (en) * 2008-01-29 2012-07-25 Ibm Noble metal cap for interconnect structures
EP2243155A1 (en) * 2008-01-29 2010-10-27 International Business Machines Corporation Noble metal cap for interconnect structures
US8497580B2 (en) 2008-01-29 2013-07-30 International Business Machines Corporation Noble metal cap for interconnect structures
US20090194875A1 (en) * 2008-01-31 2009-08-06 International Business Machines Corporation HIGH PURITY Cu STRUCTURE FOR INTERCONNECT APPLICATIONS
US20090298281A1 (en) * 2008-02-07 2009-12-03 International Business Machines Corporation Interconnect structure with high leakage resistance
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US9362184B2 (en) 2008-02-28 2016-06-07 Renesas Electronics Corporation Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
US20090218694A1 (en) * 2008-02-28 2009-09-03 Takahiko Kato Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
US8946895B2 (en) 2008-02-28 2015-02-03 Renesas Electronics Corporation Semiconductor device, manufacturing method of semiconductor device, semiconductor manufacturing and inspecting apparatus, and inspecting apparatus
US20090226611A1 (en) * 2008-03-07 2009-09-10 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US7799681B2 (en) 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US20100015798A1 (en) * 2008-07-15 2010-01-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US8232645B2 (en) * 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
US20100038783A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Metal cap for back end of line (beol) interconnects, design structure and method of manufacture
US8610276B2 (en) 2008-08-14 2013-12-17 International Business Machines Corporation Metal cap for back end of line (BEOL) interconnects, design structure and method of manufacture
US8563419B2 (en) 2008-08-14 2013-10-22 International Business Machines Corporation Method of manufacturing an interconnect structure and design structure thereof
US7985680B2 (en) 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US20100048009A1 (en) * 2008-08-25 2010-02-25 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8058728B2 (en) 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US20100078818A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US7727883B2 (en) 2008-09-30 2010-06-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US20100081271A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Method of forming a diffusion barrier and adhesion layer for an interconnect structure
US20100081275A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US7846841B2 (en) 2008-09-30 2010-12-07 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US20100081276A1 (en) * 2008-10-01 2010-04-01 Tokyo Electron Limited Method for forming cobalt tungsten cap layers
US7718527B2 (en) 2008-10-01 2010-05-18 Tokyo Electron Limited Method for forming cobalt tungsten cap layers
US20120329270A1 (en) * 2008-10-08 2012-12-27 International Business Machines Corporation Surface repair structure and process for interconnect applications
US20100084766A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
US8802563B2 (en) * 2008-10-08 2014-08-12 International Business Machines Corporation Surface repair structure and process for interconnect applications
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10163756B2 (en) 2009-01-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for stacked dies
US20100197135A1 (en) * 2009-02-02 2010-08-05 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US20100210108A1 (en) * 2009-02-13 2010-08-19 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8716132B2 (en) 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US8592306B2 (en) 2009-05-19 2013-11-26 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US20100295181A1 (en) * 2009-05-19 2010-11-25 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
WO2010133400A1 (en) 2009-05-19 2010-11-25 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
CN102341903A (en) * 2009-05-19 2012-02-01 国际商业机器公司 Redundant metal barrier structure for interconnect applications
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US9287199B2 (en) * 2010-01-25 2016-03-15 Samsung Electronics Co., Ltd. CMOS transistor, semiconductor device including the transistor, and semiconductor module including the device
US20110180879A1 (en) * 2010-01-25 2011-07-28 Samsung Electronics Co., Ltd. Cmos transistor, semiconductor device including the transistor, and semiconductor module including the device
US9543300B2 (en) 2010-01-25 2017-01-10 Samsung Electronics Co., Ltd. CMOS transistor, semiconductor device including the transistor, and semiconductor module including the device
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9392690B2 (en) 2010-07-19 2016-07-12 Globalfoundries Inc. Method and structure to improve the conductivity of narrow copper filled vias
WO2012010479A1 (en) * 2010-07-19 2012-01-26 International Business Machines Corporation Method and structure to improve the conductivity of narrow copper filled vias
US8661664B2 (en) 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
GB2495451A (en) * 2010-07-19 2013-04-10 Ibm Method and structure to improve the conductivity of narrow copper filled vias
GB2495451B (en) * 2010-07-19 2016-03-02 Ibm Method and structure to improve the conductivity of narrow copper filled vias
JP2013535820A (en) * 2010-07-19 2013-09-12 インターナショナル・ビジネス・マシーンズ・コーポレーション Method and structure for improving the conductivity of narrow copper filled vias
CN103003939A (en) * 2010-07-19 2013-03-27 国际商业机器公司 Method and structure to improve the conductivity of narrow copper filled vias
US20120074573A1 (en) * 2010-09-29 2012-03-29 Dallmann Gerald Semiconductor structure and method for making same
US8872341B2 (en) * 2010-09-29 2014-10-28 Infineon Technologies Ag Semiconductor structure having metal oxide or nirtride passivation layer on fill layer and method for making same
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20160181157A1 (en) * 2011-06-09 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through Silicon Via Structure and Method
US9633900B2 (en) * 2011-06-09 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for through silicon via structure
US9997497B2 (en) 2011-06-09 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via structure
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8901526B2 (en) 2012-02-28 2014-12-02 Samsung Electronics Co., Ltd. Variable resistive memory device
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
DE102013200048B4 (en) * 2012-06-26 2020-02-20 Globalfoundries Inc. Process for the manufacture of integrated circuits with ruthenium-coated copper
KR101602839B1 (en) 2012-06-26 2016-03-11 글로벌파운드리즈 인크. Method for fabricating integrated circuits with ruthenium-lined copper
KR20140001074A (en) * 2012-06-26 2014-01-06 글로벌파운드리즈 인크. Method for fabricating integrated circuits with ruthenium-lined copper
DE102013200048A1 (en) * 2012-06-26 2014-01-02 Globalfoundries Inc. Process for producing integrated circuits with ruthenium-coated copper
US8586473B1 (en) 2012-06-26 2013-11-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits with ruthenium-lined copper
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US20160111327A1 (en) * 2012-07-31 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device and Method for Reducing Contact Resistance of a Metal
US11177168B2 (en) 2012-07-31 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for reducing contact resistance of a metal
US9892963B2 (en) * 2012-07-31 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for reducing contact resistance of a metal
US10276431B2 (en) 2012-07-31 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Device and method for reducing contact resistance of a metal
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8835304B2 (en) * 2012-08-30 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9837310B2 (en) 2013-03-12 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US20140273434A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US20140273463A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015048259A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Interconnects with fully clad lines
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US20160005692A1 (en) * 2013-09-27 2016-01-07 Intel Corporation Interconnects with fully clad lines
US9385085B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Interconnects with fully clad lines
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9165824B2 (en) 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9214335B2 (en) * 2014-04-24 2015-12-15 International Business Machines Corporation Surface plasma modification of porous thin-films to optimize pore filling
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10199235B2 (en) 2014-06-30 2019-02-05 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11094587B2 (en) 2015-06-03 2021-08-17 Intel Corporation Use of noble metals in the formation of conductive connectors
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017105448A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Templated metal apparatus for efficient electric conduction
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10431544B2 (en) * 2016-02-17 2019-10-01 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9941213B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10109585B2 (en) 2016-08-17 2018-10-23 International Business Machines Corporation Formation of advanced interconnects including a set of metal conductor structures in a patterned dielectric layer
US9997460B2 (en) 2016-08-17 2018-06-12 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10134675B2 (en) 2016-08-17 2018-11-20 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9859433B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9859155B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10163793B2 (en) 2016-08-17 2018-12-25 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10170424B2 (en) 2016-08-17 2019-01-01 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US10236257B2 (en) 2016-08-17 2019-03-19 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10177092B2 (en) 2016-08-17 2019-01-08 International Business Machines Corporation Formation of advanced interconnects
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN109844930A (en) * 2016-10-02 2019-06-04 应用材料公司 The doped selective metal covering for improving copper electromigration is padded with ruthenium
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11610823B2 (en) 2017-08-30 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10504797B2 (en) * 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device and resulting device
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
CN111383989A (en) * 2018-12-27 2020-07-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11039540B2 (en) * 2019-01-01 2021-06-15 Catlam, Llc Multi-layer circuit board with traces thicker than a circuit board layer
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11557482B2 (en) 2019-10-04 2023-01-17 International Business Machines Corporation Electrode with alloy interface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11410879B2 (en) 2020-04-07 2022-08-09 International Business Machines Corporation Subtractive back-end-of-line vias
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
CN1783478A (en) 2006-06-07
TWI256677B (en) 2006-06-11
TW200620401A (en) 2006-06-16
US20080280432A1 (en) 2008-11-13
CN100385660C (en) 2008-04-30
US8178437B2 (en) 2012-05-15

Similar Documents

Publication Publication Date Title
US8178437B2 (en) Barrier material and process for Cu interconnect
US7053487B2 (en) Semiconductor device
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
US8143162B2 (en) Interconnect structure having a silicide/germanide cap layer
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6958291B2 (en) Interconnect with composite barrier layers and method for fabricating the same
US8951911B2 (en) Process for damascene structure with reduced low-k damage
US6989604B1 (en) Conformal barrier liner in an integrated circuit interconnect
US8072075B2 (en) CuSiN/SiN diffusion barrier for copper in integrated-circuit devices
US7348672B2 (en) Interconnects with improved reliability
US8368220B2 (en) Anchored damascene structures
US20100252930A1 (en) Method for Improving Performance of Etch Stop Layer
US11923304B2 (en) Electro-migration barrier for interconnect
US7675177B1 (en) Forming copper interconnects with Sn coatings
JP2005038999A (en) Method of manufacturing semiconductor device
US7381660B2 (en) Dielectric barrier layer for a copper metallization layer having a varying silicon concentration along its thickness
JP2006135363A (en) Semiconductor device and method of manufacturing the semiconductor device
US6455422B1 (en) Densification process hillock suppression method in integrated circuits
KR100772252B1 (en) Method for manufacturing the copper line
KR20020090441A (en) Method for Forming Copper Line of Semiconductor Device
KR20020091307A (en) Method for forming interconnect structures of semiconductor device
KR20090071772A (en) Copper line and method for forming thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHUNG-LIANG;HSIEH, CHING-HUA;SHUE, SHAU-LIN;REEL/FRAME:016047/0814

Effective date: 20041125

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION