US20060135047A1 - Method and apparatus for clamping a substrate in a high pressure processing system - Google Patents

Method and apparatus for clamping a substrate in a high pressure processing system Download PDF

Info

Publication number
US20060135047A1
US20060135047A1 US11/021,194 US2119404A US2006135047A1 US 20060135047 A1 US20060135047 A1 US 20060135047A1 US 2119404 A US2119404 A US 2119404A US 2006135047 A1 US2006135047 A1 US 2006135047A1
Authority
US
United States
Prior art keywords
pressure
fluid
chamber
platen
clamping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/021,194
Inventor
Alexei Sheydayi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/021,194 priority Critical patent/US20060135047A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHEYDAYI, ALEXEI
Publication of US20060135047A1 publication Critical patent/US20060135047A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids

Definitions

  • Results of this flexing can break wafers, because they are brittle and fragile and cannot elastically deform like stainless steel. It can also cause a grinding or fretting effect between the wafer and the platen, due to the high forces and small displacements which take place. This can create metal or silicon particles to be interspersed between the wafer and platen, which in turn can damage the current wafer, and be present on the platen to damage subsequent wafers that are processed.
  • FIG. 1 is a simplified schematic representation of one embodiment of a processing system according to principles of the present invention
  • process chemistry supply system 130 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination thereof, or for applying low dielectric constant films (porous or non-porous).
  • FIG. 1F shows the system 100 nearly completely depressurized. Only the residual pressure in the chamber 110 and fill line 124 remains at a level equal to the spring value setting of exit check valve 174 , or 100 psig in this example, as valve 176 remains open, but valve 184 can have been closed. At 100 psig in chamber 110 , no more fluid will flow out of exhaust port 108 as check valve 174 will close. Atmospheric pressure, or about 0 psig, can be indicated on gage 172 . At this time, the valve 195 can start to open to evacuate the line to the backside of the wafer 105 and to keep some wafer holding pressure differential on the wafer 105 to hold the wafer 105 to the platen 116 .

Abstract

Pressure biased wafer holding of a semiconductor wafer is provided for use in high pressure processing. The use of vacuum chucking for holding a semiconductor wafer during processing is applied to high pressure systems. Adverse effects of high pressure biases are prevented by a valve arrangement that reduces or limits the holding load on a wafer. Check valves and on-off valves connected to input and output lines to the chamber bias fluid applied to a wafer supporting platen to vary the backside pressure so that the excess of frontside pressure versus backside pressure on the wafer is kept within an effective clamping range without excessive force being applied to the wafer. Use of fluid-mechanical techniques is maximized in certain described embodiments to avoid disadvantages of electronic control systems.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method and system for clamping a substrate in a high pressure processing system and, more particularly, in a supercritical processing system.
  • BACKGROUND OF THE INVENTION
  • During the fabrication of semiconductor devices for integrated circuits (ICs), a sequence of material processing steps, including both pattern etching and deposition processes, are performed, whereby material is removed from or added to a substrate surface, respectively. During, for instance, pattern etching, a pattern formed in a mask layer of radiation-sensitive material, such as photoresist, using for example photolithography, is transferred to an underlying thin material film using a combination of physical and chemical processes to facilitate the selective removal of the underlying material film relative to the mask layer.
  • Thereafter, the remaining radiation-sensitive material, or photoresist, and post-etch residue, such as hardened photoresist and other etch residues, are removed using one or more cleaning processes. Conventionally, these residues are removed by performing plasma ashing in an oxygen plasma, followed by wet cleaning through immersion of the substrate in a liquid bath of stripper chemicals.
  • Until recently, dry plasma ashing and wet cleaning were found to be sufficient for removing residue and contaminants accumulated during semiconductor processing. However, recent advancements for ICs include a reduction in the critical dimension for etched features below a feature dimension acceptable for wet cleaning, such as a feature dimension below approximately 45 to 65 nanometers (nm). Moreover, the advent of new materials, such as low dielectric constant (low-k) materials, limits the use of plasma ashing due to their susceptibility to damage during plasma exposure.
  • At present, interest has developed for the replacement of dry plasma ashing and wet cleaning. One interest includes the development of dry cleaning systems utilizing a supercritical fluid as a carrier for a solvent, or other residue removing composition. The use of supercritical carbon dioxide, for example, in processing semiconductor wafers has been shown in the art.
  • Certain challenges occur when attempting to process silicon wafers under high pressure. One such issue is how to hold the wafer in place during processing. It has been shown that a wafer can be supported at discrete locations around its edge, with high pressure supercritical carbon dioxide (SCCO2) surrounding the entire wafer.
  • A different approach is to hold the wafer down on a platen using vacuum or reduced pressure from the top surface of the wafer, which has also been shown. In such a case, bias in pressure keeps the wafer in place during processing, which may include violent events such as sudden decompressions, high surface velocity jets for cleaning, etc. One of the significant drawbacks of vacuum holding is the restraining of the wafer against the platen. With such a large surface area of 300 millimeter (mm) wafers, for example, the exposed area of the platen, is subjected to loads that can exceed half a million pounds. Even very thick steels platens will deflect under this kind of load. Typical pressures encountered in SCCO2 processing are a minimum of 1,031 psi, but 3,000 psi is not uncommon, and upwards of 10,000 psi has been reported in the literature.
  • If a wafer is held against a platen, typically of stainless steel, the resulting static pressure load can force the wafer against the platen, which can cause damage to the backside of the wafer. Particulates that may be present can then get embedded into the platen or into the backside of the wafer. This can cause irreparable harm to the wafer for subsequent process steps.
  • Another effect of these high forces is the flexing of the platen under the pressure load. As the pressure increases, the wafer becomes restrained against the platen. As pressure continues to increase, the platen can bow due to the load. The wafer may or may not be able to follow the new shape that the platen is forced into due to the pressure load. Once the pressure is released or reduced, the wafer must again readjust for the change in shape of the platen. If multiple pressure cycles are applied, this effect can be repeated many times on a single wafer.
  • Results of this flexing can break wafers, because they are brittle and fragile and cannot elastically deform like stainless steel. It can also cause a grinding or fretting effect between the wafer and the platen, due to the high forces and small displacements which take place. This can create metal or silicon particles to be interspersed between the wafer and platen, which in turn can damage the current wafer, and be present on the platen to damage subsequent wafers that are processed.
  • The magnitude of this flexing may be considered trivial under ordinary industrial circumstances. Unfortunately with semiconductor wafers, flexing of less than 0.0010 inches, or even as little as 0.0005 inches, have been shown to cause significant damage to wafers, or wafer breakage.
  • At present, the inventors have recognized that if the force holding the wafer to the platen is reduced, the wafer can slip in relation to the platen, and the likelihood of breakage can be reduced. If the holding force is reduced even further in magnitude, then wear can also be eliminated because there would not be enough frictional force to create wear or particles.
  • Misalignment of features on the wafer platen, or poor flatness of the platen surface can also result in wafer breakage if the holding load is high. If the wafer is required to span over holes or slots in the platen, then the wafer becomes a “bridge” with the entire pressure load bearing down on an unsupported region of thin silicon. It doesn't take a very large span to break a wafer when subjected to 3,000 psi or higher pressures.
  • Accordingly, there is a need to overcome the above described problems.
  • SUMMARY OF THE INVENTION
  • According to certain principles of the present invention, a processing system is provided which comprises a processing chamber configured to treat said substrate therein with a high pressure fluid; a platen coupled to said processing chamber and configured to support said substrate, a fluid supply system, a fluid flow system coupled to said fluid supply system and said chamber and configured to flow said fluid through said processing chamber over said substrate, and a chuck coupled to said platen and configured to hold said substrate against said platen by a pressure gradient between said high pressure fluid and said platen, wherein said chuck includes means responsive to the pressure of said fluid in said chamber for limiting the magnitude of said pressure gradient.
  • According to other principles of the present invention, a vacuum chuck assembly is provided comprising a platen having a wafer supporting surface and configured to support said substrate on said surface for high pressure fluid processing, one or more fluid channels in said platen coupled to said wafer supporting surface, a clamping fluid control system including a clamping fluid line, a first pressure-limiting valve, a second pressure-limiting valve and a third pressure-limiting valve; said clamping fluid line being coupled to said channels, coupled to the outlet of a first pressure-limiting valve that is operable to maintain the pressure in said clamping fluid line to not less than a first maximum pressure gradient less than the pressure in said chamber, and coupled to the inlet of a second pressure-limiting valve that is operable to maintain the pressure in said clamping fluid line to not more than a second maximum pressure gradient more than the pressure to an exhaust line that is coupled to said chamber; said third pressure-limiting valve having an inlet coupled to said chamber, having an outlet coupled to said exhaust line, and being operable to maintain the pressure in said exhaust line at a pressure that is not less than a third maximum pressure gradient less than the pressure in said chamber; said third maximum pressure gradient being greater than said second maximum pressure gradient.
  • According to other principles of the present invention, a method of controlling fluid clamping pressure to the backside of a substrate on a platen of a pressure biased wafer holder in a high pressure processing chamber is provided that comprises filling the processing chamber with processing fluid to a high processing pressure and applying a clamping fluid to a backside of a substrate on a platen in said processing chamber at a backside pressure that is responsive to the frontside pressure exerted by said fluid on said substrate, with the backside pressure being less than the frontside pressure by not more than a maximum clamping pressure gradient.
  • These and other objectives and advantages of the present invention are set forth in the detailed description of the exemplary embodiments below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings:
  • FIG. 1 is a simplified schematic representation of one embodiment of a processing system according to principles of the present invention;
  • FIG. 1A is a diagram of a portion of FIG. 1 representing the processing system at idle, prior to pressurization of the chamber, with the chamber at 0 psig (atmospheric pressure);
  • FIG. 1B is a diagram similar to FIG. 1A but representing the processing system as the chamber is beginning to fill, with fluid therein at 100 psig;
  • FIG. 1C is a diagram similar to FIG. 1B but representing the processing system as the chamber continues to fill, with fluid in the chamber at 300 psig;
  • FIG. 1D is a diagram similar to FIG. 1C but representing the processing system with the chamber at a processing pressure of 3,000 psig;
  • FIG. 1E is a diagram similar to FIG. 1D but representing the processing system as the chamber is beginning to vent, with fluid in the chamber at 1,500 psig;
  • FIG. 1F is a diagram similar to FIG. 1E but representing the processing system as the chamber continues to vent, with fluid in the chamber at 100 psig;
  • FIG. 1G is a diagram similar to FIG. 1F but representing the processing system as the chamber has been vented to atmospheric pressure, or 0 psig; and
  • FIG. 1H is a diagram similar to FIG. 1G but representing the processing system after the chamber has been vented and the platen is back-pressurized for removal of the wafer.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • In the following description, to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the processing system and various descriptions of the system components. However, it should be understood that the invention may be practiced with other embodiments that depart from these specific details.
  • Referring now to the drawings, wherein like reference numerals designate identical or corresponding parts throughout the several views, FIG. 1 illustrates a processing system 100 according to an embodiment of the invention. In the illustrated embodiment, processing system 100 is configured to treat a substrate 105 with a high pressure fluid, such as a fluid in a supercritical state, with or without other additives, such as process chemistry. The processing system 100 comprises processing elements that include a processing chamber 110, a fluid flow system 120, a process chemistry supply system 130, a high pressure fluid supply system 140, and a controller 150, all of which are configured to process substrate 105. The controller 150 can be coupled to the processing chamber 110, the fluid flow system 120, the process chemistry supply system 130, and the high pressure fluid supply system 140.
  • Alternately, or in addition, controller 150 can be coupled to a one or more additional controllers/computers (not shown), and controller 150 can obtain setup and/or configuration information from an additional controller/computer.
  • In FIG. 1, singular processing elements (110, 120, 130, 140, and 150) are shown, but this is not required for the invention. The processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • The controller 150 can be used to configure any number of processing elements (110, 120, 130, and 140), and the controller 150 can collect, provide, process, store, and display data from processing elements. The controller 150 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 150 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • Referring still to FIG. 1, the fluid flow system 120 is configured to flow fluid and chemistry from the supplies 130 and 140 through the processing chamber 110. The fluid flow system 120 is illustrated as a recirculation system through which the fluid and chemistry recirculate from, and back to, the processing chamber 110 via primary flow line 122. This recirculation is most likely to be the preferred configuration for many applications, but this is not necessary to the invention. Fluids, particularly inexpensive fluids, can be passed through the processing chamber 110 once and then discarded, which might be more efficient than reconditioning them for re-entry into the processing chamber. Accordingly, while the fluid flow system or recirculation system 120 is described as a recirculating system in the exemplary embodiments, a non-recirculating system may, in some cases, be substituted. This fluid flow system 120 can include one or more valves (not shown) for regulating the flow of a processing solution through the fluid flow system 120 and through the processing chamber 110. The fluid flow system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a specified temperature, pressure or both for the processing solution and for flowing the process solution through the fluid flow system 120 and through the processing chamber 110. Furthermore, any one of the many components provided within the fluid flow system 120 may be heated to a temperature consistent with the specified process temperature.
  • Some components, such as a fluid flow or recirculation pump, may require cooling in order to permit proper functioning. For example, some commercially available pumps, having specifications required for processing performance at high pressure and cleanliness during supercritical processing, comprise components that are limited in temperature. Therefore, as the temperature of the fluid and structure are elevated, cooling of the pump is required to maintain its functionality. Fluid flow system 120 for circulating or otherwise flowing the supercritical fluid through processing chamber 110 can comprise the primary flow line 122 coupled to high pressure processing system 100, and configured to supply the supercritical fluid at a fluid temperature equal to or greater than 40 degrees C. to the high pressure processing system 100, and a high temperature pump (not shown) coupled to the primary flow line 122. The high temperature pump can be configured to move the supercritical fluid through the primary flow line 122 to the processing chamber 110, wherein the high temperature pump comprises a coolant inlet configured to receive a coolant and a coolant outlet configured to discharge the coolant. A heat exchanger (not shown) coupled to the coolant inlet can be configured to lower a coolant temperature of the coolant to a temperature less than or equal to the fluid temperature of the supercritical fluid. Details regarding pump design are provided in co-pending U.S. patent application Ser. No. 10/987,066, entitled “Method and System for Cooling a Pump”; the entire content of which is herein incorporated by reference in its entirety.
  • Referring again to FIG. 1, the processing system 100 can comprise high pressure fluid supply system 140. The high pressure fluid supply system 140 can be coupled to the fluid flow system 120, but this is not required. In alternate embodiments, high pressure fluid supply system 140 can be configured differently and coupled differently. For example, the fluid supply system 140 can be coupled directly to the processing chamber 110. The high pressure fluid supply system 140 can include a supercritical fluid supply system. A supercritical fluid as referred to herein is a fluid that is in a supercritical state, which is that state that exists when the fluid is maintained at or above the critical pressure and at or above the critical temperature on its phase diagram. In such a supercritical state, the fluid possesses certain properties, one of which is the substantial absence of surface tension. Accordingly, a supercritical fluid supply system, as referred to herein, is one that delivers to a processing chamber a fluid that assumes a supercritical state at the pressure and temperature at which the processing chamber is being controlled. Furthermore, it is only necessary that at least at or near the critical point the fluid is in substantially a supercritical state at which its properties are sufficient, and exist long enough, to realize their advantages in the process being performed. Carbon dioxide, for example, is a supercritical fluid when maintained at or above a pressure of about 1,070 psi at a temperature of 31 degrees C. This state of the fluid in the processing chamber may be maintained by operating the processing chamber at 2,000 to 10,000 psi at a temperature of approximately 40 degrees C. or greater.
  • As described above, the fluid supply system 140 can include a supercritical fluid supply system, which can be a carbon dioxide supply system. For example, the fluid supply system 140 can be configured to introduce a high pressure fluid having a pressure substantially near the critical pressure for the fluid. Additionally, the fluid supply system 140 can be configured to introduce a supercritical fluid, such as carbon dioxide in a supercritical state. Additionally, for example, the fluid supply system 140 can be configured to introduce a supercritical fluid, such as supercritical carbon dioxide, at a pressure ranging from approximately the critical pressure of carbon dioxide to 10,000 psi. Examples of other supercritical fluid species useful in the broad practice of the invention include, but are not limited to, carbon dioxide (as described above), oxygen, argon, krypton, xenon, ammonia, methane, methanol, dimethyl ketone, hydrogen, water, and sulfur hexafluoride. The fluid supply system can, for example, comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid. For example, the carbon dioxide source can include a CO2 feed system, and the flow control elements can include supply lines, valves, filters, pumps, and heaters. The fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 110. For example, controller 150 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate.
  • Referring still to FIG. 1, the process chemistry supply system 130 is coupled to the recirculation system 120, but this is not required for the invention. In alternate embodiments, the process chemistry supply system 130 can be configured differently, and can be coupled to different elements in the processing system 100. The process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used and the process being performed in the processing chamber 110. Usually the ratio is roughly 1 to 15 percent by volume in systems where the chamber, recirculation system and associated plumbing have a volume of about one liter. This amounts to about 10 to 150 milliliters of additive in most cases. The ratio may be higher or lower.
  • The process chemistry supply system 130 can be configured to introduce one or more of the following process compositions, but not limited to: cleaning compositions for removing contaminants, residues, hardened residues, photoresist, hardened photoresist, post-etch residue, post-ash residue, post chemical-mechanical polishing (CMP) residue, post-polishing residue, or post-implant residue, or any combination thereof; cleaning compositions for removing particulate; drying compositions for drying thin films, porous thin films, porous low dielectric constant materials, or air-gap dielectrics, or any combination thereof; film-forming compositions for preparing dielectric thin films, metal thin films, or any combination thereof; healing compositions for restoring the dielectric constant of low dielectric constant (low-k) films; sealing compositions for sealing porous films; or any combination thereof. Additionally, the process chemistry supply system 130 can be configured to introduce solvents, co-solvents, surfactants, etchants, acids, bases, chelators, oxidizers, film-forming precursors, or reducing agents, or any combination thereof.
  • The process chemistry supply system 130 can be configured to introduce N-methyl pyrrolidone (NMP), diglycol amine, hydroxyl amine, di-isopropyl amine, tri-isoprpyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, HF, other fluorine containing chemicals, or any mixture thereof. Other chemicals such as organic solvents may be utilized independently or in conjunction with the above chemicals to remove organic materials. The organic solvents may include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA). For further details, see U.S. Pat. No. 6,306,564, filed May 27, 1998, and titled “Removal of Resist or Residue from Semiconductors Using Supercritical Carbon Dioxide”, and U.S. Pat. No. 6,509,141, filed Sep. 3, 1999, and titled “Removal of Photoresist and Photoresist Residue from Semiconductors Using Supercritical Carbon dioxide Process,” both incorporated by reference herein.
  • Additionally, the process chemistry supply system 130 can comprise a cleaning chemistry assembly (not shown) for providing cleaning chemistry for generating supercritical cleaning solutions within the processing chamber. The cleaning chemistry can include peroxides and a fluoride source. For example, the peroxides can include hydrogen peroxide, benzoyl peroxide, or any other suitable peroxide, and the fluoride sources can include fluoride salts (such as ammonium fluoride salts), hydrogen fluoride, fluoride adducts (such as organo-ammonium fluoride adducts), and combinations thereof. Further details of fluoride sources and methods of generating supercritical processing solutions with fluoride sources are described in U.S. patent application Ser. No. 10/442,557, filed May 20, 2003, and titled “Tetra-Organic Ammonium Fluoride and HF in Supercritical Fluid for Photoresist and Residue Removal”, and U.S. patent application Ser. No. 10/321,341, filed Dec. 16, 2002, and titled “Fluoride in Supercritical Fluid for Photoresist Polymer and Residue Removal,” both incorporated by reference herein.
  • Furthermore, the process chemistry supply system 130 can be configured to introduce chelating agents, complexing agents and other oxidants, organic and inorganic acids that can be introduced into the supercritical fluid solution with one or more carrier solvents, such as N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methyl pyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such a methanol, ethanol and 2-propanol).
  • Moreover, the process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber. The rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols and ketone. In one embodiment, the rinsing chemistry can comprise sulfolane, also known as thiocyclopentane-1,1-dioxide, (cyclo)tetramethylene sulphone and 2,3,4,5-tetrahydrothiophene-1,1-dioxide, which can be purchased from a number of venders, such as Degussa Stanlow Limited, Lake Court, Hursley Winchester SO21 2LD UK.
  • Furthermore, the process chemistry supply system 130 can be configured to introduce treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination thereof, or for applying low dielectric constant films (porous or non-porous). The chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B [DMA]DS), HMCTS, dimethylaminopentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). Additionally, the chemistry may include N-tert-butyl-1,1-dimethyl-1-(2,3,4,5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1,3-diphenyl-1,1,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane. For further details, see U.S. patent application Ser. No. 10/682,196, filed Oct. 10, 2003, and titled “Method and System for Treating a Dielectric Film,” and U.S. patent application Ser. No. 10/379,984, filed Mar. 4, 2003, and titled “Method of Passivating Low Dielectric Materials in Wafer Processing,” both incorporated by reference herein.
  • Additionally, the process chemistry supply system 130 can be configured to introduce peroxides during, for instance, cleaning processes. The peroxides can include organic peroxides, or inorganic peroxides, or a combination thereof. For example, organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA). Other peroxides can include hydrogen peroxide.
  • The processing chamber 110 can be configured to process substrate 105 by exposing the substrate 105 to fluid from the fluid supply system 140, or process chemistry from the process chemistry supply system 130, or a combination thereof in a processing space 112. Additionally, processing chamber 110 can include an upper chamber assembly 114, and a lower chamber assembly 115.
  • The upper chamber assembly 114 can comprise a heater (not shown) for heating the processing chamber 110, the substrate 105, or the processing fluid, or a combination of two or more thereof. Alternately, a heater is not required. Additionally, the upper chamber assembly 114 can include flow components for flowing a processing fluid through the processing chamber 110. In one embodiment, the high pressure fluid is introduced to the processing chamber 110 through a ceiling formed in the upper chamber assembly 112 and located above substrate 105 through one or more inlets located above a substantially center portion of substrate 105. The high pressure fluid flows radially outward across an upper surface of substrate 105 beyond a peripheral edge of substrate 105, and discharges through one or more outlets, wherein the spacing between the upper surface of substrate 105 and the ceiling decreases with radial position from proximate the substantially center portion of substrate 105 to the peripheral edge of substrate 105.
  • The lower chamber assembly 115 can include a platen 116 configured to support substrate 105 and a drive mechanism 118 for translating the platen 116 in order to load and unload substrate 105, and sealing lower chamber assembly 115 with upper chamber assembly 114. The platen 116 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105. For example, the platen 116 can include one or more heater rods configured to elevate the temperature of the platen to approximately 31 degrees C. or greater. Additionally, the lower assembly 115 can include a lift pin assembly for displacing the substrate 105 from the upper surface of the platen 116 during substrate loading and unloading.
  • Additionally, controller 150 includes a temperature control system coupled to one or more of the processing chamber 110, the fluid flow system 120 (or recirculation system), the platen 116, the high pressure fluid supply system 140, or the process chemistry supply system 130. The temperature control system is coupled to heating elements embedded in one or more of these systems, and configured to elevate the temperature of the supercritical fluid to approximately 31 degrees C. or greater. The heating elements can, for example, include resistive heating elements.
  • A transfer system (not shown) can be used to move a substrate into and out of the processing chamber 110 through a slot (not shown). In one example, the slot can be opened and closed by moving the platen 116, and in another example, the slot can be controlled using an on-off valve (not shown).
  • The substrate can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof. The semiconductor material can include Si, Ge, Si/Ge, or GaAs. The metallic material can include Cu, Al, Ni, Pb, Ti, and/or Ta. The dielectric material can include silica, silicon dioxide, quartz, aluminum oxide, sapphire, low dielectric constant materials, TEFLON®, and/or polyimide. The ceramic material can include aluminum oxide, silicon carbide, etc.
  • The processing system 100 can further comprise an exhaust control system. The exhaust control system can be coupled to the processing chamber 110, but this is not required. In alternate embodiments, the exhaust control system can be configured differently and coupled differently. The exhaust control system can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Such exhaust control system can be used as an alternative to the recirculation system 120 that is provided to recycle the processing fluid.
  • The processing system 100 can also comprise a pressure control system (not shown). The pressure control system can be coupled to the processing chamber 110, but this is not required. In alternate embodiments, the pressure control system can be configured differently and coupled differently. The pressure control system can include one or more pressure valves (not shown) for exhausting the processing chamber 110 and/or for regulating the pressure within the processing chamber 110. Alternately, the pressure control system can also include one or more pumps (not shown). For example, one pump may be used to increase the pressure within the processing chamber, and another pump may be used to evacuate the processing chamber 110. In another embodiment, the pressure control system can comprise seals for sealing the processing chamber. In addition, the pressure control system can comprise an elevator for raising and lowering the substrate 105 and/or the platen 116.
  • The platen 116 includes a vacuum chuck for clamping the wafer to the platen. The vacuum chuck is coupled to a vacuum clamping system 160 which maintains a controlled clamping pressure differential for holding the wafer to the platen 116.
  • FIGS. 1A-1H are schematic diagrams showing the vacuum clamping system 160 in various stages of operation, including the valve and check valve scheme that creates a pressure bias across the wafer under all processing conditions. The system 160 includes a chamber exit valve assembly 170 that controls flow of the processing fluid from the processing space 112 within the chamber 110 into line 120, an entry valve assembly that controls the flow of processing fluid from the line 120 into the processing space 112 within the chamber 110, and a platen pressure regulating assembly 190 that controls the pressure of gas under the wafer 105 on the platen 116 in relation to the pressure in the processing space 112 within the chamber 110.
  • FIGS. 1A through 1H show the sequence, in order, of processing a single wafer 105. The pressures picked are for illustration purposes, and can be of any range of pressures. The relationship of the opening values of the check valves produces the operation scheme of this invention.
  • FIG. 1A shows the tool 100 in an idle configuration. A wafer 105 has been placed in the processing chamber 110. Gages 102, 172, 182 and 192 are shown on each leg of the tool 100 for illustrating pressures in each leg. Additional valving and components are anticipated, such as safety relief devices, but are not shown for simplicity of illustration. They are not critical to the basis of this invention, and have been omitted.
  • As shown in FIG. 1A, chamber 110 includes an inlet port 106 and an exhaust port 108 for flow of the processing fluid through the chamber 110. Clean, fresh processing fluid enters the chamber 110 through the inlet port 106 from a fluid entry line 124 and fluid carrying material cleaned or otherwise removed from the substrate 105 exits the chamber 110 through exhaust port 108 into exhaust line 126. The fluid may or may not be recirculated from line 126 to line 124 through system 120, via the fluid flow line 122, which cleans and reconditions the fluid.
  • The chamber exit valve assembly 170 in line 126 includes a check valve 174 and on-off valve 176 connected in series in line 126. The valve assembly 170 includes a bypass valve 178 in parallel to the check and on-off valves 174 and 176. A control fluid vent tap 179 is located in line 126 between the check valve 174 and the on-off valve 176. The chamber inlet valve assembly 180 in line 124 includes an on-off valve 184 in line 124. The assembly 180 may optionally include an on-off valve 186 connected in line 124 between the valve 184 and the chamber inlet port 106. A control fluid supply tap 189 is located in line 124 between the on-off valves 184 and 186.
  • The platen pressure regulating assembly 190 includes a control fluid line 191 connected between the vent tap 179 and the supply tap 189. In control fluid line 191 are connected an exit check valve 193 and an inlet check valve 194. Gage 172 is connected to a portion 177 of the line 191 between the tap 179 and valve 193 to indicate the pressure out of the regulating assembly 190, and the gage 182 is connected to line 191 between the valve 194 and the supply tap 189 to indicate the pressure into the regulating assembly 190. Vacuum ports 117 in the platen 116 beneath the wafer 105 are maintained at a clamping pressure that, while not necessarily at a vacuum relative to standard atmospheric pressure, are nonetheless at a pressure below the pressure of the processing space 112 within the chamber 110 during operation. The ports 117 are connected to a center section 199 of control line 191 between check valves 193 and 194. The gage 192 is connected to this line to indicate the platen clamping pressure. Gas into and out of the control line 191 at this central portion 199 flows through on-off valve 195, to which is a vacuum pump 196. To the line between the valve 195 and the pump 196 is connected a blow off gas supply 197 through an on-off valve 198.
  • FIG. 1A illustrates the system in the idle state in which valve 184 is closed. At this time, upstream pressure might be 3,000 psi, for example. Valve 186, which is open, is optional and can be used to isolate the chamber volume from the inlet line and to prevent backflow and contamination of the inlet line when the system is disconnected, for example to add an additional component to the process layout. At this time, the chamber 110 is at atmospheric (atm) pressure, as measured at gage 102. The wafer 105 is depicted over the vacuum ports 117 of the platen 116. The ports 117, while positioned to suck the wafer down against the platen, are nonetheless at atmospheric pressure at start up.
  • The check valve 174 is a spring check valve having a spring pressure chosen at 100 psi for this example. It operates as a pressure relief valve that permits fluid to flow through it only in a forward direction and only when a pressure gradient of at least 100 psi is present across the valve in the forward direction. As such, the gas pressure in the chamber must build up to over 100 psi for any of it to flow past the check valve 174 and out to the open on-off vent valve 176. Vent on-off valve 178 is employed to bleed off the chamber pressure that is retained by the spring rating of the check valve 174 (100 psi) to more fully depressurize the chamber 110.
  • Exit check valve 193 is a low pressure check valve and controls clamping pressure during a decompression sequence. A small pressure value is chosen for this check valve (for example, 10 psi). It also operates as a pressure relief valve as valve 174 described above. The check valve 193 causes the pressure in lines connected to the wafer vacuum ports 117 in the platen 116 to be, at most, slightly more than 10 psi above that at the return port 179, which is, at most, slightly more than 100 psi below that of the processing space within the chamber 10.
  • Inlet check valve 194 and the valve 195 isolate the vacuum pump 196. Valve 197 controls very low pressure gas, nitrogen in this example, to break any vacuum in the lines and allow the wafer 105 to release from the surface of the platen 116. The vacuum pump 196 generates vacuum on the backside of the wafer 105, when necessary.
  • FIG. 1B shows the system 100 at the start of a chamber fill sequence. The vacuum pump 196 is operating, and valve 195 is open allowing vacuum, below atmospheric pressure, to be applied to the backside of the wafer 105 to hold it in place on the platen 116. Valve 198 is closed. Check valve 193 and check valve 194 do not allow flow under this condition. A vacuum level of, for example, only −11 psig, which appears at line 199 and at the ports 117 in the platen 116, will be shown at gage 192. A higher vacuum is generally not required, although is possible up to approximately −14.7 psig. The pump 196 and valves 195 and 198 are controlled by signals from the controller 150, as are the other valves and components described below. The gas flowing through line 124 may be a neutral gas such as argon, or nitrogen if the chemistry allows, or may be a processing fluid in the gaseous state, for example carbon dioxide.
  • Valve 195 is closed after vacuum has been established on the backside of the wafer 105. When pressure begins to increase in inlet line 124, for example with 100 psig being read at gage 182, and continues through valve 186 and into the chamber 110, the difference between topside and backside pressure on the wafer 105 might then be 100 psi+11 psi, or 111 psi for retaining the wafer 105 against the surface of the platen 116. The fluid pressure does not yet flow past the exit check valve 174 and has not yet filled the line 177 to the low pressure check valve 193. Vent valves 176 and 178 are closed at this time.
  • FIG. 1C shows a further step during the fill sequence. When the pressure in inlet line 124 exceeds 200 psig, inlet check valve 194, which operates as a pressure relief valve, begins to open so that, when 300 psig of fill gas has built up past valve 184 and valve 186, as indicated on gage 182, and into the chamber 110, as indicated on gage 102, inlet check valve 194 has allowed 100 psig to reach line 199 to ports 117 behind the wafer 105, as indicated on gage 192. The holding load on the wafer 105 would then be 300 psi−100 psi, or 200 psi. Exit check valve 174 will have allowed 200 psi to flow by, which forces low pressure check valve 193 to stay closed, as the pressure on line 177 will be greater than that on line 199, as indicated on gages 172 and 192, respectively.
  • FIG. 1D shows the filled state of the chamber 110 at maximum or operating pressure. Valve 184 can now be closed. Optional isolation valve 186 can also be closed at this time. When 3,000 psig is present in the fill line 124, as indicated on gage 182, 3,000 psig will also be present in the chamber 110, as indicated on gage 102. At this point, fluid from the chamber 110 will have filled the line 126 and filled past the exit check valve 174 and line 177 to the low pressure check valve 193 to a pressure of 2,900 psig, as indicated at gage 172. Low pressure check valve 193 will remain closed, as 2,800 psig will be present in line 199, as indicated on gage 192, which has been filled from line 124 through the 200 psi drop of inlet check valve 194. This pressure of 2,800 psig is communicated to ports 117 behind the wafer 105, so that the total clamping pressure on the wafer 105 is now 3,000 psi−2,800 psi, or 200 psi. This is the same as during the early filling stage when the chamber pressure was at only 300 psig. The value of the inlet check valve 194 regulates the pressure bias across the wafer 105 during chamber fill and filled conditions.
  • FIG. 1E shows the state of the system 100 during the initial period when the chamber 110 is being vented and the pressure of the fluid therein is being reduced. The venting to half process pressure of 1,500 psig is shown, as indicated on gage 102 and gage 182. For the venting of the chamber 110, vent valve 176 is opened to allow flow through line 126 into line 122 to depressurize the process chamber 110. The line after the exit check valve 174 will be at 100 psi less in pressure than the chamber 110, or 1,400 psig as indicated on gage 172, due to the value chosen for the exit check valve 174. The low pressure check valve 193 will hold back that line pressure plus the differential pressure value determined by its spring or setting, which, in the example shown, is 10 psi. Therefore, the pressure in the line 199 and in the ports 117 behind the wafer 105 is 1,400 psi+10 psi, or 1,410 psi, as indicated on gage 192. The holding load on the wafer is 1,500 psi−1,410 psi, or 90 psi. This value can be changed by changing the value of the spring of exit check valve 174, or by changing the rating on the low pressure check valve 193. Note that the inlet check valve 194 will not allow fluid to fill into the line 199 behind the wafer, because the pressure drop between line 124 and line 199 is less than the value of the 200 psi spring setting of inlet check valve 194.
  • FIG. 1F shows the system 100 nearly completely depressurized. Only the residual pressure in the chamber 110 and fill line 124 remains at a level equal to the spring value setting of exit check valve 174, or 100 psig in this example, as valve 176 remains open, but valve 184 can have been closed. At 100 psig in chamber 110, no more fluid will flow out of exhaust port 108 as check valve 174 will close. Atmospheric pressure, or about 0 psig, can be indicated on gage 172. At this time, the valve 195 can start to open to evacuate the line to the backside of the wafer 105 and to keep some wafer holding pressure differential on the wafer 105 to hold the wafer 105 to the platen 116. Opening valve 195 when the chamber is at 100 psig, as indicated on gage 102, keeps the wafer holding pressure initially at 100 psi−10 psi, or still 90 psi, due to the setting of check valve 193. This holding pressure can be increased by vacuum applied to the backside of the wafer (100 psi+11 psi=11 psi max) by operating pump 196.
  • FIG. 1G shows the system 100 with the chamber 110 in a fully vented state. This is achieved by opening vent valve 178 to bypass exit check valve 174 and allowing the pressure in the chamber 110 to drop to atmospheric pressure with the fluid flowing from the chamber 110 to line 126. The vacuum pump 196 remains on during this final evacuation so that the backside pressure, as indicated on gage 192, remains negative relative to that of the chamber 110, as indicated on gage 102. The backside pressure will, for example, have decreased to −11 psig. The optional chamber isolation valve 186, if provided, may or may not be open. If closed, it can trap the final 100 psig in the line 124; if open or absent, the line 124 will have bled down to atmospheric pressure along with the chamber 110. The wafer holding pressure will now be just the difference between atmospheric pressure and the level of vacuum produced by the vacuum pump 196. In this example, the holding pressure differential is 11 psi.
  • FIG. 1H shows the status of the system 100 during wafer removal. Due to the high polish on the wafer 105 and the wafer holding surface of the platen 116, a wafer 105 might tend to stick to the surface of the platen 116 and be difficult to lift off. A gentle flow of gas from the ports 117 in the platen 116 may be used to break the vacuum seal and allow the wafer 105 to be lifted off the surface of the platen 116 by lift pins or other mechanisms (not shown). For this, the vacuum pump 196 is turned off and a blow off valve, valve 198 is opened, with valve 195 remaining open. This provides a path applying gas, such as nitrogen or another gas compatible with the process, to flow from a supply at low pressure, for example 2 psig, to the ports 117 at the backside of the wafer 105.
  • It is anticipated that the process implementing the pressure sequences described above for wafer backside pressure control can be attained by complex electronic pressure regulation with hardware/software interfaces. Use of the hardware features described above, however, provides advantages by eliminating drawbacks of an electronic system, which include the cost, which can easily be 10 times higher, the time and effort required for setup and calibration, as well as the reliability issues with electronic components and software, which may make such components impractical to implement such a system.
  • While the mechanical embodiments described above include primarily vacuum chucking systems that apply the processing fluid itself behind the wafer on the platen, the processing fluid can instead be used as a pilot or control fluid that operates one or more pilot controlled fluid valves to communicate another fluid, for example an inert gas, behind the wafer.
  • Further, the processing chamber 110 can alternatively be configured as described in pending U.S. patent application Ser. No. 09/912,844 (U.S. Patent Application Publication No. 2002/0046707 A1), entitled “High pressure processing chamber for semiconductor substrates”, filed on Jul. 24, 2001, which is incorporated herein by reference in its entirety.
  • Pressure biased wafer holding of a semiconductor wafer has been described above for use in high pressure processing while preventing the adverse effects of high pressure biases. Controller controlled pressure to the backside of the supported substrate can be used to confine the pressure gradient that holds a wafer to the platen to a specified range between a maximum and minimum clamping pressure. A valve arrangement that reduces or limits the holding load on a wafer, as described in the illustrated embodiments, is particularly advantageous. Check valves and on-off valves, connected to input and output lines to the chamber, bias fluid applied to a wafer supporting platen to vary the backside pressure so that the excess of frontside pressure versus backside pressure on the wafer is kept within an effective clamping range without excessive force being applied across the wafer. Use of fluid-mechanical techniques is maximized in certain described embodiments to provide particular reliability and other advantages over electronic control systems.
  • While the illustrated embodiments include valves located in fluid flow lines outside of the processing chamber, many of the advantages of a fluid mechanical system can be provided by substituting fluid flow paths in the platen itself or otherwise in the chamber that provide for attenuated flow of fluid from the chamber to behind substrate on the platen so as to develop a clamping pressure gradient within the desired pressure range.
  • Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (20)

1. A processing system for treating a substrate comprising:
a processing chamber configured to treat said substrate therein with a high pressure fluid;
a platen coupled to said processing chamber and configured to support said substrate;
a fluid supply system;
a fluid flow system coupled to said fluid supply system and said chamber and configured to flow said fluid through said processing chamber over said substrate;
a chuck coupled to said platen and configured to hold said substrate against said platen by a pressure gradient between said high pressure fluid and said platen; and
said chuck including means responsive to the pressure of said fluid in said chamber for limiting the magnitude of said pressure gradient.
2. The processing system of claim 1, wherein said means for limiting includes means for limiting said pressure gradient to less than the pressure difference between the pressure of said fluid in said chamber and atmosphere.
3. The processing system of claim 1, wherein said means for limiting includes means for increasing the pressure of fluid between the substrate and the platen in accordance with increases of the pressure of fluid in the chamber.
4. The processing system of claim 1, wherein said means for limiting includes one or more pressure regulated check valves connected between ports to the backside of the platen to processing fluid inlet or outlet ports of the chamber.
5. The processing system of claim 4, wherein said one or more check valves have bias pressure settings corresponding to the magnitude of said pressure gradient.
6. The processing system of claim 4, further comprising controller operated on-off valves having open and closed positions and coupled to said check valves and said chamber.
7. The processing system of claim 1, wherein a temperature of said high pressure fluid ranges from approximately 31 degrees C. to 350 degrees C.
8. The processing system of claim 1, wherein a pressure of said high pressure fluid ranges from approximately 1,070 psi to approximately 10,000 psi.
9. The processing system of claim 1, wherein said supercritical fluid includes supercritical carbon dioxide (CO2).
10. The processing system of claim 1, wherein said means includes a valve connected to the inlet of the chamber to regulate the pressure to a limited gradient below the chamber pressure during fill and filled conditions.
11. A vacuum chuck assembly for a high pressure fluid processing system for processing a substrate in a chamber, the assembly comprising:
a platen having a wafer supporting surface and configured to support said substrate on said surface for high pressure fluid processing;
one or more fluid channels in said platen coupled to said wafer supporting surface;
a clamping fluid control system including a clamping fluid line, a first pressure-limiting valve, a second pressure-limiting valve and a third pressure-limiting valve;
said clamping fluid line being:
coupled to said channels,
coupled to the outlet of a first pressure-limiting valve that is operable to maintain the pressure in said clamping fluid line to not less than a first maximum pressure gradient less than the pressure in said chamber, and
coupled to the inlet of a second pressure-limiting valve that is operable to maintain the pressure in said clamping fluid line to not more than a second maximum pressure gradient more than the pressure to an exhaust line that is coupled to said chamber; and
said third pressure-limiting valve:
having an inlet coupled to said chamber,
having an outlet coupled to said exhaust line, and
being operable to maintain the pressure in said exhaust line at a pressure that is not less than a third maximum pressure gradient less than the pressure in said chamber, said third maximum pressure gradient being greater than said second maximum pressure gradient.
12. The vacuum chuck assembly of claim 11 wherein:
said first maximum pressure gradient is greater than the difference between said third maximum pressure gradient and said second maximum pressure gradient.
13. The vacuum chuck assembly of claim 11 wherein:
said first maximum pressure gradient is not more than a maximum clamping pressure by which said chuck holds said substrate against said platen.
14. The vacuum chuck assembly of claim 11 wherein:
said third maximum pressure gradient is less than said second maximum pressure gradient by at least a minimum clamping pressure by which said chuck holds said substrate against said platen.
15. The vacuum chuck assembly of claim 11 further comprising:
a vacuum pump connected to said clamping fluid line to maintain a minimum clamping pressure by which said chuck holds said substrate against said platen.
16. The vacuum chuck assembly of claim 11 wherein:
said first maximum pressure gradient is greater than the difference between said third maximum pressure gradient and said second maximum pressure gradient;
said first maximum pressure gradient is not more than a maximum clamping pressure by which said chuck holds said substrate against said platen;
said third maximum pressure gradient is less than said second maximum pressure gradient by at least a minimum clamping pressure by which said chuck holds said substrate against said platen; and
said assembly further comprises a vacuum pump connected to said clamping fluid line to maintain said minimum clamping pressure.
17. A method of controlling fluid clamping pressure to the backside of a substrate on a platen of a pressure biased wafer holder in a high pressure processing chamber, the method comprising:
filling the processing chamber with processing fluid to a high processing pressure;
applying a clamping fluid to the backside of a substrate on a platen in said processing chamber at a backside pressure that is responsive to frontside pressure exerted by said fluid on said substrate, such that said backside pressure is less than the frontside pressure by not more than a maximum clamping pressure gradient.
18. The method of claim 17 further comprising:
maintaining said backside pressure at not less than a minimum clamping pressure gradient below said frontside pressure.
19. The method of claim 18 wherein:
said filling includes applying said clamping fluid to said platen from fluid being supplied to said processing chamber through a biased check valve that is set to establish said maximum clamping pressure gradient; and
said maintaining includes removing said fluid from said chamber and said clamping fluid from said platen through one or more biased check valves that are set to establish said minimum clamping pressure gradient.
20. The method of claim 17 wherein:
said filling includes applying said clamping fluid to said platen from fluid being supplied to said processing chamber through a biased check valve that is set to establish said maximum clamping pressure gradient.
US11/021,194 2004-12-22 2004-12-22 Method and apparatus for clamping a substrate in a high pressure processing system Abandoned US20060135047A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/021,194 US20060135047A1 (en) 2004-12-22 2004-12-22 Method and apparatus for clamping a substrate in a high pressure processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/021,194 US20060135047A1 (en) 2004-12-22 2004-12-22 Method and apparatus for clamping a substrate in a high pressure processing system

Publications (1)

Publication Number Publication Date
US20060135047A1 true US20060135047A1 (en) 2006-06-22

Family

ID=36596606

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/021,194 Abandoned US20060135047A1 (en) 2004-12-22 2004-12-22 Method and apparatus for clamping a substrate in a high pressure processing system

Country Status (1)

Country Link
US (1) US20060135047A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060185593A1 (en) * 2005-02-22 2006-08-24 Choi Ji-Young Chemical vapor deposition system and method of exhausting gas from the system
JP2013198953A (en) * 2012-03-23 2013-10-03 Disco Corp Workpiece separation method in suction holding means
US20140220864A1 (en) * 2013-02-05 2014-08-07 Ebara Corporation Polishing apparatus
US20150117135A1 (en) * 2013-10-29 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Slurry feed system and method of providing slurry to chemical mechanical planarization station
US20150162221A1 (en) * 2006-08-07 2015-06-11 Hyo-san Lee Apparatus for treating wafers using supercritical fluid
JP2015222778A (en) * 2014-05-23 2015-12-10 キヤノン株式会社 Holding device lithographic apparatus, and method of manufacturing article
US20170294333A1 (en) * 2016-04-08 2017-10-12 Applied Materials, Inc. Vacuum chuck pressure control system
US20190067042A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10283344B2 (en) * 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
WO2023013435A1 (en) * 2021-08-05 2023-02-09 東京エレクトロン株式会社 Substrate processing method and substrate processing device

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US4825808A (en) * 1986-12-19 1989-05-02 Anelva Corporation Substrate processing apparatus
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5011542A (en) * 1987-08-01 1991-04-30 Peter Weil Method and apparatus for treating objects in a closed vessel with a solvent
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5188515A (en) * 1990-06-08 1993-02-23 Lewa Herbert Ott Gmbh & Co. Diaphragm for an hydraulically driven diaphragm pump
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5191993A (en) * 1991-03-04 1993-03-09 Xorella Ag Device for the shifting and tilting of a vessel closure
US5193560A (en) * 1989-01-30 1993-03-16 Kabushiki Kaisha Tiyoda Sisakusho Cleaning system using a solvent
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5215592A (en) * 1989-04-03 1993-06-01 Hughes Aircraft Company Dense fluid photochemical process for substrate treatment
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
US5222876A (en) * 1990-10-08 1993-06-29 Dirk Budde Double diaphragm pump
US5280693A (en) * 1991-10-14 1994-01-25 Krones Ag Hermann Kronseder Maschinenfabrik Vessel closure machine
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5403621A (en) * 1991-12-12 1995-04-04 Hughes Aircraft Company Coating process using dense phase gas
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5412958A (en) * 1992-07-13 1995-05-09 The Clorox Company Liquid/supercritical carbon dioxide/dry cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5494526A (en) * 1994-04-08 1996-02-27 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquified gases
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5503176A (en) * 1989-11-13 1996-04-02 Cmb Industries, Inc. Backflow preventor with adjustable cutflow direction
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5621982A (en) * 1992-07-29 1997-04-22 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers and its equipments
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5898727A (en) * 1996-04-26 1999-04-27 Kabushiki Kaisha Kobe Seiko Sho High-temperature high-pressure gas processing apparatus
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
US5900107A (en) * 1995-01-09 1999-05-04 Essef Corporation Fitting installation process and apparatus for a molded plastic vessel
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6035871A (en) * 1997-03-18 2000-03-14 Frontec Incorporated Apparatus for producing semiconductors and other devices and cleaning apparatus
US6053348A (en) * 1996-05-01 2000-04-25 Morch; Leo Pivotable and sealable cap assembly for opening in a large container
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6186722B1 (en) * 1997-02-26 2001-02-13 Fujitsu Limited Chamber apparatus for processing semiconductor devices
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6216364B1 (en) * 1998-04-14 2001-04-17 Kaijo Corporation Method and apparatus for drying washed objects
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6389677B1 (en) * 1999-03-30 2002-05-21 Lam Research Corporation Perimeter wafer lifting
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US6541278B2 (en) * 1999-01-27 2003-04-01 Matsushita Electric Industrial Co., Ltd. Method of forming film for semiconductor device with supercritical fluid
US6546946B2 (en) * 2000-09-07 2003-04-15 United Dominion Industries, Inc. Short-length reduced-pressure backflow preventor
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US6561213B2 (en) * 2000-07-24 2003-05-13 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
US4245154A (en) * 1977-09-24 1981-01-13 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for treatment with gas plasma
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4825808A (en) * 1986-12-19 1989-05-02 Anelva Corporation Substrate processing apparatus
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
US5011542A (en) * 1987-08-01 1991-04-30 Peter Weil Method and apparatus for treating objects in a closed vessel with a solvent
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5304515A (en) * 1988-07-26 1994-04-19 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on substrate
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5193560A (en) * 1989-01-30 1993-03-16 Kabushiki Kaisha Tiyoda Sisakusho Cleaning system using a solvent
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5215592A (en) * 1989-04-03 1993-06-01 Hughes Aircraft Company Dense fluid photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5503176A (en) * 1989-11-13 1996-04-02 Cmb Industries, Inc. Backflow preventor with adjustable cutflow direction
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5500081A (en) * 1990-05-15 1996-03-19 Bergman; Eric J. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5188515A (en) * 1990-06-08 1993-02-23 Lewa Herbert Ott Gmbh & Co. Diaphragm for an hydraulically driven diaphragm pump
US5222876A (en) * 1990-10-08 1993-06-29 Dirk Budde Double diaphragm pump
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5191993A (en) * 1991-03-04 1993-03-09 Xorella Ag Device for the shifting and tilting of a vessel closure
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5280693A (en) * 1991-10-14 1994-01-25 Krones Ag Hermann Kronseder Maschinenfabrik Vessel closure machine
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
US5403621A (en) * 1991-12-12 1995-04-04 Hughes Aircraft Company Coating process using dense phase gas
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5412958A (en) * 1992-07-13 1995-05-09 The Clorox Company Liquid/supercritical carbon dioxide/dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5621982A (en) * 1992-07-29 1997-04-22 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers and its equipments
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5494526A (en) * 1994-04-08 1996-02-27 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquified gases
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5900107A (en) * 1995-01-09 1999-05-04 Essef Corporation Fitting installation process and apparatus for a molded plastic vessel
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US6024801A (en) * 1995-05-31 2000-02-15 Texas Instruments Incorporated Method of cleaning and treating a semiconductor device including a micromechanical device
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US5898727A (en) * 1996-04-26 1999-04-27 Kabushiki Kaisha Kobe Seiko Sho High-temperature high-pressure gas processing apparatus
US6053348A (en) * 1996-05-01 2000-04-25 Morch; Leo Pivotable and sealable cap assembly for opening in a large container
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6186722B1 (en) * 1997-02-26 2001-02-13 Fujitsu Limited Chamber apparatus for processing semiconductor devices
US6035871A (en) * 1997-03-18 2000-03-14 Frontec Incorporated Apparatus for producing semiconductors and other devices and cleaning apparatus
US6509141B2 (en) * 1997-05-27 2003-01-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
US6029371A (en) * 1997-09-17 2000-02-29 Tokyo Electron Limited Drying treatment method and apparatus
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6216364B1 (en) * 1998-04-14 2001-04-17 Kaijo Corporation Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
US6541278B2 (en) * 1999-01-27 2003-04-01 Matsushita Electric Industrial Co., Ltd. Method of forming film for semiconductor device with supercritical fluid
US6389677B1 (en) * 1999-03-30 2002-05-21 Lam Research Corporation Perimeter wafer lifting
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6561213B2 (en) * 2000-07-24 2003-05-13 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
US6546946B2 (en) * 2000-09-07 2003-04-15 United Dominion Industries, Inc. Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060185593A1 (en) * 2005-02-22 2006-08-24 Choi Ji-Young Chemical vapor deposition system and method of exhausting gas from the system
US9754806B2 (en) * 2006-08-07 2017-09-05 Samsung Electronics Co., Ltd. Apparatus for treating wafers using supercritical fluid
US20150162221A1 (en) * 2006-08-07 2015-06-11 Hyo-san Lee Apparatus for treating wafers using supercritical fluid
JP2013198953A (en) * 2012-03-23 2013-10-03 Disco Corp Workpiece separation method in suction holding means
US9211629B2 (en) * 2013-02-05 2015-12-15 Ebara Corporation Polishing apparatus
US20140220864A1 (en) * 2013-02-05 2014-08-07 Ebara Corporation Polishing apparatus
US20150117135A1 (en) * 2013-10-29 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Slurry feed system and method of providing slurry to chemical mechanical planarization station
US10814455B2 (en) 2013-10-29 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd Slurry feed system and method of providing slurry to chemical mechanical planarization station
US9744642B2 (en) * 2013-10-29 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Slurry feed system and method of providing slurry to chemical mechanical planarization station
JP2015222778A (en) * 2014-05-23 2015-12-10 キヤノン株式会社 Holding device lithographic apparatus, and method of manufacturing article
US10283344B2 (en) * 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
US20170294333A1 (en) * 2016-04-08 2017-10-12 Applied Materials, Inc. Vacuum chuck pressure control system
US11694919B2 (en) * 2016-04-08 2023-07-04 Applied Materials, Inc. Vacuum chuck pressure control system
US20190067042A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10867812B2 (en) * 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US11443959B2 (en) 2017-08-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
WO2023013435A1 (en) * 2021-08-05 2023-02-09 東京エレクトロン株式会社 Substrate processing method and substrate processing device

Similar Documents

Publication Publication Date Title
US7291565B2 (en) Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7435447B2 (en) Method and system for determining flow conditions in a high pressure processing system
US6306564B1 (en) Removal of resist or residue from semiconductors using supercritical carbon dioxide
JP2006179913A (en) Method and apparatus for allowing supercritical fluid to flow in high pressure processing system
US20060102208A1 (en) System for removing a residue from a substrate using supercritical carbon dioxide processing
US20020014257A1 (en) Supercritical fluid cleaning process for precision surfaces
JP4848376B2 (en) Supercritical fluid homogenization method and system for high pressure processing system
US20060180572A1 (en) Removal of post etch residue for a substrate with open metal surfaces
US20060102204A1 (en) Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060135047A1 (en) Method and apparatus for clamping a substrate in a high pressure processing system
US7524383B2 (en) Method and system for passivating a processing chamber
WO2006039317A1 (en) Supercritical fluid processing system having a coating on internal members and a method of using
US20060180174A1 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
JP2006140463A (en) Method and system of processing substrate using supercritical fluid
US7582181B2 (en) Method and system for controlling a velocity field of a supercritical fluid in a processing system
US20060255012A1 (en) Removal of particles from substrate surfaces using supercritical processing
JP5252918B2 (en) Method and system for injecting chemicals into a supercritical fluid
US7140393B2 (en) Non-contact shuttle valve for flow diversion in high pressure systems
US7491036B2 (en) Method and system for cooling a pump
US7434590B2 (en) Method and apparatus for clamping a substrate in a high pressure processing system
US20060102590A1 (en) Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
JP4842771B2 (en) Processing system, processing method, and recording medium
WO2007005197A2 (en) Removal of residues for low-k dielectric materials in wafer processing
US20060134332A1 (en) Precompressed coating of internal members in a supercritical fluid processing system
US20210287919A1 (en) System and Methods for Wafer Drying

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SHEYDAYI, ALEXEI;REEL/FRAME:016184/0837

Effective date: 20041222

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE