US20060175015A1 - Etch chamber with dual frequency biasing sources and a single frequency plasma generating source - Google Patents

Etch chamber with dual frequency biasing sources and a single frequency plasma generating source Download PDF

Info

Publication number
US20060175015A1
US20060175015A1 US11/376,430 US37643006A US2006175015A1 US 20060175015 A1 US20060175015 A1 US 20060175015A1 US 37643006 A US37643006 A US 37643006A US 2006175015 A1 US2006175015 A1 US 2006175015A1
Authority
US
United States
Prior art keywords
plasma
reactor
sources
chamber
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/376,430
Inventor
Jin-Yuan Chen
Frank Hooshdaran
Dragan Podlesnik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/376,430 priority Critical patent/US20060175015A1/en
Publication of US20060175015A1 publication Critical patent/US20060175015A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Definitions

  • Embodiments of the invention generally relate to semiconductor wafer processing, and more particularly, to etch and plasma related integrated circuit manufacturing processes and related hardware.
  • Semiconductor fabrication wafer process chambers employing plasma to perform etching and deposition processes utilize various techniques to control plasma density and acceleration of plasma components.
  • magnetically-enhanced plasma chambers employ magnetic fields to increase the density of charged particles in the plasma, thereby further increasing the rate of plasma-enhanced deposition and etching processes.
  • Increasing the process rate is highly advantageous because the cost of fabricating semiconductor devices is proportional to the time required for fabrication.
  • a plasma-enhanced process such as a reactive ion etch process
  • material on the wafer is removed in specific areas to subsequently form the components/features of the devices (e.g., transistors, capacitors, conductive lines, vias, and the like) on the wafer.
  • a mask is formed over areas of the wafer that are to be protected from the etching process.
  • Uniformity of the etching rate across the wafer during the entire etch process is very important for ensuring that features are etched with precision at any location on the wafer.
  • the uniformity of the etching process is related to the ability to control the plasma throughout the etch process.
  • U.S. Pat. No. 6,354,240 includes disposing magnets around the reactor chamber to provide a magnetic confinement to sustain a high plasma density in a low pressure environment.
  • the wafer may be exposed to the etchants for a long duration.
  • the etch mask can be completely etched from the wafer surface to leave the surface unprotected. That is, the deep trench processes are limited by the selectivity between the material of the protective mask and the material to be etched, where the higher the selectivity, the deeper the trench may be etched.
  • the present invention provides an etch chamber that is driven with three RF frequencies: one frequency for establishing and maintaining a plasma, and two frequencies for biasing a biasing element (e.g., wafer pedestal).
  • a biasing element e.g., wafer pedestal.
  • triple frequency use provides improved plasma control that increases the process window for an etch process. Enhancing control of plasma density and ion energy improves the coverage of more etching applications and provides a wider window of processing.
  • the present invention provides an apparatus for controlling a plasma in a chamber during wafer processing.
  • the apparatus comprises a biasing element disposed in the chamber and adapted to support a wafer, and a plasma generating element disposed proximate the biasing element.
  • a plasma generating (top) power source is coupled to the plasma generating element, and a bottom (biasing) power source is coupled to the biasing element to provide a modulated signal that modulates the plasma.
  • a method for selectively controlling a plasma in the processing chamber during wafer processing comprises providing process gasses into the chamber over a wafer to be processed, and providing high frequency RF power to the plasma generating element, which ignites the process gases into the plasma.
  • a modulated RF power signal is provided to the biasing element, and wafer processing is performed according to a particular processing recipe.
  • FIG. 1 depicts a cross-sectional view of a first embodiment of a dual frequency bias plasma chamber system
  • FIG. 2 depicts a top cross-sectional view of the plasma chamber system of FIG. 1 ;
  • FIG. 3 depicts a flow diagram of a method for selectively controlling a plasma during wafer processing
  • FIG. 4 depicts a cross-sectional view of a second embodiment of a dual frequency bias plasma chamber system
  • FIGS. 5A-5D depict graphs of exemplary RF waveforms used in the present invention.
  • One application of the present invention provides an apparatus for performing high aspect ratio deep trench etching.
  • a processing chamber is equipped with dual frequency biasing sources and a single frequency plasma generating source.
  • a wafer to be processed is secured on a support pedestal in the chamber.
  • the single frequency plasma generating source is coupled to a plasma generating element disposed over the wafer to be processed, while a pair of biasing sources having different frequencies are coupled to the support pedestal, such that the support pedestal serves as a biasing element.
  • FIG. 1 depicts a cross sectional view of a first embodiment of a dual frequency bias plasma chamber system 100 of the present invention.
  • FIG. 1 depicts an illustrative chamber system (system) 100 that can be used in high aspect ratio trench formation.
  • the system 100 generally comprises a chamber body 102 and a lid assembly 104 that defines an evacuable chamber 106 for performing substrate processing.
  • the system 100 is an MxP type etch system available from Applied Materials, Inc. of Santa Clara, Calif.
  • MxP type etch system available from Applied Materials, Inc. of Santa Clara, Calif.
  • wafer processing systems such as an eMAX type system, a PRODUCER e type system, HOT type system, and an ENABLER type system, among others, all of which are also available from Applied Materials, Inc. of Santa Clara, Calif.
  • the system 100 further comprises a gas panel 160 coupled to the chamber 106 via a plurality of gas lines 159 for providing processing gases, an exhaust stack 164 coupled to the chamber 106 via an exhaust passage 166 for maintaining a vacuum environment and exhausting undesirable gases and contaminants. Additionally, a controller 110 is coupled to the various components of the system 100 to facilitate control of the processes (e.g., deposition and etching processes) within the chamber 106 .
  • a gas panel 160 coupled to the chamber 106 via a plurality of gas lines 159 for providing processing gases
  • an exhaust stack 164 coupled to the chamber 106 via an exhaust passage 166 for maintaining a vacuum environment and exhausting undesirable gases and contaminants.
  • a controller 110 is coupled to the various components of the system 100 to facilitate control of the processes (e.g., deposition and etching processes) within the chamber 106 .
  • the chamber body 102 includes at least one of sidewall 122 and a chamber bottom 108 .
  • the at least one sidewall 122 has a polygon shaped (e.g., octagon or substantially rectangular) outside surface and an annular or cylindrical inner surface.
  • at least one sidewall 122 may be electrically grounded.
  • the chamber body 102 may be fabricated from a non-magnetic metal, such as anodized aluminum, and the like.
  • the chamber body 102 contains a substrate entry port 132 that is selectively sealed by a slit valve (not shown) disposed in the processing platform.
  • a lid assembly 104 is disposed over the sidewalls 122 and defines a processing region 140 within the chamber 106 .
  • the lid assembly 104 generally includes a lid 172 and a plasma generating element (e.g., source or anode electrode) 174 mounted to the bottom of the lid 172 .
  • the lid 172 may be fabricated from a dielectric material such as aluminum oxide (Al 2 O 3 ), or a non-magnetic metal such as anodized aluminum.
  • the plasma generating element 174 is fabricated from a conductive material such as aluminum, stainless steel, and the like.
  • the plasma generating element 174 is coupled to a high frequency RF power source 162 via a matching network 161 .
  • the high frequency power source (top power source) 162 provides RF power in a range between about 100 Watts to 7500 Watts, at a frequency in the range of about 40-180 MHz, and is used to ignite and maintain a plasma from a gas mixture in the chamber 106 .
  • the plasma generating element 174 may be provided with perforations or slits 176 to serve as a gas diffuser. That is, the plasma generating element 174 may also serve as a showerhead, which provides processing gases that, when ignited, forms a plasma in the processing region 140 .
  • the processing gases e.g., CF 4 , Argon (Ar), C 4 F 8 , C 4 F 6 , C 8 F 4 , CHF 3 , Cl 2 , HBr, NF 3 , N 2 , He, O 2 and/or combinations thereof
  • the processing gases e.g., CF 4 , Argon (Ar), C 4 F 8 , C 4 F 6 , C 8 F 4 , CHF 3 , Cl 2 , HBr, NF 3 , N 2 , He, O 2 and/or combinations thereof
  • CF 4 Argon
  • C 4 F 8 C 4 F 6
  • C 8 F 4 CHF 3 , Cl 2 , HBr
  • NF 3 NF
  • a gas distribution ring (not shown) may be coupled to the lid assembly 104 to provide the processing gases into the chamber 106 .
  • the gas distribution ring typically comprises an annular ring made of aluminum or other suitable material having a plurality of ports formed therein for receiving nozzles that are in communication with the gas panel 160 .
  • a substrate support pedestal 120 is disposed within the chamber 106 and seated on the chamber bottom 108 .
  • a substrate i.e., wafer, not shown
  • the substrate support 120 may be a susceptor, a heater, ceramic body, or electrostatic chuck on which the substrate is placed during processing.
  • the substrate support pedestal 120 is adapted to receive an RF bias signal, such that the substrate support pedestal serves as a biasing element (e.g., cathode electrode) with respect to the RF bias signal, as is discussed below in further detail.
  • the substrate support pedestal 120 comprises an electrostatic chuck 124 coupled to an upper surface of a cooling plate 126 .
  • the cooling plate 126 is then coupled to an upper surface of the pedestal base 127 .
  • the electrostatic chuck 124 may be fabricated from a dielectric material e.g., a ceramic such as aluminum nitride (AlN), silicon oxide (SiO), silicon nitride (SiN), sapphire, boron nitride, or it can be a plasma sprayed aluminum nitride, or aluminum oxide material on an anodized aluminum surface, or the like, and is generally shaped as a thin circular puck.
  • a dielectric material e.g., a ceramic such as aluminum nitride (AlN), silicon oxide (SiO), silicon nitride (SiN), sapphire, boron nitride, or it can be a plasma sprayed aluminum nitride, or aluminum oxide material on an anodized
  • the electrostatic chuck 124 may be provided with one or more chucking electrodes 130 .
  • the chucking electrodes 130 are, for example, fabricated from a conductive material, (e.g., tungsten).
  • the chucking electrodes 130 are disposed relatively close to the top surface of the electrostatic chuck 124 . In this way, the chucking electrodes 130 provide the necessary electrostatic force to the backside of a wafer to retain (i.e., chuck) the wafer on the electrostatic chuck 124 .
  • the chucking electrodes 130 may be in any configuration such as a monopolar configuration, bipolar configuration, zoned chucking configuration, or any other configuration suitable to retain the wafer to the chuck 124 .
  • the chucking electrodes 130 are connected to a remote power source, i.e. a high voltage DC (HVDC) power supply 134 , which provides a chucking voltage sufficient to secure the wafer to the chuck 124 .
  • HVDC high voltage DC
  • the cooling plate 126 assists in regulating the temperature of the electrostatic chuck 124 .
  • the cooling plate 126 is fabricated from a material that is a high conductor of RF power, such as molybdenum, a zirconium alloy (e.g., Zr—Hf), a metal matrix composite (e.g., Al—Si—SiC), among others.
  • the materials used to fabricate the cooling plate 126 are selected from a group of materials that will have a thermal expansion coefficient value close to the thermal expansion coefficient value of the electrostatic plate 124 .
  • the cooling plate 126 comprises channels (not shown) formed therein to circulate a coolant to reduce the thermally conducted heat radiated from the wafer and the electrostatic chuck 124 .
  • Additional temperature control may be provided by a heating element embedded in the electrostatic chuck 124 .
  • a backside gas delivery system (not shown) is provided, such that a gas (e.g., helium) is provided between grooves (not shown), which are formed in the top surface of the chuck 124 , and the backside of the wafer.
  • a gas e.g., helium
  • the substrate support pedestal 120 also serves as a biasing electrode (e.g., cathode) for biasing the ionized gases towards the wafer during either a deposition or etching process.
  • a first bias power supply 150 and a second bias power supply 154 are coupled in parallel between the substrate support pedestal 120 and ground via respective matching networks 151 and 155 .
  • the grounded sidewalls 122 and the plasma generating element 174 together define the anode with respect to the biasing element (cathode) in the substrate support pedestal 120 .
  • the first biasing power supply 150 provides RF power in the range of about 10 Watts to 7500 Watts (W), and at a frequency in the range of about 100 KHz to 6 MHz.
  • the second biasing power supply 154 provides RF power in the range of about 10 W to 7500 W, at a frequency in the range of about 4 MHz to 60 MHz, and, for example, at a frequency of 13.56 MHz.
  • the signal from the first bias power supply 150 amplitude modulates the signal from the second bias power supply 154 .
  • a 13.56 MHz signal from the second bias power supply 154 is amplitude modulated with a 2 MHz signal from the first biasing power supply 150 .
  • the power levels of the first and second biasing power supplies 150 and 154 are related to the size of the workpiece being processed. For example, a 300 mm wafer requires greater power consumption than a 200 mm wafer during processing.
  • the chucking electrodes 130 may also function as the biasing element.
  • the first and second bias power supplies 150 and 154 are coupled to the chucking electrode 130 , such that the bias signal (e.g., modulated RF signal) is applied to the electrodes 130 to create a bias voltage.
  • the first and second bias power supplies 150 and 154 are coupled to the cooling plate 126 , which thereby functions as a biasing element.
  • the first and second bias power supplies 150 and 154 may be coupled to a base plate (not shown) disposed below the cooling plate 126 , or to another anode placed within the chuck 124 .
  • the controller 110 may be utilized to control the bias power supplies 150 and 154 , as well as control the high frequency RF power source 162 .
  • the controller 110 controls the power set points of the bias power supplies 150 and 154 to provide either the bias signal or the modulated signal. That is, the controller 110 may be used to control the low RF frequency bias signal (e.g., 2 MHz signal) provided by the first bias power supply 150 , as well as control the intermediate RF frequency bias signal (e.g., 13.56 MHz signal) provided by the second bias power supply 154 .
  • the controller 110 controls the set point of the high frequency RF signal from the high frequency RF power source 162 .
  • the power levels set by the controller 110 for the power sources 150 , 154 , and 162 are related to the size of the wafer being processed (e.g., 200 millimeter (mm) and 300 mm wafers)
  • the two bias input power signals from the bias power supplies 150 and 154 are not modulated until after the formation of the plasma.
  • the plasma acts as a non-linear device, such as a diode, so that the two bias power supplies 150 and 154 may be modulated in the plasma.
  • the degree of modulation depends on the plasma condition, biasing signal power levels, and their respective frequencies.
  • the plasma density and acceleration may be changed in a controlled manner depending on the modulation scheme.
  • the selectivity increases such that the protective mask (e.g., a photoresist mask) has a longer life that allows increased depth and aspect ratio when etching deep trenches (e.g., vias).
  • the use of a modulated bias signal provides an increased process window for many etch processes.
  • FIG. 2 depicts a top cross-sectional view of the plasma chamber system 100 of FIG. 1 .
  • FIG. 2 depicts an embodiment where the plasma chamber system 100 is magnetically enhanced using a DC magnetic field in the processing region 140 between the plasma generating element 174 and the biasing element 120 . That is, the chamber (also referred to as a reactor) employs magnetic fields to increase the density of charged particles in the plasma, thereby further increasing the rate of the plasma enhanced fabrication process.
  • the direction of the magnetic field is traverse with respect to the longitudinal axis of the chamber 106 , that is, traverse to an axis extending between the electrodes 120 and 174 .
  • Various arrangements of permanent magnets or electromagnets are conventionally used to provide such transverse magnetic field.
  • One such arrangement is a first main pair of coils 182 and 183 disposed on opposite sides of the cylindrical chamber side wall 122 , and a second main pair of coils 184 and 185 disposed on opposite sides of the cylindrical chamber side wall 122 .
  • Each pair of opposing main coils 182 - 185 are connected in series and in phase to a DC power supply (not shown), such that they produce transverse (adjacent) magnetic fields, which are additive in the region between the coil pairs.
  • the traverse magnetic field is represented in FIGS. 1 and 2 by the vector “B” oriented along the negative X-axis. Variations on the magnetic fields may also be utilized, such as opposed magnetic fields as used in an etch MxP dielectric chamber, also available from Applied Materials Inc., of Santa Clara, Calif.
  • the controller 110 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the process controller 110 includes a central processing unit (CPU) 112 in electrical communication with a memory 114 and support circuits 116 .
  • the support circuits 116 include various buses, I/O circuitry, power supplies, clock circuits, cache, among other components.
  • the memory 114 may be one or more of readily available memory such as random access memory (RAM) read only memory (ROM), floppy disk, hard disk, or any other form of digital storage that are locally and/or remotely connected.
  • Software routines are stored in memory 114 .
  • the software routines when executed by the CPU 112 , cause the reactor to perform processes of the present invention.
  • the software routines may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 112 .
  • the software routines are executed after the wafer is positioned on the support pedestal 120 .
  • the software routines when executed by the CPU 112 , transform the general-purpose computer into a specific purpose computer (controller) 110 that controls the chamber operations such that the etching process is performed in accordance with the method of the present invention.
  • FIG. 3 depicts a flow diagram of a method 300 for selectively controlling a plasma during wafer processing.
  • the method 300 provides a technique for controlling plasma density and particle acceleration, which allows for greater depth and aspect ratios to be achieved on the wafer during deep trench etching.
  • the method 300 starts at step 302 , where a substrate is loaded, moved into an appropriate processing position over the substrate support pedestal 106 .
  • a process gas is introduced into the chamber 106 via the exemplary showerhead of FIG. 1 or at least one nozzle.
  • the process gas may include Argon (Ar), CF 4 , C 4 F 8 , C 4 F 6 , C 8 F 4 , CHF 3 , Cl 2 , HBr, NF 3 , N 2 , He, O 2 and/or combinations thereof, and are introduced into the chamber 106 at rates of between about 1 sccm to about 2000 sccm.
  • the pressure in the chamber 106 is brought to a desired processing pressure by adjusting a pumping valve (not shown) to pump the gas into the chamber 106 at a desired pressure.
  • the pressure may be between about 1 milliTorr and about 1000 milliTorr.
  • Plasma may be generated via application of the source power by the top power supply 162 between the plasma generating element 174 and ground (e.g., the chamber sidewalls and/or bias element.
  • the top power supply 162 applies the source power between about 100 Watts and about 7500 Watts, at a frequency of about 40 MHz to about 180 MHz, which ignites the process gas or gases introduced into the processing region 140 into a plasma.
  • the gas mixture e.g., Ar
  • the gas is ignited by the RF signal provided by the RF power source 162 to form the plasma.
  • the wafer is then chucked to the substrate support pedestal 120 , and then the other processing gases are provided to the chamber 106 .
  • the method 300 proceeds to step 308 .
  • the bias power supplies 150 and 154 are activated and the biasing element 120 is biased with the modulated bias signal.
  • the biasing element may be formed by coupling the bias power supplies 150 and 154 to the chucking electrode 130 , the cooling plate 126 , cathode base plate, among other components in the substrate support pedestal 120 . It is noted that the order of steps 308 and 310 of method 300 should not be considered as limiting, but rather, may be performed alternately or simultaneously.
  • the intermediate RF bias power source 150 and low RF bias power source 154 are turned on, and the biasing element 120 is biased to between about 10 Watts and about 7500 Watts.
  • the RF signal from the two bias power sources 150 and 154 provide a modulated signal, such that the intermediate frequency signal (e.g., 13.56 MHz) is modulated by the low frequency signal (e.g., 400 KHz to 2 MHz).
  • the intermediate frequency RF source (second biasing power supply) 154 provides a sufficient energy level to accelerate the ions towards the biasing element 120 , such that the particles bombard the wafer during the etching process.
  • the low frequency RF bias source 150 provides a wide energy band that increases the plasma density near the wafer. By increasing the plasma density, more particles are available for bombarding the wafer.
  • the modulated RF waveform provided by the bias power supplies 150 and 154 provides additional control of the energy used to accelerate the ions, as well as control the plasma density in the processing region 140 .
  • the wafer processing procedure (e.g., deep trench etching) is performed according to a particular recipe.
  • the operation of the plasma process may be monitored by a process analysis system (not shown) to determine when the wafer processing has reached an endpoint value and is complete.
  • the plasma generation may be terminated and the wafer removed from the processing chamber for further processing, where the method 300 ends.
  • a deep trench having a width of about 14 micrometers ( ⁇ m) and an aspect ratio of at least about 6:1 may be formed in a silicon wafer by providing the modulated waveform to the plasma during the etch step 312 .
  • process gases such as NF 3 (at a rate of 80 sccm) and HBr (at a rate of 400 sccm) are provided to the reactor chamber 106 .
  • the flow ratio of NF 3 to HBr is about 1:5.
  • the pressure in the reaction chamber 106 is maintained at about 100 to 400 mTorr.
  • the top power supply 162 applies the source power at about 3000 Watts at a frequency of about 60 MHz, which ignites the process gases in the processing region 140 into a plasma.
  • the intermediate RF bias power source 150 is set to provide power in a range of about 2000 to 3000 Watts at a frequency of 13.56 MHz, while the low RF bias power source (e.g., first biasing power supply) 154 provides power in a range of about 2000 to 3000 Watts at a frequency of 2 MHz.
  • the RF signal from the two bias power sources 150 and 154 provide a RF signal modulated by about 10 to 80 percent.
  • FIGS. 5A-5D depict graphs of exemplary RF waveforms used in the present invention.
  • FIG. 5A depicts a 2 MHz biasing signal
  • FIG. 5B depicts a 13.56 MHz biasing signal
  • FIG. 5C depicts a modulated biasing signal.
  • each waveform graph has a y-axis representing magnitude of power, and an x-axis representing frequency.
  • FIG. 5C shows the resultant amplitude modulated continuous wave (CW) signal, where the 13.56 MHz RF signal is modulated by the 2 MHz RF signal.
  • CW amplitude modulated continuous wave
  • FIG. 5D depicts a graph illustrating a modulated pulsed waveform.
  • a square wave is used as a modulating signal, which produces the modulated signal shown in FIG. 5D , where the amplitude of the modulated signal varies in strength as a function of the modulating waveform.
  • the modulated pulsed waveform graph has a y-axis representing magnitude of power, and an x-axis representing time.
  • Each pulse represents modulated power having a pulse peak of about +/ ⁇ 3000 W, and a duty cycle between about 10 to 90 percent.
  • FIG. 5D illustratively shows a 50% duty cycle, however, one skilled in the art will appreciate that the duty cycle may vary depending on the particular recipe used to form the features (e.g., deep trench).
  • the controller 110 controls the pulsed power to the biasing element 120 based on the particular processing recipe requirements.
  • the pulses are repeated during processing to emulate a modulated waveform. It is noted that only one biasing power source (e.g., 150 or 154 ) is necessary to provide the modulated pulsed waveform shown in FIG. 5D .
  • the modulated CW (and pulsed) signal At the peak magnitudes (higher energy levels) of the modulated CW (and pulsed) signal (point A) components of the plasma (e.g., ions) are accelerated toward the wafer, as compared to when the magnitude of the modulated CW signal (and modulated pulsed signal) approaches lower energy levels (point B). Further, the ion energy increases because of the low and medium frequency used for the bias power, as well as modulates as the amplitude modulates.
  • the modulation waveforms are shown and discussed in FIGS. 5A-5D as a sine wave and square wave, those skilled in the art will appreciate that other waveforms may also be modulated onto a carrier signal.
  • FIG. 4 depicts a cross-sectional view of a second embodiment of a dual frequency bias plasma chamber system 400 .
  • This second embodiment may also be used to practice the invention and is illustratively an inductively coupled plasma chamber reactor 400 , such as a DPS-DT reactor, available from Applied Materials Inc., of Santa Clara, Calif.
  • an inductively coupled plasma chamber reactor 400 such as a DPS-DT reactor, available from Applied Materials Inc., of Santa Clara, Calif.
  • the reader is directed to U.S. Pat. Nos. 6,444,085, 6,454,898, 6,444,084, and 6,270,617, which are incorporated herein by reference in their entirety.
  • any etch chamber having a plasma source element and a wafer bias element, where the wafer bias element is capable of being coupled to a modulated bias power may be utilized. That is, those skilled in the art will appreciate that other forms of etch chambers may be used to practice the invention, including chambers with remote plasma sources, microwave plasma chambers, electron
  • the reactor 400 comprises a process chamber 406 having a wafer support pedestal 420 within a conductive body (wall) 422 , and a controller 410 .
  • the wall 422 is supplied with a dome-shaped dielectric ceiling 472 .
  • Other modifications of the chamber 406 may have other types of ceilings, e.g., a flat ceiling.
  • the wall 422 is coupled to an electrical ground.
  • Above the ceiling 472 is disposed an inductive coil antenna 404 .
  • the inductive coil antenna 404 is coupled to a plasma power source 462 , through a first matching network 461 .
  • the inductive coil antenna 404 serves as a plasma generating element, and is disposed as a spiral shaped helicoid around the dome ceiling 472 .
  • a stack or other forms of antennas 404 may be provided over the ceiling 472 .
  • the plasma power source 462 typically is capable of producing power between about 100 Watts and about 7500 Watts, at a frequency of about 2 MHz to about 180 MHz, and in one embodiment, at a frequency of about 2 MHz to 13.56 MHz.
  • the support pedestal (biasing element) 421 which is coupled, through a first matching network 451 , to a first biasing power source 450 , as well as a second matching network 455 , to a second biasing power source 454 .
  • the first and second biasing power supplies 150 and 154 are coupled to a chucking electrode (e.g., monopolar electrode), which is embedded in the support pedestal (chuck) and functions as the biasing element.
  • the first biasing power supply 450 provides RF power in the range of about 10 Watts to 7500 Watts (W), and at a frequency in the range of about 100 KHz to 6 MHz.
  • the second biasing power supply 454 provides RF power in the range of about 10 W to 7500 W, at a frequency in the range of about 10 MHz to 60 MHz relative the ground, and, for example, at a frequency of 13.56 MHz.
  • the signal from the first bias power supply 450 amplitude modulates the signal from the second bias power supply 454 .
  • a 13.56 MHz signal from the second bias power supply 154 is amplitude modulated with a 2 MHz signal from the first biasing power supply 150 , as discussed above with regard to method 300 of FIG. 3 and illustrated by the waveforms depicted in FIGS. 5A-5D .
  • a semiconductor wafer 401 is placed on the pedestal 420 and process gases are supplied from a gas panel 460 through gas entry ports (nozzles) 474 to provide a gaseous mixture in the processing region 440 .
  • the gaseous mixture is ignited into a plasma in the chamber 406 by applying power from the source 462 to the antenna 404 .
  • the pressure within the interior of the chamber 406 is controlled using a throttle valve 427 and a vacuum pump 464 .
  • the temperature of the chamber wall 422 is controlled using liquid-containing conduits (not shown) that run through the wall 422 .
  • the temperature of the wafer 401 is controlled by stabilizing a temperature of the support pedestal 420 .
  • helium gas from a source 448 is provided via a gas conduit 449 to channels formed by the back of the wafer 401 and grooves (not shown) on the pedestal surface. The helium gas is used to facilitate heat transfer between the pedestal 420 and the wafer 401 .
  • the controller 410 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the controller 410 comprises a central processing unit (CPU) 412 , a memory 414 , and support circuits 416 for the CPU 412 .
  • the controller 410 facilitates control of the components of the DPS etch process chamber 400 in a similar manner as discussed for the controller 110 and chamber 106 of FIG. 1 .
  • the apparatus comprises a biasing element disposed in the chamber and adapted to support a wafer, and a plasma generating element is disposed over the biasing element.
  • a first power source is coupled to the plasma generating element, and a second power source is also coupled to the biasing element to provide a modulated signal to the biasing element.
  • the teachings of the present invention have been shown and described in two exemplary etching chambers utilizing a source power supply 162 and 462 to control ion energy and ion bombardment on the wafers.
  • a source power supply such as in an eMAX chamber, which is available from Applied Materials Inc. of Santa Clara, Calif.
  • the chamber surface serves as an RF ground (anode) with respect to the biasing power supplies 150 and 154 , and one of the biasing power supplies may be utilized to serve as both bias and source power supplies.

Abstract

A method and apparatus for selectively controlling a plasma in a processing chamber during wafer processing. The method includes providing process gasses into the chamber over a wafer to be processed, and providing high frequency RF power to a plasma generating element and igniting the process gases into the plasma. Modulated RF power is coupled to a biasing element, and wafer processing is performed according to a particular processing recipe. The apparatus includes a biasing element disposed in the chamber and adapted to support a wafer, and a plasma generating element disposed over the biasing element and wafer. A first power source is coupled to the plasma generating element, and a second power source is coupled to the biasing element. A third power source is coupled to the biasing element, wherein the second and third power sources provide a modulated signal to the biasing element.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This patent application is a continuation of U.S. application Ser. No. 10/342,575 filed Jan. 14, 2003 by Jin-Yuan Chen, et al. entitled “ETCH CHAMBER WITH DUAL FREQUENCY BIASING SOURCES AND A SINGLE FREQUENCY PLASMA GENERATING SOURCE” and assigned to the present assignee.
  • FIELD OF THE INVENTION
  • Embodiments of the invention generally relate to semiconductor wafer processing, and more particularly, to etch and plasma related integrated circuit manufacturing processes and related hardware.
  • BACKGROUND OF THE INVENTION
  • Semiconductor fabrication wafer process chambers employing plasma to perform etching and deposition processes utilize various techniques to control plasma density and acceleration of plasma components. For example, magnetically-enhanced plasma chambers employ magnetic fields to increase the density of charged particles in the plasma, thereby further increasing the rate of plasma-enhanced deposition and etching processes. Increasing the process rate is highly advantageous because the cost of fabricating semiconductor devices is proportional to the time required for fabrication.
  • During a plasma-enhanced process, such as a reactive ion etch process, material on the wafer is removed in specific areas to subsequently form the components/features of the devices (e.g., transistors, capacitors, conductive lines, vias, and the like) on the wafer. A mask is formed over areas of the wafer that are to be protected from the etching process. Uniformity of the etching rate across the wafer during the entire etch process is very important for ensuring that features are etched with precision at any location on the wafer. The uniformity of the etching process is related to the ability to control the plasma throughout the etch process. For example, U.S. Pat. No. 6,354,240 includes disposing magnets around the reactor chamber to provide a magnetic confinement to sustain a high plasma density in a low pressure environment.
  • However, during “deep trench etching”, the wafer may be exposed to the etchants for a long duration. During these long etching processes, the etch mask can be completely etched from the wafer surface to leave the surface unprotected. That is, the deep trench processes are limited by the selectivity between the material of the protective mask and the material to be etched, where the higher the selectivity, the deeper the trench may be etched.
  • Therefore, there is a need in the art for increasing the selectivity during deep trench etching, such that a sufficient portion of the masking material remains to cover areas of the wafer to be protected until the etch process is complete.
  • SUMMARY OF THE INVENTION
  • The present invention provides an etch chamber that is driven with three RF frequencies: one frequency for establishing and maintaining a plasma, and two frequencies for biasing a biasing element (e.g., wafer pedestal). Such triple frequency use provides improved plasma control that increases the process window for an etch process. Enhancing control of plasma density and ion energy improves the coverage of more etching applications and provides a wider window of processing.
  • In particular, the present invention provides an apparatus for controlling a plasma in a chamber during wafer processing. The apparatus comprises a biasing element disposed in the chamber and adapted to support a wafer, and a plasma generating element disposed proximate the biasing element. A plasma generating (top) power source is coupled to the plasma generating element, and a bottom (biasing) power source is coupled to the biasing element to provide a modulated signal that modulates the plasma.
  • A method for selectively controlling a plasma in the processing chamber during wafer processing comprises providing process gasses into the chamber over a wafer to be processed, and providing high frequency RF power to the plasma generating element, which ignites the process gases into the plasma. A modulated RF power signal is provided to the biasing element, and wafer processing is performed according to a particular processing recipe.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention are attained can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof, which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention, and are therefore, not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a cross-sectional view of a first embodiment of a dual frequency bias plasma chamber system;
  • FIG. 2 depicts a top cross-sectional view of the plasma chamber system of FIG. 1;
  • FIG. 3 depicts a flow diagram of a method for selectively controlling a plasma during wafer processing;
  • FIG. 4 depicts a cross-sectional view of a second embodiment of a dual frequency bias plasma chamber system; and
  • FIGS. 5A-5D depict graphs of exemplary RF waveforms used in the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • DETAILED DESCRIPTION OF THE INVENTION
  • One application of the present invention provides an apparatus for performing high aspect ratio deep trench etching. In particular, a processing chamber is equipped with dual frequency biasing sources and a single frequency plasma generating source. A wafer to be processed is secured on a support pedestal in the chamber. The single frequency plasma generating source is coupled to a plasma generating element disposed over the wafer to be processed, while a pair of biasing sources having different frequencies are coupled to the support pedestal, such that the support pedestal serves as a biasing element.
  • FIG. 1 depicts a cross sectional view of a first embodiment of a dual frequency bias plasma chamber system 100 of the present invention. Specifically, FIG. 1 depicts an illustrative chamber system (system) 100 that can be used in high aspect ratio trench formation. The system 100 generally comprises a chamber body 102 and a lid assembly 104 that defines an evacuable chamber 106 for performing substrate processing. In one embodiment, the system 100 is an MxP type etch system available from Applied Materials, Inc. of Santa Clara, Calif. For a detailed understanding of an MxP type system, the reader is directed to U.S. Pat. No. 6,403,491, issued Jun. 11, 2002, the contents of which is incorporated by reference herein in its entirety. Further, other types of wafer processing systems are also contemplated, such as an eMAX type system, a PRODUCER e type system, HOT type system, and an ENABLER type system, among others, all of which are also available from Applied Materials, Inc. of Santa Clara, Calif.
  • The system 100 further comprises a gas panel 160 coupled to the chamber 106 via a plurality of gas lines 159 for providing processing gases, an exhaust stack 164 coupled to the chamber 106 via an exhaust passage 166 for maintaining a vacuum environment and exhausting undesirable gases and contaminants. Additionally, a controller 110 is coupled to the various components of the system 100 to facilitate control of the processes (e.g., deposition and etching processes) within the chamber 106.
  • The chamber body 102 includes at least one of sidewall 122 and a chamber bottom 108. In one embodiment, the at least one sidewall 122 has a polygon shaped (e.g., octagon or substantially rectangular) outside surface and an annular or cylindrical inner surface. Furthermore, at least one sidewall 122 may be electrically grounded. The chamber body 102 may be fabricated from a non-magnetic metal, such as anodized aluminum, and the like. The chamber body 102 contains a substrate entry port 132 that is selectively sealed by a slit valve (not shown) disposed in the processing platform.
  • A lid assembly 104 is disposed over the sidewalls 122 and defines a processing region 140 within the chamber 106. The lid assembly 104 generally includes a lid 172 and a plasma generating element (e.g., source or anode electrode) 174 mounted to the bottom of the lid 172. The lid 172 may be fabricated from a dielectric material such as aluminum oxide (Al2O3), or a non-magnetic metal such as anodized aluminum. The plasma generating element 174 is fabricated from a conductive material such as aluminum, stainless steel, and the like.
  • Further, the plasma generating element 174 is coupled to a high frequency RF power source 162 via a matching network 161. The high frequency power source (top power source) 162 provides RF power in a range between about 100 Watts to 7500 Watts, at a frequency in the range of about 40-180 MHz, and is used to ignite and maintain a plasma from a gas mixture in the chamber 106.
  • The plasma generating element 174 may be provided with perforations or slits 176 to serve as a gas diffuser. That is, the plasma generating element 174 may also serve as a showerhead, which provides processing gases that, when ignited, forms a plasma in the processing region 140. The processing gases, (e.g., CF4, Argon (Ar), C4F8, C4F6, C8F4, CHF3, Cl2, HBr, NF3, N2, He, O2 and/or combinations thereof) are provided to the plasma generating element/showerhead 174 from the external gas panel 160 via the gas conduit 159 coupled therebetween.
  • In another embodiment, a gas distribution ring (not shown) may be coupled to the lid assembly 104 to provide the processing gases into the chamber 106. The gas distribution ring typically comprises an annular ring made of aluminum or other suitable material having a plurality of ports formed therein for receiving nozzles that are in communication with the gas panel 160.
  • A substrate support pedestal 120 is disposed within the chamber 106 and seated on the chamber bottom 108. A substrate (i.e., wafer, not shown) undergoing wafer processing is secured on an upper surface 121 of the substrate support pedestal 120. The substrate support 120 may be a susceptor, a heater, ceramic body, or electrostatic chuck on which the substrate is placed during processing. The substrate support pedestal 120 is adapted to receive an RF bias signal, such that the substrate support pedestal serves as a biasing element (e.g., cathode electrode) with respect to the RF bias signal, as is discussed below in further detail.
  • In the embodiment of FIG. 1, the substrate support pedestal 120 comprises an electrostatic chuck 124 coupled to an upper surface of a cooling plate 126. The cooling plate 126 is then coupled to an upper surface of the pedestal base 127. The electrostatic chuck 124 may be fabricated from a dielectric material e.g., a ceramic such as aluminum nitride (AlN), silicon oxide (SiO), silicon nitride (SiN), sapphire, boron nitride, or it can be a plasma sprayed aluminum nitride, or aluminum oxide material on an anodized aluminum surface, or the like, and is generally shaped as a thin circular puck.
  • Furthermore, the electrostatic chuck 124 may be provided with one or more chucking electrodes 130. The chucking electrodes 130 are, for example, fabricated from a conductive material, (e.g., tungsten). The chucking electrodes 130 are disposed relatively close to the top surface of the electrostatic chuck 124. In this way, the chucking electrodes 130 provide the necessary electrostatic force to the backside of a wafer to retain (i.e., chuck) the wafer on the electrostatic chuck 124. The chucking electrodes 130 may be in any configuration such as a monopolar configuration, bipolar configuration, zoned chucking configuration, or any other configuration suitable to retain the wafer to the chuck 124. The chucking electrodes 130 are connected to a remote power source, i.e. a high voltage DC (HVDC) power supply 134, which provides a chucking voltage sufficient to secure the wafer to the chuck 124.
  • The cooling plate 126 assists in regulating the temperature of the electrostatic chuck 124. Specifically, the cooling plate 126 is fabricated from a material that is a high conductor of RF power, such as molybdenum, a zirconium alloy (e.g., Zr—Hf), a metal matrix composite (e.g., Al—Si—SiC), among others. Furthermore, the materials used to fabricate the cooling plate 126 are selected from a group of materials that will have a thermal expansion coefficient value close to the thermal expansion coefficient value of the electrostatic plate 124. The cooling plate 126 comprises channels (not shown) formed therein to circulate a coolant to reduce the thermally conducted heat radiated from the wafer and the electrostatic chuck 124.
  • Additional temperature control may be provided by a heating element embedded in the electrostatic chuck 124. Moreover, a backside gas delivery system (not shown) is provided, such that a gas (e.g., helium) is provided between grooves (not shown), which are formed in the top surface of the chuck 124, and the backside of the wafer.
  • As discussed above, the substrate support pedestal 120 also serves as a biasing electrode (e.g., cathode) for biasing the ionized gases towards the wafer during either a deposition or etching process. A first bias power supply 150 and a second bias power supply 154 are coupled in parallel between the substrate support pedestal 120 and ground via respective matching networks 151 and 155. In one embodiment, the grounded sidewalls 122 and the plasma generating element 174 together define the anode with respect to the biasing element (cathode) in the substrate support pedestal 120.
  • In particular, the first biasing power supply 150 provides RF power in the range of about 10 Watts to 7500 Watts (W), and at a frequency in the range of about 100 KHz to 6 MHz. The second biasing power supply 154 provides RF power in the range of about 10 W to 7500 W, at a frequency in the range of about 4 MHz to 60 MHz, and, for example, at a frequency of 13.56 MHz. As such, the signal from the first bias power supply 150 amplitude modulates the signal from the second bias power supply 154. For example, a 13.56 MHz signal from the second bias power supply 154 is amplitude modulated with a 2 MHz signal from the first biasing power supply 150. It is noted that one skilled in the art will appreciate that the power levels of the first and second biasing power supplies 150 and 154 are related to the size of the workpiece being processed. For example, a 300 mm wafer requires greater power consumption than a 200 mm wafer during processing.
  • In one embodiment, the chucking electrodes 130 may also function as the biasing element. In particular, the first and second bias power supplies 150 and 154 are coupled to the chucking electrode 130, such that the bias signal (e.g., modulated RF signal) is applied to the electrodes 130 to create a bias voltage. In another embodiment, the first and second bias power supplies 150 and 154 are coupled to the cooling plate 126, which thereby functions as a biasing element. Alternatively, the first and second bias power supplies 150 and 154 may be coupled to a base plate (not shown) disposed below the cooling plate 126, or to another anode placed within the chuck 124.
  • It is noted that the controller 110 may be utilized to control the bias power supplies 150 and 154, as well as control the high frequency RF power source 162. In particular, the controller 110 controls the power set points of the bias power supplies 150 and 154 to provide either the bias signal or the modulated signal. That is, the controller 110 may be used to control the low RF frequency bias signal (e.g., 2 MHz signal) provided by the first bias power supply 150, as well as control the intermediate RF frequency bias signal (e.g., 13.56 MHz signal) provided by the second bias power supply 154. Moreover, the controller 110 controls the set point of the high frequency RF signal from the high frequency RF power source 162. It is noted that a person skilled in the art will appreciate that the power levels set by the controller 110 for the power sources 150, 154, and 162 are related to the size of the wafer being processed (e.g., 200 millimeter (mm) and 300 mm wafers)
  • It is noted that the two bias input power signals from the bias power supplies 150 and 154 are not modulated until after the formation of the plasma. Specifically, the plasma acts as a non-linear device, such as a diode, so that the two bias power supplies 150 and 154 may be modulated in the plasma. The degree of modulation depends on the plasma condition, biasing signal power levels, and their respective frequencies.
  • Once the biasing signals are modulated in the plasma, the plasma density and acceleration may be changed in a controlled manner depending on the modulation scheme. During an etching process, the selectivity increases such that the protective mask (e.g., a photoresist mask) has a longer life that allows increased depth and aspect ratio when etching deep trenches (e.g., vias). The use of a modulated bias signal provides an increased process window for many etch processes.
  • FIG. 2 depicts a top cross-sectional view of the plasma chamber system 100 of FIG. 1. In particular, FIG. 2 depicts an embodiment where the plasma chamber system 100 is magnetically enhanced using a DC magnetic field in the processing region 140 between the plasma generating element 174 and the biasing element 120. That is, the chamber (also referred to as a reactor) employs magnetic fields to increase the density of charged particles in the plasma, thereby further increasing the rate of the plasma enhanced fabrication process.
  • Typically, the direction of the magnetic field is traverse with respect to the longitudinal axis of the chamber 106, that is, traverse to an axis extending between the electrodes 120 and 174. Various arrangements of permanent magnets or electromagnets are conventionally used to provide such transverse magnetic field. One such arrangement is a first main pair of coils 182 and 183 disposed on opposite sides of the cylindrical chamber side wall 122, and a second main pair of coils 184 and 185 disposed on opposite sides of the cylindrical chamber side wall 122. Each pair of opposing main coils 182-185 are connected in series and in phase to a DC power supply (not shown), such that they produce transverse (adjacent) magnetic fields, which are additive in the region between the coil pairs. The traverse magnetic field is represented in FIGS. 1 and 2 by the vector “B” oriented along the negative X-axis. Variations on the magnetic fields may also be utilized, such as opposed magnetic fields as used in an etch MxP dielectric chamber, also available from Applied Materials Inc., of Santa Clara, Calif.
  • To facilitate control of the system 100 as described above, the controller 110 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. In general, the process controller 110 includes a central processing unit (CPU) 112 in electrical communication with a memory 114 and support circuits 116. The support circuits 116 include various buses, I/O circuitry, power supplies, clock circuits, cache, among other components.
  • The memory 114, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM) read only memory (ROM), floppy disk, hard disk, or any other form of digital storage that are locally and/or remotely connected. Software routines are stored in memory 114. The software routines, when executed by the CPU 112, cause the reactor to perform processes of the present invention. The software routines may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 112.
  • The software routines are executed after the wafer is positioned on the support pedestal 120. The software routines, when executed by the CPU 112, transform the general-purpose computer into a specific purpose computer (controller) 110 that controls the chamber operations such that the etching process is performed in accordance with the method of the present invention.
  • FIG. 3 depicts a flow diagram of a method 300 for selectively controlling a plasma during wafer processing. Specifically, the method 300 provides a technique for controlling plasma density and particle acceleration, which allows for greater depth and aspect ratios to be achieved on the wafer during deep trench etching.
  • The method 300 starts at step 302, where a substrate is loaded, moved into an appropriate processing position over the substrate support pedestal 106. At step 304, a process gas is introduced into the chamber 106 via the exemplary showerhead of FIG. 1 or at least one nozzle. The process gas may include Argon (Ar), CF4, C4F8, C4F6, C8F4, CHF3, Cl2, HBr, NF3, N2, He, O2 and/or combinations thereof, and are introduced into the chamber 106 at rates of between about 1 sccm to about 2000 sccm.
  • At step 306, the pressure in the chamber 106 is brought to a desired processing pressure by adjusting a pumping valve (not shown) to pump the gas into the chamber 106 at a desired pressure. In one operational aspect of generating plasma, the pressure may be between about 1 milliTorr and about 1000 milliTorr.
  • Plasma may be generated via application of the source power by the top power supply 162 between the plasma generating element 174 and ground (e.g., the chamber sidewalls and/or bias element. At step 308, the top power supply 162 applies the source power between about 100 Watts and about 7500 Watts, at a frequency of about 40 MHz to about 180 MHz, which ignites the process gas or gases introduced into the processing region 140 into a plasma. In particular, the gas mixture (e.g., Ar) is introduced into the processing region 140 of the chamber 106. Once the pressure in the chamber reaches a pressure setpoint, the gas is ignited by the RF signal provided by the RF power source 162 to form the plasma. The wafer is then chucked to the substrate support pedestal 120, and then the other processing gases are provided to the chamber 106. The method 300 proceeds to step 308.
  • At step 310, the bias power supplies 150 and 154 are activated and the biasing element 120 is biased with the modulated bias signal. Recall that the biasing element may be formed by coupling the bias power supplies 150 and 154 to the chucking electrode 130, the cooling plate 126, cathode base plate, among other components in the substrate support pedestal 120. It is noted that the order of steps 308 and 310 of method 300 should not be considered as limiting, but rather, may be performed alternately or simultaneously.
  • In particular, the intermediate RF bias power source 150 and low RF bias power source 154 are turned on, and the biasing element 120 is biased to between about 10 Watts and about 7500 Watts. Furthermore, the RF signal from the two bias power sources 150 and 154 provide a modulated signal, such that the intermediate frequency signal (e.g., 13.56 MHz) is modulated by the low frequency signal (e.g., 400 KHz to 2 MHz).
  • The intermediate frequency RF source (second biasing power supply) 154 provides a sufficient energy level to accelerate the ions towards the biasing element 120, such that the particles bombard the wafer during the etching process. Further, the low frequency RF bias source 150 provides a wide energy band that increases the plasma density near the wafer. By increasing the plasma density, more particles are available for bombarding the wafer. As such, the modulated RF waveform provided by the bias power supplies 150 and 154 provides additional control of the energy used to accelerate the ions, as well as control the plasma density in the processing region 140.
  • At step 312, the wafer processing procedure (e.g., deep trench etching) is performed according to a particular recipe. The operation of the plasma process may be monitored by a process analysis system (not shown) to determine when the wafer processing has reached an endpoint value and is complete. Once the processing recipe is completed, at step 314, the plasma generation may be terminated and the wafer removed from the processing chamber for further processing, where the method 300 ends.
  • In one exemplary embodiment, a deep trench having a width of about 14 micrometers (μm) and an aspect ratio of at least about 6:1 may be formed in a silicon wafer by providing the modulated waveform to the plasma during the etch step 312. In particular, process gases such as NF3 (at a rate of 80 sccm) and HBr (at a rate of 400 sccm) are provided to the reactor chamber 106. The flow ratio of NF3 to HBr is about 1:5. The pressure in the reaction chamber 106 is maintained at about 100 to 400 mTorr. The top power supply 162 applies the source power at about 3000 Watts at a frequency of about 60 MHz, which ignites the process gases in the processing region 140 into a plasma. The intermediate RF bias power source 150 is set to provide power in a range of about 2000 to 3000 Watts at a frequency of 13.56 MHz, while the low RF bias power source (e.g., first biasing power supply) 154 provides power in a range of about 2000 to 3000 Watts at a frequency of 2 MHz. The RF signal from the two bias power sources 150 and 154 provide a RF signal modulated by about 10 to 80 percent.
  • FIGS. 5A-5D depict graphs of exemplary RF waveforms used in the present invention. FIG. 5A depicts a 2 MHz biasing signal, FIG. 5B depicts a 13.56 MHz biasing signal, and FIG. 5C depicts a modulated biasing signal. In FIGS. 5A-5C, each waveform graph has a y-axis representing magnitude of power, and an x-axis representing frequency. In particular, FIG. 5C shows the resultant amplitude modulated continuous wave (CW) signal, where the 13.56 MHz RF signal is modulated by the 2 MHz RF signal.
  • FIG. 5D depicts a graph illustrating a modulated pulsed waveform. In this instance, a square wave is used as a modulating signal, which produces the modulated signal shown in FIG. 5D, where the amplitude of the modulated signal varies in strength as a function of the modulating waveform. The modulated pulsed waveform graph has a y-axis representing magnitude of power, and an x-axis representing time. Each pulse represents modulated power having a pulse peak of about +/−3000 W, and a duty cycle between about 10 to 90 percent. Note that FIG. 5D illustratively shows a 50% duty cycle, however, one skilled in the art will appreciate that the duty cycle may vary depending on the particular recipe used to form the features (e.g., deep trench). The controller 110 controls the pulsed power to the biasing element 120 based on the particular processing recipe requirements. The pulses are repeated during processing to emulate a modulated waveform. It is noted that only one biasing power source (e.g., 150 or 154) is necessary to provide the modulated pulsed waveform shown in FIG. 5D.
  • At the peak magnitudes (higher energy levels) of the modulated CW (and pulsed) signal (point A) components of the plasma (e.g., ions) are accelerated toward the wafer, as compared to when the magnitude of the modulated CW signal (and modulated pulsed signal) approaches lower energy levels (point B). Further, the ion energy increases because of the low and medium frequency used for the bias power, as well as modulates as the amplitude modulates. Although the modulation waveforms are shown and discussed in FIGS. 5A-5D as a sine wave and square wave, those skilled in the art will appreciate that other waveforms may also be modulated onto a carrier signal.
  • FIG. 4 depicts a cross-sectional view of a second embodiment of a dual frequency bias plasma chamber system 400. This second embodiment may also be used to practice the invention and is illustratively an inductively coupled plasma chamber reactor 400, such as a DPS-DT reactor, available from Applied Materials Inc., of Santa Clara, Calif. For a detailed description of the exemplary inductively coupled reactor 400, the reader is directed to U.S. Pat. Nos. 6,444,085, 6,454,898, 6,444,084, and 6,270,617, which are incorporated herein by reference in their entirety. In general, any etch chamber having a plasma source element and a wafer bias element, where the wafer bias element is capable of being coupled to a modulated bias power may be utilized. That is, those skilled in the art will appreciate that other forms of etch chambers may be used to practice the invention, including chambers with remote plasma sources, microwave plasma chambers, electron cyclotron resonance (ECR) plasma chambers, among others.
  • The reactor 400 comprises a process chamber 406 having a wafer support pedestal 420 within a conductive body (wall) 422, and a controller 410. The wall 422 is supplied with a dome-shaped dielectric ceiling 472. Other modifications of the chamber 406 may have other types of ceilings, e.g., a flat ceiling. Typically, the wall 422 is coupled to an electrical ground. Above the ceiling 472 is disposed an inductive coil antenna 404. The inductive coil antenna 404 is coupled to a plasma power source 462, through a first matching network 461. The inductive coil antenna 404 serves as a plasma generating element, and is disposed as a spiral shaped helicoid around the dome ceiling 472. Alternatively, in instances where the invention is practiced in chamber 100 having a substantially flat ceiling 472, a stack or other forms of antennas 404 may be provided over the ceiling 472. The plasma power source 462 typically is capable of producing power between about 100 Watts and about 7500 Watts, at a frequency of about 2 MHz to about 180 MHz, and in one embodiment, at a frequency of about 2 MHz to 13.56 MHz.
  • The support pedestal (biasing element) 421, which is coupled, through a first matching network 451, to a first biasing power source 450, as well as a second matching network 455, to a second biasing power source 454. In one embodiment, the first and second biasing power supplies 150 and 154 are coupled to a chucking electrode (e.g., monopolar electrode), which is embedded in the support pedestal (chuck) and functions as the biasing element. Similar to the first embodiment shown in FIG. 1, the first biasing power supply 450 provides RF power in the range of about 10 Watts to 7500 Watts (W), and at a frequency in the range of about 100 KHz to 6 MHz. The second biasing power supply 454 provides RF power in the range of about 10 W to 7500 W, at a frequency in the range of about 10 MHz to 60 MHz relative the ground, and, for example, at a frequency of 13.56 MHz. As such, the signal from the first bias power supply 450 amplitude modulates the signal from the second bias power supply 454. For example, a 13.56 MHz signal from the second bias power supply 154 is amplitude modulated with a 2 MHz signal from the first biasing power supply 150, as discussed above with regard to method 300 of FIG. 3 and illustrated by the waveforms depicted in FIGS. 5A-5D.
  • In operation, a semiconductor wafer 401 is placed on the pedestal 420 and process gases are supplied from a gas panel 460 through gas entry ports (nozzles) 474 to provide a gaseous mixture in the processing region 440. The gaseous mixture is ignited into a plasma in the chamber 406 by applying power from the source 462 to the antenna 404. The pressure within the interior of the chamber 406 is controlled using a throttle valve 427 and a vacuum pump 464. The temperature of the chamber wall 422 is controlled using liquid-containing conduits (not shown) that run through the wall 422.
  • The temperature of the wafer 401 is controlled by stabilizing a temperature of the support pedestal 420. In one embodiment, helium gas from a source 448 is provided via a gas conduit 449 to channels formed by the back of the wafer 401 and grooves (not shown) on the pedestal surface. The helium gas is used to facilitate heat transfer between the pedestal 420 and the wafer 401.
  • To facilitate control of the chamber as described above, the controller 410 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The controller 410 comprises a central processing unit (CPU) 412, a memory 414, and support circuits 416 for the CPU 412. The controller 410 facilitates control of the components of the DPS etch process chamber 400 in a similar manner as discussed for the controller 110 and chamber 106 of FIG. 1.
  • Accordingly, an apparatus for controlling a plasma in a chamber during wafer processing has been shown and discussed above. The apparatus comprises a biasing element disposed in the chamber and adapted to support a wafer, and a plasma generating element is disposed over the biasing element. A first power source is coupled to the plasma generating element, and a second power source is also coupled to the biasing element to provide a modulated signal to the biasing element.
  • It is noted that the teachings of the present invention have been shown and described in two exemplary etching chambers utilizing a source power supply 162 and 462 to control ion energy and ion bombardment on the wafers. However, the present invention is also applicable where no power (i.e., power (W) and frequency (Hz) both equal zero) is provided from a source power supply, such as in an eMAX chamber, which is available from Applied Materials Inc. of Santa Clara, Calif. In this instance, the chamber surface serves as an RF ground (anode) with respect to the biasing power supplies 150 and 154, and one of the biasing power supplies may be utilized to serve as both bias and source power supplies.
  • Although various embodiments that incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims (14)

1. A method of processing a workpiece in a plasma reactor having electrode apparatus for coupling RF power to plasma in said reactor, said method comprising:
simultaneously applying RF power from three RF sources of three different RF frequencies to said electrode apparatus;
selecting respective power levels of said three RF sources to select respective characteristics of a plasma in said reactor.
2. The method of claim 1 wherein said electrode apparatus comprises a top electrode at a ceiling of the reactor and a bottom electrode at a wafer support of said reactor, and wherein the step of applying RF power comprises coupling first and second ones of said three RF sources to said bottom electrode.
3. The method of claim 2 wherein the step of applying RF power further comprises coupling a third one of said RF sources to said electrode apparatus.
4. The method of claim 3 wherein the step of coupling said third one of said RF sources to said electrode apparatus comprises coupling said third RF source to said top electrode.
5. The method of claim 1 wherein said respective characteristics comprise plasma ion density, plasma ion energy and wideness of energy band of said plasma ion energy.
6. The method of claim 1 wherein the frequencies of said first, second and third RF sources are VHF, HF and LF frequencies respectively.
7. The method of claim 6 wherein the step of selecting respective power levels comprises selecting the power levels of said first, second and third RF sources within respective predetermined ranges.
8. A plasma reactor for processing a workpiece, comprising:
a reactor chamber and a wafer support within said chamber;
electrode apparatus for coupling RF power to plasma in said reactor;
three RF sources of three different RF frequencies coupled to said electrode apparatus.
9. The reactor of claim 8 wherein said three RF sources are independently controllable.
10. The reactor of claim 8 wherein said electrode apparatus comprises a top electrode at a ceiling location overlying said wafer support and a bottom electrode at said wafer support of said reactor.
11. The reactor of claim 10 wherein said first and second RF sources are coupled to said bottom electrode.
12. The reactor of claim 11 wherein said third RF sources is coupled to said top electrode.
13. The reactor of claim 8 further comprising first, second and third impedance match elements connected between said first, second and third RF sources, respectively, and said electrode apparatus.
14. The method of claim 8 wherein the frequencies of said first, second and third RF sources are VHF, HF and LF frequencies respectively.
US11/376,430 2002-08-09 2006-03-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source Abandoned US20060175015A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/376,430 US20060175015A1 (en) 2002-08-09 2006-03-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40229102P 2002-08-09 2002-08-09
US10/342,575 US20040025791A1 (en) 2002-08-09 2003-01-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US11/376,430 US20060175015A1 (en) 2002-08-09 2006-03-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/342,575 Continuation US20040025791A1 (en) 2002-08-09 2003-01-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Publications (1)

Publication Number Publication Date
US20060175015A1 true US20060175015A1 (en) 2006-08-10

Family

ID=31498210

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/342,575 Abandoned US20040025791A1 (en) 2002-08-09 2003-01-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US11/376,430 Abandoned US20060175015A1 (en) 2002-08-09 2006-03-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US11/502,614 Abandoned US20070020937A1 (en) 2002-08-09 2006-08-09 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/342,575 Abandoned US20040025791A1 (en) 2002-08-09 2003-01-14 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/502,614 Abandoned US20070020937A1 (en) 2002-08-09 2006-08-09 Etch chamber with dual frequency biasing sources and a single frequency plasma generating source

Country Status (5)

Country Link
US (3) US20040025791A1 (en)
EP (1) EP1529306A1 (en)
CN (1) CN1675738A (en)
TW (1) TW200403753A (en)
WO (1) WO2004015738A1 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US20050212441A1 (en) * 2004-03-25 2005-09-29 Canon Kabushiki Kaisha Plasma processing method and apparatus
US20070020937A1 (en) * 2002-08-09 2007-01-25 Jin-Yuan Chen Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20070087455A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245959A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070247073A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20080102645A1 (en) * 2006-10-31 2008-05-01 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20090056629A1 (en) * 2007-09-05 2009-03-05 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US20090057269A1 (en) * 2007-09-05 2009-03-05 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20110031217A1 (en) * 2009-08-04 2011-02-10 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US8334657B2 (en) 2005-08-05 2012-12-18 Applied Materials, Inc. RF matching network of a vacuum processing chamber and corresponding configuration methods
US20130087285A1 (en) * 2011-10-06 2013-04-11 Hitachi High-Technologies Corporation Plasma etching apparatus
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
TWI452649B (en) * 2007-09-05 2014-09-11 Applied Materials Inc Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095770A (en) * 2002-08-30 2004-03-25 Tokyo Electron Ltd Treatment device
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4515755B2 (en) * 2003-12-24 2010-08-04 東京エレクトロン株式会社 Processing equipment
US7326872B2 (en) 2004-04-28 2008-02-05 Applied Materials, Inc. Multi-frequency dynamic dummy load and method for testing plasma reactor multi-frequency impedance match networks
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
CN102256432B (en) * 2004-06-21 2014-10-29 东京毅力科创株式会社 Plasma processing device and method
US7193173B2 (en) * 2004-06-30 2007-03-20 Lam Research Corporation Reducing plasma ignition pressure
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US7929798B2 (en) * 2005-12-07 2011-04-19 Micron Technology, Inc. Method and apparatus providing noise reduction while preserving edges for imagers
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
FR2903622B1 (en) * 2006-07-17 2008-10-03 Sidel Participations DEVICE FOR DEPOSITING A COATING ON AN INTERNAL SIDE OF A CONTAINER
KR20080044657A (en) * 2006-11-17 2008-05-21 삼성전자주식회사 Plasma etching apparatus
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US8103492B2 (en) * 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US8154209B2 (en) * 2009-04-06 2012-04-10 Lam Research Corporation Modulated multi-frequency processing method
CN101924011B (en) * 2009-06-11 2012-01-25 中芯国际集成电路制造(上海)有限公司 Etching device and method
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
CN101989525A (en) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 Plasma processing cavity and switchable matching network with switchable offset frequency
KR101092172B1 (en) * 2009-12-24 2011-12-13 주식회사 디엠에스 Plasma reactor for changing selectively combination structure of inductive coils according to predetermined etching condition, and etching method using the plasma reactor
CN102300383B (en) * 2010-06-23 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 Inductance coupling apparatus and plasma processing equipment applying same
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP5916056B2 (en) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US20120138230A1 (en) * 2010-12-06 2012-06-07 Terry Bluck Systems and methods for moving web etch, cvd, and ion implant
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US9337067B2 (en) * 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
CN106847736B (en) 2011-11-08 2020-08-11 因特瓦克公司 Substrate processing system and method
JP5808012B2 (en) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 Plasma processing equipment
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
CN103367089B (en) * 2012-03-30 2016-04-06 中微半导体设备(上海)有限公司 A kind of plasma processing apparatus with double casing
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
TWI570745B (en) 2012-12-19 2017-02-11 因特瓦克公司 Grid for plasma ion implant
CN103915308B (en) * 2012-12-31 2016-06-29 中微半导体设备(上海)有限公司 The lithographic method of a kind of Double RF pulsed plasma and etching device thereof
CN103219223A (en) * 2013-03-14 2013-07-24 上海华力微电子有限公司 Device and method for removing wafer residual hydrogen bromide
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6568879B2 (en) * 2014-03-14 2019-08-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Smart chamber and smart chamber components
WO2015141521A1 (en) * 2014-03-21 2015-09-24 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
KR101745686B1 (en) 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 Methods for high precision etching of substrates
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
GB201615114D0 (en) * 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
CN108695150B (en) * 2018-05-22 2020-11-27 朝阳微电子科技股份有限公司 Semiconductor wafer batch etching method
CN111092008A (en) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 Inductively coupled plasma etching equipment and etching method
JP6960390B2 (en) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 Power supply structure and plasma processing equipment
US10886104B2 (en) 2019-06-10 2021-01-05 Advanced Energy Industries, Inc. Adaptive plasma ignition
JP7190988B2 (en) * 2019-08-21 2022-12-16 東京エレクトロン株式会社 Etching method and substrate processing apparatus
US11688584B2 (en) 2020-04-29 2023-06-27 Advanced Energy Industries, Inc. Programmable ignition profiles for enhanced plasma ignition
KR20210136481A (en) 2020-05-07 2021-11-17 삼성전자주식회사 Plasma processing system, and plasma control method in the system, and method for fabricating semiconductor device comprising the control method
TWI762114B (en) * 2020-12-25 2022-04-21 天虹科技股份有限公司 Plasma cleaning device

Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5846885A (en) * 1995-08-23 1998-12-08 Fujitsu Limited Plasma treatment method
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6225744B1 (en) * 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US6227141B1 (en) * 1998-02-19 2001-05-08 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6395641B2 (en) * 1995-10-13 2002-05-28 Mattson Techonolgy, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US20020096259A1 (en) * 1991-06-27 2002-07-25 Applied Materials, Inc. Plasma reactor having RF power applicator and a dual-purpose window
US6468388B1 (en) * 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6503364B1 (en) * 1999-09-03 2003-01-07 Hitachi, Ltd. Plasma processing apparatus
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6599367B1 (en) * 1998-03-06 2003-07-29 Tokyo Electron Limited Vacuum processing apparatus
US6641661B1 (en) * 2000-05-26 2003-11-04 W. R. Grace & Co.-Conn. High early strength cement and additives and methods for making the same
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US20050082256A1 (en) * 2002-04-08 2005-04-21 Masanobu Honda Plasma etching method
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US20050161160A1 (en) * 2001-07-19 2005-07-28 Hiroshi Tanabe Dry etching method and apparatus
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20060003603A1 (en) * 2004-06-30 2006-01-05 Cannon Kabushiki Kaisha Method and apparatus for processing
US20060019477A1 (en) * 2004-07-20 2006-01-26 Hiroji Hanawa Plasma immersion ion implantation reactor having an ion shower grid
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US20060150913A1 (en) * 2005-01-10 2006-07-13 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7094316B1 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US20070020937A1 (en) * 2002-08-09 2007-01-25 Jin-Yuan Chen Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20070087455A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245959A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070247073A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
DE3733135C1 (en) * 1987-10-01 1988-09-22 Leybold Ag Device for coating or etching using a plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
SI9300468A (en) * 1992-10-14 1994-06-30 Hoffmann La Roche Injectable composition for the sustained release of biologically active compounds
KR100324792B1 (en) * 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3119172B2 (en) * 1995-09-13 2000-12-18 日新電機株式会社 Plasma CVD method and apparatus
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (en) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 Plasma processing apparatus and method
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
US5985375A (en) * 1998-09-03 1999-11-16 Micron Technology, Inc. Method for pulsed-plasma enhanced vapor deposition
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
US6656273B1 (en) * 1999-06-16 2003-12-02 Tokyo Electron Limited Film forming method and film forming system
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020096259A1 (en) * 1991-06-27 2002-07-25 Applied Materials, Inc. Plasma reactor having RF power applicator and a dual-purpose window
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US6225744B1 (en) * 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5846885A (en) * 1995-08-23 1998-12-08 Fujitsu Limited Plasma treatment method
US6395641B2 (en) * 1995-10-13 2002-05-28 Mattson Techonolgy, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6227141B1 (en) * 1998-02-19 2001-05-08 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6599367B1 (en) * 1998-03-06 2003-07-29 Tokyo Electron Limited Vacuum processing apparatus
US6849154B2 (en) * 1998-11-27 2005-02-01 Tokyo Electron Limited Plasma etching apparatus
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6388382B1 (en) * 1999-03-09 2002-05-14 Hitachi, Ltd. Plasma processing apparatus and method
US6503364B1 (en) * 1999-09-03 2003-01-07 Hitachi, Ltd. Plasma processing apparatus
US6641661B1 (en) * 2000-05-26 2003-11-04 W. R. Grace & Co.-Conn. High early strength cement and additives and methods for making the same
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6468388B1 (en) * 2000-08-11 2002-10-22 Applied Materials, Inc. Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US20070119546A1 (en) * 2000-08-11 2007-05-31 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7094316B1 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20050161160A1 (en) * 2001-07-19 2005-07-28 Hiroshi Tanabe Dry etching method and apparatus
US20050082256A1 (en) * 2002-04-08 2005-04-21 Masanobu Honda Plasma etching method
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US20070020937A1 (en) * 2002-08-09 2007-01-25 Jin-Yuan Chen Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
US20060003603A1 (en) * 2004-06-30 2006-01-05 Cannon Kabushiki Kaisha Method and apparatus for processing
US20060019477A1 (en) * 2004-07-20 2006-01-26 Hiroji Hanawa Plasma immersion ion implantation reactor having an ion shower grid
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US20060150913A1 (en) * 2005-01-10 2006-07-13 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070087455A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070245959A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070247073A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020937A1 (en) * 2002-08-09 2007-01-25 Jin-Yuan Chen Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US20050212441A1 (en) * 2004-03-25 2005-09-29 Canon Kabushiki Kaisha Plasma processing method and apparatus
US7279845B2 (en) * 2004-03-25 2007-10-09 Canon Kabushiki Kaisha Plasma processing method and apparatus
US8334657B2 (en) 2005-08-05 2012-12-18 Applied Materials, Inc. RF matching network of a vacuum processing chamber and corresponding configuration methods
US20070087455A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695983B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070247073A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245959A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7758763B2 (en) 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080102645A1 (en) * 2006-10-31 2008-05-01 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8383002B2 (en) 2007-09-05 2013-02-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US20090057269A1 (en) * 2007-09-05 2009-03-05 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US20090056629A1 (en) * 2007-09-05 2009-03-05 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
TWI452649B (en) * 2007-09-05 2014-09-11 Applied Materials Inc Cathode liner with wafer edge gas injection in a plasma reactor chamber
US9287091B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation System and methods for plasma application
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
KR101522251B1 (en) * 2008-09-22 2015-05-21 어플라이드 머티어리얼스, 인코포레이티드 Etch reactor suitable for etching high aspect ratio features
US9275836B2 (en) * 2009-08-04 2016-03-01 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20110031217A1 (en) * 2009-08-04 2011-02-10 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8878434B2 (en) 2009-10-27 2014-11-04 Covidien Lp Inductively-coupled plasma device
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US20130087285A1 (en) * 2011-10-06 2013-04-11 Hitachi High-Technologies Corporation Plasma etching apparatus
US9960014B2 (en) 2011-10-06 2018-05-01 Hitachi High-Technologies Corporation Plasma etching method
US10418224B2 (en) 2011-10-06 2019-09-17 Hitachi High-Technologies Corporation Plasma etching method
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US10524848B2 (en) 2013-03-06 2020-01-07 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation

Also Published As

Publication number Publication date
EP1529306A1 (en) 2005-05-11
TW200403753A (en) 2004-03-01
CN1675738A (en) 2005-09-28
WO2004015738A1 (en) 2004-02-19
US20040025791A1 (en) 2004-02-12
US20070020937A1 (en) 2007-01-25

Similar Documents

Publication Publication Date Title
US20060175015A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR100319664B1 (en) Plasma Treatment Equipment
KR102060223B1 (en) Multi-frequency power modulation for etching high aspect ratio features
US6589437B1 (en) Active species control with time-modulated plasma
US5607542A (en) Inductively enhanced reactive ion etching
US6653791B1 (en) Method and apparatus for producing uniform process rates
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US7316761B2 (en) Apparatus for uniformly etching a dielectric layer
US6946053B2 (en) Plasma reactor
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5904780A (en) Plasma processing apparatus
US6444084B1 (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6095084A (en) High density plasma process chamber
EP1230665B1 (en) Plasma processing system with dynamic gas distribution control
EP1230664B1 (en) Processing systems
TWI536873B (en) A low electron temperature microwave surface-wave plasma (swp) processing method and apparatus
JP2008147659A (en) Method and system for controlling uniformity in ballistic electron beam accelerating plasma processing system
WO2008016747A2 (en) Method and system for controlling the uniformity of a ballistic electron beam by rf modulation
KR20080086373A (en) Plasma species and uniformity control through pulsed vhf operation
US20220351948A1 (en) Method for treating semiconductor wafer
KR100842947B1 (en) Plasma processing method and plasma processor
US6573190B1 (en) Dry etching device and dry etching method
CN111463094A (en) Atomic layer etching equipment and atomic layer etching method
JP3172340B2 (en) Plasma processing equipment
US20020168814A1 (en) Plasma processing method and apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION