US20060208272A1 - Method for filling recessed micro-structures with metallization in the production of a microelectronic device - Google Patents

Method for filling recessed micro-structures with metallization in the production of a microelectronic device Download PDF

Info

Publication number
US20060208272A1
US20060208272A1 US11/439,720 US43972006A US2006208272A1 US 20060208272 A1 US20060208272 A1 US 20060208272A1 US 43972006 A US43972006 A US 43972006A US 2006208272 A1 US2006208272 A1 US 2006208272A1
Authority
US
United States
Prior art keywords
copper
semiconductor workpiece
recessed
layer
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/439,720
Inventor
Thomas Ritzdorf
Lyndon Graham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semitool Inc
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US1999/023189 external-priority patent/WO2000020946A2/en
Application filed by Semitool Inc filed Critical Semitool Inc
Priority to US11/439,720 priority Critical patent/US20060208272A1/en
Publication of US20060208272A1 publication Critical patent/US20060208272A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • interconnect metallization which electrically connects the various devices on the integrated circuit to one another.
  • aluminum has been used for such interconnects, however, it is now recognized that copper metallization may be preferable.
  • Modem semiconductor manufacturing processes make use of multiple layers of metal interconnects.
  • the length of metal interconnects increases and the cross-sectional area and spacing between them decreases, the RC delay caused by the interconnect wiring also increases.
  • the current aluminum interconnect technology becomes deficient. Copper interconnects can help alleviate many of the problems experienced in connection with the current aluminum technology.
  • the industry has sought to use copper as the interconnect metallization by using a damascene and/or patterned plating electroplating process where holes, more commonly called vias, trenches and other recesses are used to produce the desired copper patterns.
  • the wafer is first provided with a metallic seed layer and barrier/adhesion layer which are disposed over a dielectric layer into which trenches are formed.
  • the seed layer is used to conduct electrical current during a subsequent metal electroplating step.
  • the seed layer is a very thin layer of metal which can be applied using one of several processes.
  • the seed layer of metal can be laid down using physical vapor deposition or chemical vapor deposition processes to produce a layer on the order of 1000 angstroms thick.
  • the seed layer can also be formed of copper, gold, nickel, palladium, and most or all other metals.
  • the seed layer is formed over a surface which is convoluted by the presence of the trenches, or other device features, which are recessed into the dielectric substrate.
  • a process employing two electroplating operations is generally employed.
  • a copper layer is electroplated onto the seed layer in the form of a blanket layer.
  • the blanket layer is plated to an extent which forms an overlying layer, with the goal of completely providing a copper layer that fills the trenches that are used to form the horizontal interconnect wiring in the dielectric substrate.
  • the first blanket layer is then subject, for example, to a chemical mechanical polish step in which the portions of the layer extending above the trenches are removed, leaving only the trenches filled with copper.
  • a further dielectric layer is then provided to cover the wafer surface and recessed vias are formed in the further dielectric layer. The recessed vias are disposed to overlie certain of the filled trenches.
  • a further seed layer is applied and a further electroplated copper blanket layer is provided that extends over the surface of the further dielectric layer and fills the vias.
  • copper extending above the level of the vias is removed using, for example, chemical mechanical polishing techniques.
  • the vias thus provide a vertical connection between the original horizontal interconnect layer and a subsequently applied horizontal interconnect layer. Electrochemical deposition of copper films has thus become an important process step in the manufacturing of high-performance microelectronic products.
  • the trenches and vias may be etched in the dielectric at the same time in what is commonly called a “dual damascene” process.
  • These features are then processed, as above, with barrier layer, seed layer and fill/blanket layer which fills the trenches and vias disposed at the bottoms of the trenches at the same time.
  • the excess material is then polished, as above, to produce inlaid conductors.
  • the electrical properties of the copper metallization are important to the performance of the associated microelectronic device. Such devices may fail if the copper metallization exhibits excessive electromigration that ultimately results in an open circuit condition in one or more of the metallization structures.
  • One factor that has a very large influence on the electromigration resistance of sub-micron metal lines is the grain size of the deposited metal. This is because grain boundary migration occurs with a much lower activation energy than trans-granular migration.
  • each deposited blanket layer is altered through an annealing process.
  • This annealing process is traditionally thought to require the performance of a separate processing step at which the semiconductor wafer is subject to an elevated temperature of about 400 degrees Celsius.
  • the present inventors have recognized substantial improvements over the foregoing processes employing the elevated temperature annealing. To this end, the present inventors have disclosed herein a process for filling vias, trenches, and the like using an electrochemical metal deposition process that does not require a subsequent elevated temperature annealing step or, in the alternative, that uses a subsequent elevated temperature annealing process that takes place at temperatures that are traditionally used in the copper metallization process and are compatible with low temperature semiconductor processing.
  • a method for filling recessed micro-structures at a surface of a semiconductor wafer with metallization is set forth.
  • a layer is deposited into the micro-structures with a process, such as an electroplating process, that generates grains that are sufficiently small so as to substantially fill the recessed micro-structures.
  • the deposited metal is subsequently subjected to an annealing process at a temperature below about 100 degrees Celsius, and may even take place at ambient room temperature.
  • One embodiment of the method comprises providing a semiconductor wafer with a feature that is to be connected with copper metallization. At least one dielectric layer is applied over a surface of the semiconductor wafer including the feature. Recessed micro-structures are then provided in the at least one dielectric layer. A surface of the wafer, including the recessed micro-structures, is provided with barrier/adhesion layer and a seed layer for subsequent electrochemical copper deposition. Copper metallization is electrochemically deposited on the surface of the wafer to substantially fill the recessed micro-structures.
  • the present inventors have found that such an electrochemically deposited layer may be annealed at temperatures that are substantially lower than the temperatures typically thought necessary for such annealing. Various methods are set forth that take advantage of this finding.
  • the electrochemically deposited copper layer is allowed to self-anneal at ambient room temperature for a predetermined period of time before removing copper metallization from the surface of the wafer that extends beyond the recessed features.
  • subsequent wafer processing takes place without an intermediate elevated temperature annealing step and may, for example, take place before self-annealing is allowed to occur.
  • the electrochemically deposited copper is subject to an elevated temperature annealing process.
  • that annealing process takes place at a temperature below about 100 degrees Celsius or at a temperature below which an applied low-K dielectric layer suffers degradation in its mechanical and electrical properties.
  • FIG. 1 illustrates one embodiment of a plating apparatus that may be used to apply an electrochemically deposited copper metallization layer to the surface of a semiconductor wafer in accordance with the disclosed methods.
  • FIGS. 2A-2G illustrate the various steps used in one embodiment of the disclosed method.
  • FIG. 3 is a graph showing the sheet resistance of an electrochemically deposited layer that has been deposited in accordance with the disclosed method as a function of time.
  • FIGS. 4 and 5 are graphs of various x-ray scanning parameters associated with an electrochemically deposited layer that has been deposited in accordance with the disclosed method.
  • FIG. 1 shows various components of a processing station 10 suitable for electroplating a metal, such as copper, onto a semiconductor wafer in accordance with the disclosed method.
  • the two principal parts of processing station 10 are a processing head, shown generally at 15 , and an electroplating bowl assembly 20 .
  • a processing station may merely comprise an anode, one or more wafer contacts to render the wafer a cathode, a plating chamber having a plating bath that contacts both the wafer and the anode, and a source of plating power.
  • a processing station may merely comprise an anode, one or more wafer contacts to render the wafer a cathode, a plating chamber having a plating bath that contacts both the wafer and the anode, and a source of plating power.
  • Various configurations of these elements may be employed.
  • the electroplating bowl assembly 20 includes a cup assembly 25 which is disposed within a reservoir container 30 .
  • Cup assembly 25 includes a fluid cup portion 35 holding the chemistry for the electroplating process.
  • the cup assembly of the illustrated embodiment also has a depending skirt 40 which extends below the cup bottom 45 and may have flutes open therethrough for fluid communication and release of any gas that might collect as the chamber of the reservoir assembly below fills with liquid.
  • the cup is preferably made from polypropylene or other suitable material.
  • a lower opening in the bottom wall of the cup assembly 25 is connected to a polypropylene riser tube 50 which, for example, is adjustable in height relative thereto by a threaded connection.
  • a first end of the riser tube 50 is secured to the rear portion of an anode shield 55 which supports anode 60 .
  • a fluid inlet line 165 is disposed within the riser tube 50 .
  • Both the riser tube 50 and the fluid inlet line are secured with the processing bowl assembly 20 by a fitting 70 .
  • the fitting 70 can accommodate height adjustment of both the riser tube and line 65 . As such, the connection between the fitting 70 and the riser tube 50 facilitates vertical adjustment of the anode position.
  • the inlet line 65 is preferably made from a conductive material, such as titanium, and is used to conduct electrical current to the anode 60 , as well as supply fluid to the cup.
  • Process fluid is provided to the cup through fluid inlet line 65 and proceeds therefrom through fluid inlet openings 75 .
  • Plating fluid then fills the chamber 35 through openings 75 as supplied by a plating fluid pump (not shown) or other suitable supply.
  • the upper edge of the cup sidewall 80 forms a weir which limits the level of electroplating solution within the cup. This level is chosen so that only the bottom surface of wafer W is contacted by the electroplating solution. Excess solution pours over this top edge surface into an overflow chamber 85 .
  • the outflow liquid from chamber 85 is preferably returned to a suitable reservoir.
  • the liquid can then be treated with additional plating chemicals or other constituents of the plating or other process liquid and used again.
  • the anode 60 is a consumable anode used in connection with the plating of copper or other metals onto semiconductor materials.
  • the specific anode may alternatively be an inert anode, the anode used in station 10 varying depending upon the specifics of the plating liquid and process being used.
  • the embodiment of the station shown in FIG. 1 also employs a diffuser plate 90 which is disposed above the anode 60 for providing a more even distribution of the flow of the fluid plating bath across the surface of wafer W. Fluid passages are provided over all or a portion of the diffuser plate 90 to allow fluid communication therethrough.
  • the height of the diffuser plate within the cup assembly may be adjustable using height adjustment mechanisms 95 .
  • the anode shield 55 is secured to the underside of the consumable anode 60 using anode shield fasteners 100 to prevent direct impingement by the plating solution as the solution passes into the processing chamber 35 .
  • the anode shield 55 and anode shield fasteners 100 are preferably made from a dielectric material, such as polyvinylidene fluoride or polypropylene.
  • the anode shield serves to electrically isolate and physically protect the backside of the anode.
  • the processing head 15 holds a wafer W within the processing chamber 35 .
  • the head 15 is constructed to rotate the wafer W within chamber 35 .
  • the processing head 15 includes a rotor assembly 150 having a plurality of wafer-engaging contact fingers 105 that hold the wafer against features of the rotor. Fingers 105 are preferably adapted to conduct current between the wafer and a plating electrical power supply and may be constructed in accordance with various configurations.
  • the processing head 15 is supported by an head operator 115 .
  • Head operator 115 includes an upper portion 120 which is adjustable in elevation to allow height adjustment of the processing head.
  • Head operator 115 also has a head connection shaft 125 which is operable to pivot about a horizontal pivot axis 130 . Pivotal action of the processing head using operator 115 allows the processing head to be placed in an open or face-up position (not shown) for loading and unloading wafer W.
  • FIG. 1 illustrates the processing head pivoted into a face-down position in preparation for processing. It will be recognized that such flipping of the wafer is not necessary to the performance of the disclosed methods.
  • FIGS. 2A-2G illustrate one method of filling a trench and via formed on the surface of a semiconductor wafer wherein the electrochemically deposited copper layer may be applied using the apparatus described in connection with FIG. 1 .
  • FIG. 2A illustrates a base 400 having an area 405 which is to be connected by copper metallization.
  • a layer 410 of dielectric material such as silicon dioxide or a low-K dielectric material, is deposited over the base 400 including over area 405 .
  • a photoresist process and reactive ion etch or the like selective portions of layer 410 are removed to form, for example, a trench 415 and via 420 into which copper metallization is to be deposited.
  • the end structure is shown in the perspective view of FIG. 2C wherein the via 420 overlies connection area 405 and trench 415 overlies via 420 .
  • Connection area 405 may be, for example, a metallization feature above the substrate.
  • a barrier layer 423 and seed layer 425 may be disposed on the surface of dielectric layer 410 .
  • the barrier layer may be, for example, tantalum or titanium nitride.
  • the barrier layer 423 is typically used when the structure 405 is susceptible to contamination from copper or the seed layer metal, and/or when the seed layer metal or copper may readily migrate through the dielectric layer 410 and contaminate other portions of the microelectronic circuit. As such, barrier layer 423 should be sufficiently thick along the contour of the trenches and vias to act as a diffusion barrier. Layer 423 may also function as an adhesion layer to facilitate binding between the seed layer 425 and the dielectric 410 .
  • the seed layer 425 may, for example, be a copper layer or other conductive metal layer and is preferably at least 200 Angstroms thick at its thinnest point. Sidewalls 430 of the trench 415 and via 420 as well as the bottom of via 420 should be covered by the seed layer 425 and barrier layer 423 to facilitate a subsequent electrochemical copper deposition step.
  • the seed layer 425 may be deposited through, for example, a CVD or PVD process.
  • the semiconductor wafer with the seed layer 425 is subject to a subsequent electrochemical copper deposition process.
  • the electrochemical copper deposition process is executed so as to form numerous nucleation sites for the copper deposition to thereby form grain sizes that are substantially smaller than the characteristic dimensions of the via 420 and trench 415 .
  • An exemplary structure having such characteristics is illustrated in FIG. 2E wherein layer 440 is a layer of copper metallization that has been deposited using an electrochemical deposition process.
  • the copper metallization 440 formed in the electrochemical deposition process is deposited over the seed layer 425 and extends a distance above the surface of dielectric layer 410 . Since the only features that are to contain the metallization are the via 420 and trench 415 , excess copper above the dielectric layer 410 must be removed. Removal of the excess copper above the upper surface of the dielectric layer 410 may be executed using a chemical mechanical polish technique. An exemplary structure in which such removal has taken place is illustrated in FIG. 2F . After such removal, a capping barrier layer 445 may be disposed, for example, over the entire surface of the wafer, or the processes set forth in FIGS. 2A-2F may be repeated without a capping barrier layer 445 whereby the trench 415 , now filled with copper metallization, corresponds to the structure 405 that further copper metallization is to contact.
  • FIGS. 2E and 2F A comparison between FIGS. 2E and 2F reveals that an increase in the grain size of the copper layer 440 has taken place.
  • the change in the grain size has been forced through an annealing process.
  • the wafer is subject to an elevated temperature that is substantially above the ambient temperature conditions normally found in a clean room.
  • such annealing usually takes place in a furnace having a temperature generally around or slightly below 400 degrees Celsius, or about half of the melting temperature of the electrodeposited copper.
  • Annealing steps are normally performed at a temperature of at least 25 percent of the melting point temperature of the material as measured on an absolute temperature scale.
  • a separate annealing step is performed on the wafer using a separate piece of capital equipment.
  • Such an annealing step is usually performed for each layer of metallization that is deposited on the wafer. These additional steps increase the cost of manufacturing devices from the wafer and, further, provide yet another step in which the wafer may be mishandled, contaminated, or otherwise damaged.
  • electrochemically deposited copper metallization having grain sizes substantially smaller than the sub-micron structures that they fill may be subject to an annealing process in which the annealing of the copper metallization takes place at, for example, room temperature or at temperatures substantially below 400 degrees Celsius where the annealing process is more easily controlled and throughput is increased.
  • the electrochemical deposition of the copper layer 440 takes place in the apparatus set forth in FIG. 1 .
  • the processing chamber 110 is configured so that the top of the diffuser 90 is approximately between 0.5 cm-5 cm (preferably 2.0 cm) from the top of the cup 25 .
  • the distance between the top of the diffuser 90 and the top of the anode 60 is between 0.5 cm-10 cm (preferably 1.6 cm) but always greater than the diffuser to cup distance.
  • the electrochemical plating solution may be Enthone-OMI Cu Bath M Make-up Solution having 67 g/l of CuSO 4, 170 g/l of H 2 SO 4 , and 70 ppm of HCl.
  • the additive solutions utilized may be Enthone-OMI Cu Bath M-D (6.4 ml/l-make-up) and Enthone-OMI Cu Bath M LO 70/30 Special (1.6 ml/l-make-up).
  • the flow rate through the cup 25 of this solution may be approximately 1.0-10 GPM (preferably 5.5 GPM) and the plating temperature may be between about 10-40 degrees Celsius (preferably 25 degrees Celsius).
  • the plating bath could alternatively contain any of a number of additives from manufacturers such as Shipley (Electroposit 1100), Lea Ronal (Copper Gleam PPR), or polyethylene glycol (PEG).
  • An alkaline plating bath suitable for electroplating microelectronic components is set forth in co-pending provisional patent application U.S. Ser. No. 60/085,675, filed 15 May 1998 and entitled “PROCESS AND PLATING SOLUTION FOR ELECTROPLATING A COPPER METALLIZATION LAYER ONTO A WORKPIECE” which is hereby incorporated by reference.
  • the electrochemical process of the disclosed embodiment may be used to electroplate a copper metallization layer onto the wafer at a thickness sufficient to at least fill the trenches and/or vias.
  • the embodiment disclosed herein may be divided into five sub-processes.
  • a dwell (pre-plate) sub-process takes place when the wafer is first introduced to the electroplating bath. At that time, no plating current is provided. Rather, the surface of the wafer that is to be plated is exposed to the plating bath for a predetermined period of time without plating power, such as for five seconds.
  • a low current initiation sub-process may ensue.
  • a low plating current is provided between the anode and the wafer.
  • a direct current with a current density of approximately 3.2 mA/cm.sup.2 is utilized.
  • the low current process may proceed, for example, for a predetermined period of time such as 30 seconds.
  • a high current plating sub-process is initiated. It is during this sub-process that a majority of the copper is plated onto the wafer. During this step, a high plating current is provided for the electroplating operations.
  • the plating waveform may be a constant voltage or current, a forward-only pulsed voltage or current, or a forward and reverse voltage or current.
  • average cathode current density of approximately 20 mA/cm.sup.2 is used with a current waveform that is direct current, forward pulsed, or reverse pulsed.
  • a direct current or forward only pulsed current is utilized with a frequency between 1 and 1000 Hz.
  • the frequency is between 5 and 20 Hz, with a duty cycle between 50 percent and 95 percent. More preferably, the duty cycle is between 65 percent and 85 percent.
  • the time duration of the high current plating sub-process is dependent on the nominal thickness of the copper metallization layer that is to be applied to the wafer. For a copper metallization layer having a nominal thickness of 1.5 microns, the high current sub-process proceeds for approximately three minutes and 40 seconds. During both the low current initiation and high current plating sub-processes, the wafer is preferably spun on the rotor at a rate of between about 1-100 rpm (preferably 20 rpm).
  • the wafer is lifted from contact with the plating solution. This process takes approximately two seconds, after which the wafer is spun on the rotor to remove the plating solution.
  • the wafer may be spun at 200-2000 rpm (preferably 500 rpm) for a time period of five seconds to remove the majority of the electroplating solution from the surface of the wafer.
  • Subsequent rinsing and drying steps may be executed on the wafer in, for example, other processing chambers dedicated to such functions.
  • the foregoing process generates nucleation sites, grain growth mechanisms, and copper grain sizes that are sufficiently small so as to fill trenches and vias with widths as low or less than 0.3 micron and aspect ratios greater than 4-to-1.
  • Initial grain size may be varied depending upon the plating waveform used and/or the additives used in the plating solution.
  • the resulting copper metallization layer may be annealed at substantially lower temperatures than traditionally suggested to form substantially larger copper grains thereby providing the copper with enhanced electrical characteristics when compared to copper deposition processes that do not promote self-annealing.
  • FIGS. 3-5 are derived from experimental data obtained by the present inventors on two different wafers showing that copper metallization deposited in a process in which the initial grain size of the copper crystals is sufficiently small so as to fill sub-micron dimension trenches and vias undergoes a self-annealing process at room temperature.
  • FIG. 3 is a graph of the sheet resistance, Rs, over time of a 1.5 micron copper film deposited in the manner stated above. As illustrated, the sheet resistance begins to decrease approximately eight hours after the copper metallization has been electrochemically deposited on the wafer. After about 20 hours, a substantial decrease in the sheet resistance takes place until, ultimately, the sheet resistance is stabilized at a time between 40 and 80 hours after the deposition. Such measurements were made using a 4-point probe such as a Prometrix RS30.
  • FIGS. 4 and 5 relate to x-ray diffraction scanning of the electrochemically deposited copper layer.
  • the area under each curve is proportional to the volume of the copper film with crystals having their [111] crystal plane directions perpendicular to the plane of the exposed surface of the copper layer.
  • line 510 represents the measurements taken immediately after the copper metallization layer was deposited onto the wafer.
  • Line 520 represents the measurements taken hours after the metallization layer was deposited.
  • a comparison between the curves represented by lines 510 and 520 indicates that the number of re-oriented crystals has increased over time.
  • line 530 represents the Rocking Curve of the copper metallization layer immediately after it has been deposited on the wafer
  • line 540 represents the Rocking Curve of the copper metallization layer hours after it has been deposited.
  • the width of the curve designated by line 530 at half its height, when compared to that of the curve designated by line 540 indicates that the copper crystals are becoming more aligned and that the grain sizes of the copper crystals have increased.
  • one embodiment of the present method requires that the copper metallization be allowed to self-anneal for a predetermined period of time prior to chemical mechanical planarization thereof. At room temperatures, this predetermined period of time may range, for example, between 20 and 80 hours.
  • chemical mechanical planarization may take place before the self-annealing is completed (e.g., before the end of the predetermined period) and, further, may enhance the self-annealing process by imparting activation energy to the metallization layer during the process.
  • the copper metallization layer may be annealed before or after chemical mechanical polishing at an elevated temperature which is substantially below the temperature used in the annealing processes that have been traditionally employed.
  • the wafer having the metallization layer may be placed in an oven having a temperature that is substantially below the 400 degrees Celsius traditionally thought to be necessary to promote the annealing process of copper having such small grain sizes.
  • the annealing process may be completed in about 15 minutes.
  • the annealing times become so short ( ⁇ 1 minute) so as to make annealing at higher temperatures unwarranted and wasteful.
  • Each of the disclosed embodiments of the method is particularly suitable for providing a copper metallization layer in combination with a low-K dielectric material.
  • Many low-K dielectric materials become unstable if subject to temperatures greater than about 250-300 degrees Celsius. As such, annealing at the traditional temperatures close to about 400 degrees Celsius may destroy these dielectrics.
  • the method of the present invention suggests the annealing of the copper metallization layer at temperatures substantially below 400 degrees Celsius (even ambient room temperatures typically found in clean room environments), the method is particularly suitable for use in manufacturing semiconductor devices using both copper metallization and low-K dielectric materials.
  • the wafer is not subject to any elevated temperature process to anneal the copper layer.
  • the copper metallization may be annealed at an elevated temperature that is high enough to substantially accelerate the self-annealing process while being low enough so as not to corrupt the low-K dielectric material.
  • Low-K dielectric materials suitable for use with such copper metallization layers include, but are not limited to, fluorinated silicon dioxide, polyimides, fluorinated polyimides, siloxanes, parylenes, Teflon AF, nanofoams, aerogels, xerogels.
  • Such low-K dielectrics include commercially available organic polymer dielectrics such as: Avatrel (B.F.
  • the annealing process may also be combined with the baking process required for the low-K dielectric.
  • FIGS. 2A-2G indicate that the via 420 and trench 415 are formed together.
  • the structures may be generally formed and filled separately in accordance with the single-damascene process described above.
  • the via 420 is first plated in accordance with the steps set forth in FIGS. 2A-2F while the trench 415 is subsequently plated in accordance with the steps set forth in FIGS. 2A-2F after plating of the via 420 has been completed.
  • the via 420 corresponds to the structure 405 during plating of the trench 415 .
  • the methods disclosed herein are suitable for both the single-damascene and dual-damascene processes described herein.
  • micro recessed structures other than those set forth above and employ the foregoing low temperature annealing processes.
  • recessed structures forming a pattern in a photoresist layer may be plated pursuant to other processes used to form copper micro-metallization layers and structures.
  • the seed/barrier layer is preferably only provided at the bottoms of the micro-structures and does not cover the photoresist sidewalls.
  • the copper is subject to annealing at room temperature or at an elevated temperature below about 100, substantially below the 400 degrees typically employed.

Abstract

A method for filling recessed micro-structures at a surface of a semiconductor wafer with metallization is set forth. In accordance with the method, a metal layer is deposited into the micro-structures with a process, such as an electroplating process, that generates metal grains that are sufficiently small so as to substantially fill the recessed micro-structures. The deposited metal is subsequently subjected to an annealing process at a temperature below about 100 degrees Celsius, and may even take place at ambient room temperature to allow grain growth which provides optimal electrical properties.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 09/018,783, filed Feb. 4, 1998, and claims priority from U.S. patent application Ser. No. 10/882,664, filed Jul. 1, 2004, which is a continuation of U.S. patent application Ser. No. 09/815,913, filed Mar. 23, 2001, now U.S. Pat. No. 6,806,186, which is a continuation of PCT Patent Application No. PCT/US99/23189, filed Oct. 5, 1999, and which is a continuation-in-part of U.S. patent application Ser. No. 09/018,783, filed Feb. 4, 1998, and claims the benefit of U.S. Provisional Patent Application No. 60/103,061, filed Oct. 5, 1998, all of which are hereby incorporated by reference.
  • BACKGROUND
  • In the production of semiconductor integrated circuits and other microelectronic articles from semiconductor wafers, it is often necessary to provide multiple metal layers on a substrate to serve as interconnect metallization which electrically connects the various devices on the integrated circuit to one another. Traditionally, aluminum has been used for such interconnects, however, it is now recognized that copper metallization may be preferable.
  • Modem semiconductor manufacturing processes, especially those used for advanced logic devices, make use of multiple layers of metal interconnects. As the length of metal interconnects increases and the cross-sectional area and spacing between them decreases, the RC delay caused by the interconnect wiring also increases. With the drive toward decreasing interconnect size and the increasing demands placed on the interconnects, the current aluminum interconnect technology becomes deficient. Copper interconnects can help alleviate many of the problems experienced in connection with the current aluminum technology.
  • In view of the limitations of aluminum interconnect technology, the industry has sought to use copper as the interconnect metallization by using a damascene and/or patterned plating electroplating process where holes, more commonly called vias, trenches and other recesses are used to produce the desired copper patterns. In the damascene process, the wafer is first provided with a metallic seed layer and barrier/adhesion layer which are disposed over a dielectric layer into which trenches are formed. The seed layer is used to conduct electrical current during a subsequent metal electroplating step. Preferably, the seed layer is a very thin layer of metal which can be applied using one of several processes. For example, the seed layer of metal can be laid down using physical vapor deposition or chemical vapor deposition processes to produce a layer on the order of 1000 angstroms thick. The seed layer can also be formed of copper, gold, nickel, palladium, and most or all other metals. The seed layer is formed over a surface which is convoluted by the presence of the trenches, or other device features, which are recessed into the dielectric substrate.
  • In single damascene processes using electroplating, a process employing two electroplating operations is generally employed. First, a copper layer is electroplated onto the seed layer in the form of a blanket layer. The blanket layer is plated to an extent which forms an overlying layer, with the goal of completely providing a copper layer that fills the trenches that are used to form the horizontal interconnect wiring in the dielectric substrate. The first blanket layer is then subject, for example, to a chemical mechanical polish step in which the portions of the layer extending above the trenches are removed, leaving only the trenches filled with copper. A further dielectric layer is then provided to cover the wafer surface and recessed vias are formed in the further dielectric layer. The recessed vias are disposed to overlie certain of the filled trenches. A further seed layer is applied and a further electroplated copper blanket layer is provided that extends over the surface of the further dielectric layer and fills the vias. Again, copper extending above the level of the vias is removed using, for example, chemical mechanical polishing techniques. The vias thus provide a vertical connection between the original horizontal interconnect layer and a subsequently applied horizontal interconnect layer. Electrochemical deposition of copper films has thus become an important process step in the manufacturing of high-performance microelectronic products.
  • Alternatively, the trenches and vias may be etched in the dielectric at the same time in what is commonly called a “dual damascene” process. These features are then processed, as above, with barrier layer, seed layer and fill/blanket layer which fills the trenches and vias disposed at the bottoms of the trenches at the same time. The excess material is then polished, as above, to produce inlaid conductors.
  • The electrical properties of the copper metallization are important to the performance of the associated microelectronic device. Such devices may fail if the copper metallization exhibits excessive electromigration that ultimately results in an open circuit condition in one or more of the metallization structures. One factor that has a very large influence on the electromigration resistance of sub-micron metal lines is the grain size of the deposited metal. This is because grain boundary migration occurs with a much lower activation energy than trans-granular migration.
  • To achieve the desired electrical characteristics for the copper metallization, the grain structure of each deposited blanket layer is altered through an annealing process. This annealing process is traditionally thought to require the performance of a separate processing step at which the semiconductor wafer is subject to an elevated temperature of about 400 degrees Celsius.
  • The present inventors have recognized substantial improvements over the foregoing processes employing the elevated temperature annealing. To this end, the present inventors have disclosed herein a process for filling vias, trenches, and the like using an electrochemical metal deposition process that does not require a subsequent elevated temperature annealing step or, in the alternative, that uses a subsequent elevated temperature annealing process that takes place at temperatures that are traditionally used in the copper metallization process and are compatible with low temperature semiconductor processing.
  • SUMMARY
  • A method for filling recessed micro-structures at a surface of a semiconductor wafer with metallization is set forth. In accordance with the method, a layer is deposited into the micro-structures with a process, such as an electroplating process, that generates grains that are sufficiently small so as to substantially fill the recessed micro-structures. The deposited metal is subsequently subjected to an annealing process at a temperature below about 100 degrees Celsius, and may even take place at ambient room temperature.
  • One embodiment of the method comprises providing a semiconductor wafer with a feature that is to be connected with copper metallization. At least one dielectric layer is applied over a surface of the semiconductor wafer including the feature. Recessed micro-structures are then provided in the at least one dielectric layer. A surface of the wafer, including the recessed micro-structures, is provided with barrier/adhesion layer and a seed layer for subsequent electrochemical copper deposition. Copper metallization is electrochemically deposited on the surface of the wafer to substantially fill the recessed micro-structures. The present inventors have found that such an electrochemically deposited layer may be annealed at temperatures that are substantially lower than the temperatures typically thought necessary for such annealing. Various methods are set forth that take advantage of this finding.
  • In a further embodiment of the disclosed method, the electrochemically deposited copper layer is allowed to self-anneal at ambient room temperature for a predetermined period of time before removing copper metallization from the surface of the wafer that extends beyond the recessed features.
  • In accordance with a still further embodiment of the disclosed method, subsequent wafer processing, including removal of selected areas of the copper metallization, takes place without an intermediate elevated temperature annealing step and may, for example, take place before self-annealing is allowed to occur.
  • In accordance with a still further embodiment of the method, the electrochemically deposited copper is subject to an elevated temperature annealing process. However, that annealing process takes place at a temperature below about 100 degrees Celsius or at a temperature below which an applied low-K dielectric layer suffers degradation in its mechanical and electrical properties.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates one embodiment of a plating apparatus that may be used to apply an electrochemically deposited copper metallization layer to the surface of a semiconductor wafer in accordance with the disclosed methods.
  • FIGS. 2A-2G illustrate the various steps used in one embodiment of the disclosed method.
  • FIG. 3 is a graph showing the sheet resistance of an electrochemically deposited layer that has been deposited in accordance with the disclosed method as a function of time.
  • FIGS. 4 and 5 are graphs of various x-ray scanning parameters associated with an electrochemically deposited layer that has been deposited in accordance with the disclosed method.
  • DETAILED DESCRIPTION
  • FIG. 1 shows various components of a processing station 10 suitable for electroplating a metal, such as copper, onto a semiconductor wafer in accordance with the disclosed method. The two principal parts of processing station 10 are a processing head, shown generally at 15, and an electroplating bowl assembly 20. It will be recognized, however, that a wide variety of processing station configurations may be used to implement the disclosed method and that the specific construction of the station 10 is merely exemplary. To this end, such a processing station may merely comprise an anode, one or more wafer contacts to render the wafer a cathode, a plating chamber having a plating bath that contacts both the wafer and the anode, and a source of plating power. Various configurations of these elements may be employed.
  • As shown in FIG. 1, the electroplating bowl assembly 20 includes a cup assembly 25 which is disposed within a reservoir container 30. Cup assembly 25 includes a fluid cup portion 35 holding the chemistry for the electroplating process. The cup assembly of the illustrated embodiment also has a depending skirt 40 which extends below the cup bottom 45 and may have flutes open therethrough for fluid communication and release of any gas that might collect as the chamber of the reservoir assembly below fills with liquid. The cup is preferably made from polypropylene or other suitable material.
  • A lower opening in the bottom wall of the cup assembly 25 is connected to a polypropylene riser tube 50 which, for example, is adjustable in height relative thereto by a threaded connection. A first end of the riser tube 50 is secured to the rear portion of an anode shield 55 which supports anode 60. A fluid inlet line 165 is disposed within the riser tube 50. Both the riser tube 50 and the fluid inlet line are secured with the processing bowl assembly 20 by a fitting 70. The fitting 70 can accommodate height adjustment of both the riser tube and line 65. As such, the connection between the fitting 70 and the riser tube 50 facilitates vertical adjustment of the anode position. The inlet line 65 is preferably made from a conductive material, such as titanium, and is used to conduct electrical current to the anode 60, as well as supply fluid to the cup.
  • Process fluid is provided to the cup through fluid inlet line 65 and proceeds therefrom through fluid inlet openings 75. Plating fluid then fills the chamber 35 through openings 75 as supplied by a plating fluid pump (not shown) or other suitable supply.
  • The upper edge of the cup sidewall 80 forms a weir which limits the level of electroplating solution within the cup. This level is chosen so that only the bottom surface of wafer W is contacted by the electroplating solution. Excess solution pours over this top edge surface into an overflow chamber 85.
  • The outflow liquid from chamber 85 is preferably returned to a suitable reservoir. The liquid can then be treated with additional plating chemicals or other constituents of the plating or other process liquid and used again.
  • In preferred use of the apparatus for electroplating, the anode 60 is a consumable anode used in connection with the plating of copper or other metals onto semiconductor materials. The specific anode may alternatively be an inert anode, the anode used in station 10 varying depending upon the specifics of the plating liquid and process being used.
  • The embodiment of the station shown in FIG. 1 also employs a diffuser plate 90 which is disposed above the anode 60 for providing a more even distribution of the flow of the fluid plating bath across the surface of wafer W. Fluid passages are provided over all or a portion of the diffuser plate 90 to allow fluid communication therethrough. The height of the diffuser plate within the cup assembly may be adjustable using height adjustment mechanisms 95.
  • The anode shield 55 is secured to the underside of the consumable anode 60 using anode shield fasteners 100 to prevent direct impingement by the plating solution as the solution passes into the processing chamber 35. The anode shield 55 and anode shield fasteners 100 are preferably made from a dielectric material, such as polyvinylidene fluoride or polypropylene. The anode shield serves to electrically isolate and physically protect the backside of the anode.
  • The processing head 15 holds a wafer W within the processing chamber 35. In the disclosed embodiment of station 10, the head 15 is constructed to rotate the wafer W within chamber 35. To this end, the processing head 15 includes a rotor assembly 150 having a plurality of wafer-engaging contact fingers 105 that hold the wafer against features of the rotor. Fingers 105 are preferably adapted to conduct current between the wafer and a plating electrical power supply and may be constructed in accordance with various configurations.
  • The processing head 15 is supported by an head operator 115. Head operator 115 includes an upper portion 120 which is adjustable in elevation to allow height adjustment of the processing head. Head operator 115 also has a head connection shaft 125 which is operable to pivot about a horizontal pivot axis 130. Pivotal action of the processing head using operator 115 allows the processing head to be placed in an open or face-up position (not shown) for loading and unloading wafer W. FIG. 1 illustrates the processing head pivoted into a face-down position in preparation for processing. It will be recognized that such flipping of the wafer is not necessary to the performance of the disclosed methods.
  • FIGS. 2A-2G illustrate one method of filling a trench and via formed on the surface of a semiconductor wafer wherein the electrochemically deposited copper layer may be applied using the apparatus described in connection with FIG. 1. FIG. 2A illustrates a base 400 having an area 405 which is to be connected by copper metallization. In FIG. 2B a layer 410 of dielectric material, such as silicon dioxide or a low-K dielectric material, is deposited over the base 400 including over area 405. Through a photoresist process and reactive ion etch or the like, selective portions of layer 410 are removed to form, for example, a trench 415 and via 420 into which copper metallization is to be deposited. The end structure is shown in the perspective view of FIG. 2C wherein the via 420 overlies connection area 405 and trench 415 overlies via 420. Connection area 405 may be, for example, a metallization feature above the substrate.
  • As shown in FIG. 2D, a barrier layer 423 and seed layer 425 may be disposed on the surface of dielectric layer 410. The barrier layer may be, for example, tantalum or titanium nitride. The barrier layer 423 is typically used when the structure 405 is susceptible to contamination from copper or the seed layer metal, and/or when the seed layer metal or copper may readily migrate through the dielectric layer 410 and contaminate other portions of the microelectronic circuit. As such, barrier layer 423 should be sufficiently thick along the contour of the trenches and vias to act as a diffusion barrier. Layer 423 may also function as an adhesion layer to facilitate binding between the seed layer 425 and the dielectric 410. If, however, the structure 405 is not susceptible to such contamination, there is sufficient adhesion, and the dielectric layer 410 itself acts as a barrier layer, then a separate barrier layer 423 may not be necessary. The seed layer 425 may, for example, be a copper layer or other conductive metal layer and is preferably at least 200 Angstroms thick at its thinnest point. Sidewalls 430 of the trench 415 and via 420 as well as the bottom of via 420 should be covered by the seed layer 425 and barrier layer 423 to facilitate a subsequent electrochemical copper deposition step. The seed layer 425 may be deposited through, for example, a CVD or PVD process.
  • The semiconductor wafer with the seed layer 425 is subject to a subsequent electrochemical copper deposition process. The electrochemical copper deposition process is executed so as to form numerous nucleation sites for the copper deposition to thereby form grain sizes that are substantially smaller than the characteristic dimensions of the via 420 and trench 415. An exemplary structure having such characteristics is illustrated in FIG. 2E wherein layer 440 is a layer of copper metallization that has been deposited using an electrochemical deposition process.
  • As shown in FIG. 2E, the copper metallization 440 formed in the electrochemical deposition process is deposited over the seed layer 425 and extends a distance above the surface of dielectric layer 410. Since the only features that are to contain the metallization are the via 420 and trench 415, excess copper above the dielectric layer 410 must be removed. Removal of the excess copper above the upper surface of the dielectric layer 410 may be executed using a chemical mechanical polish technique. An exemplary structure in which such removal has taken place is illustrated in FIG. 2F. After such removal, a capping barrier layer 445 may be disposed, for example, over the entire surface of the wafer, or the processes set forth in FIGS. 2A-2F may be repeated without a capping barrier layer 445 whereby the trench 415, now filled with copper metallization, corresponds to the structure 405 that further copper metallization is to contact.
  • A comparison between FIGS. 2E and 2F reveals that an increase in the grain size of the copper layer 440 has taken place. Traditionally, the change in the grain size has been forced through an annealing process. In such an annealing process, the wafer is subject to an elevated temperature that is substantially above the ambient temperature conditions normally found in a clean room. For example, such annealing usually takes place in a furnace having a temperature generally around or slightly below 400 degrees Celsius, or about half of the melting temperature of the electrodeposited copper. Annealing steps are normally performed at a temperature of at least 25 percent of the melting point temperature of the material as measured on an absolute temperature scale. As such, a separate annealing step is performed on the wafer using a separate piece of capital equipment. Such an annealing step is usually performed for each layer of metallization that is deposited on the wafer. These additional steps increase the cost of manufacturing devices from the wafer and, further, provide yet another step in which the wafer may be mishandled, contaminated, or otherwise damaged.
  • Absent such an annealing step, the traditional view is that the substantial number of grains per given volume in such sub-micron structures significantly decreases the electromigration resistance of the metal lines that are produced and gives the material a higher resistivity. This is due to the fact that grain boundary migration occurs with a much lower activation energy than trans-granular migration. As such, conventional wisdom dictates that a separate annealing step is required.
  • The present inventors have found that such a separate annealing step in which the electrochemically deposited copper is subject to a subsequent high temperature annealing process (e.g., at about 400 degrees Celsius) is not, in fact, necessary. Rather, electrochemically deposited copper metallization having grain sizes substantially smaller than the sub-micron structures that they fill may be subject to an annealing process in which the annealing of the copper metallization takes place at, for example, room temperature or at temperatures substantially below 400 degrees Celsius where the annealing process is more easily controlled and throughput is increased.
  • In accordance with one embodiment of the disclosed method, the electrochemical deposition of the copper layer 440 takes place in the apparatus set forth in FIG. 1. The processing chamber 110 is configured so that the top of the diffuser 90 is approximately between 0.5 cm-5 cm (preferably 2.0 cm) from the top of the cup 25. The distance between the top of the diffuser 90 and the top of the anode 60 is between 0.5 cm-10 cm (preferably 1.6 cm) but always greater than the diffuser to cup distance.
  • The electrochemical plating solution may be Enthone-OMI Cu Bath M Make-up Solution having 67 g/l of CuSO4, 170 g/l of H2SO4, and 70 ppm of HCl. The additive solutions utilized may be Enthone-OMI Cu Bath M-D (6.4 ml/l-make-up) and Enthone-OMI Cu Bath M LO 70/30 Special (1.6 ml/l-make-up). The flow rate through the cup 25 of this solution may be approximately 1.0-10 GPM (preferably 5.5 GPM) and the plating temperature may be between about 10-40 degrees Celsius (preferably 25 degrees Celsius). The plating bath could alternatively contain any of a number of additives from manufacturers such as Shipley (Electroposit 1100), Lea Ronal (Copper Gleam PPR), or polyethylene glycol (PEG). An alkaline plating bath suitable for electroplating microelectronic components is set forth in co-pending provisional patent application U.S. Ser. No. 60/085,675, filed 15 May 1998 and entitled “PROCESS AND PLATING SOLUTION FOR ELECTROPLATING A COPPER METALLIZATION LAYER ONTO A WORKPIECE” which is hereby incorporated by reference.
  • The electrochemical process of the disclosed embodiment may be used to electroplate a copper metallization layer onto the wafer at a thickness sufficient to at least fill the trenches and/or vias. Generally stated, the embodiment disclosed herein may be divided into five sub-processes. A dwell (pre-plate) sub-process takes place when the wafer is first introduced to the electroplating bath. At that time, no plating current is provided. Rather, the surface of the wafer that is to be plated is exposed to the plating bath for a predetermined period of time without plating power, such as for five seconds.
  • After the dwell cycle, a low current initiation sub-process may ensue. During the low current initiation sub-process, a low plating current is provided between the anode and the wafer. In accordance with the disclosed embodiment, a direct current with a current density of approximately 3.2 mA/cm.sup.2 is utilized. The low current process may proceed, for example, for a predetermined period of time such as 30 seconds.
  • After the low current initiation sub-process is completed, a high current plating sub-process is initiated. It is during this sub-process that a majority of the copper is plated onto the wafer. During this step, a high plating current is provided for the electroplating operations. The plating waveform may be a constant voltage or current, a forward-only pulsed voltage or current, or a forward and reverse voltage or current. In accordance with the disclosed embodiment, and average cathode current density of approximately 20 mA/cm.sup.2 is used with a current waveform that is direct current, forward pulsed, or reverse pulsed. Preferably a direct current or forward only pulsed current is utilized with a frequency between 1 and 1000 Hz. More preferably, the frequency is between 5 and 20 Hz, with a duty cycle between 50 percent and 95 percent. More preferably, the duty cycle is between 65 percent and 85 percent. The time duration of the high current plating sub-process is dependent on the nominal thickness of the copper metallization layer that is to be applied to the wafer. For a copper metallization layer having a nominal thickness of 1.5 microns, the high current sub-process proceeds for approximately three minutes and 40 seconds. During both the low current initiation and high current plating sub-processes, the wafer is preferably spun on the rotor at a rate of between about 1-100 rpm (preferably 20 rpm).
  • Once the desired amount of copper has been plated onto the wafer, the wafer is lifted from contact with the plating solution. This process takes approximately two seconds, after which the wafer is spun on the rotor to remove the plating solution. For example, the wafer may be spun at 200-2000 rpm (preferably 500 rpm) for a time period of five seconds to remove the majority of the electroplating solution from the surface of the wafer. Subsequent rinsing and drying steps may be executed on the wafer in, for example, other processing chambers dedicated to such functions.
  • The foregoing process generates nucleation sites, grain growth mechanisms, and copper grain sizes that are sufficiently small so as to fill trenches and vias with widths as low or less than 0.3 micron and aspect ratios greater than 4-to-1. Initial grain size may be varied depending upon the plating waveform used and/or the additives used in the plating solution. Despite the small copper grain size that results from these processes, the resulting copper metallization layer may be annealed at substantially lower temperatures than traditionally suggested to form substantially larger copper grains thereby providing the copper with enhanced electrical characteristics when compared to copper deposition processes that do not promote self-annealing.
  • FIGS. 3-5 are derived from experimental data obtained by the present inventors on two different wafers showing that copper metallization deposited in a process in which the initial grain size of the copper crystals is sufficiently small so as to fill sub-micron dimension trenches and vias undergoes a self-annealing process at room temperature. FIG. 3 is a graph of the sheet resistance, Rs, over time of a 1.5 micron copper film deposited in the manner stated above. As illustrated, the sheet resistance begins to decrease approximately eight hours after the copper metallization has been electrochemically deposited on the wafer. After about 20 hours, a substantial decrease in the sheet resistance takes place until, ultimately, the sheet resistance is stabilized at a time between 40 and 80 hours after the deposition. Such measurements were made using a 4-point probe such as a Prometrix RS30.
  • FIGS. 4 and 5 relate to x-ray diffraction scanning of the electrochemically deposited copper layer. With respect to FIG. 4, the area under each curve is proportional to the volume of the copper film with crystals having their [111] crystal plane directions perpendicular to the plane of the exposed surface of the copper layer. As illustrated, line 510 represents the measurements taken immediately after the copper metallization layer was deposited onto the wafer. Line 520 represents the measurements taken hours after the metallization layer was deposited. A comparison between the curves represented by lines 510 and 520 indicates that the number of re-oriented crystals has increased over time.
  • In the Rocking Curves of FIG. 5, line 530 represents the Rocking Curve of the copper metallization layer immediately after it has been deposited on the wafer, while line 540 represents the Rocking Curve of the copper metallization layer hours after it has been deposited. The width of the curve designated by line 530 at half its height, when compared to that of the curve designated by line 540, indicates that the copper crystals are becoming more aligned and that the grain sizes of the copper crystals have increased.
  • Pursuant to the foregoing findings, one embodiment of the present method requires that the copper metallization be allowed to self-anneal for a predetermined period of time prior to chemical mechanical planarization thereof. At room temperatures, this predetermined period of time may range, for example, between 20 and 80 hours. In accordance with a further embodiment of the method, chemical mechanical planarization may take place before the self-annealing is completed (e.g., before the end of the predetermined period) and, further, may enhance the self-annealing process by imparting activation energy to the metallization layer during the process.
  • In accordance with a still further embodiment of the method, the copper metallization layer may be annealed before or after chemical mechanical polishing at an elevated temperature which is substantially below the temperature used in the annealing processes that have been traditionally employed. To this end, the wafer having the metallization layer may be placed in an oven having a temperature that is substantially below the 400 degrees Celsius traditionally thought to be necessary to promote the annealing process of copper having such small grain sizes. At a low temperature of about 60 degrees Celsius, the annealing process may be completed in about 15 minutes. At temperatures above 100 degrees Celsius, the annealing times become so short (<1 minute) so as to make annealing at higher temperatures unwarranted and wasteful.
  • Each of the disclosed embodiments of the method is particularly suitable for providing a copper metallization layer in combination with a low-K dielectric material. Many low-K dielectric materials become unstable if subject to temperatures greater than about 250-300 degrees Celsius. As such, annealing at the traditional temperatures close to about 400 degrees Celsius may destroy these dielectrics. Since the method of the present invention suggests the annealing of the copper metallization layer at temperatures substantially below 400 degrees Celsius (even ambient room temperatures typically found in clean room environments), the method is particularly suitable for use in manufacturing semiconductor devices using both copper metallization and low-K dielectric materials. With respect to the first and second embodiments of the method noted above, the wafer is not subject to any elevated temperature process to anneal the copper layer. With respect to the third embodiment discussed above, the copper metallization may be annealed at an elevated temperature that is high enough to substantially accelerate the self-annealing process while being low enough so as not to corrupt the low-K dielectric material. Low-K dielectric materials suitable for use with such copper metallization layers include, but are not limited to, fluorinated silicon dioxide, polyimides, fluorinated polyimides, siloxanes, parylenes, Teflon AF, nanofoams, aerogels, xerogels. Such low-K dielectrics include commercially available organic polymer dielectrics such as: Avatrel (B.F. Goodrich); BCB and PFCB (Dow Chemical); Flare 1.0 and Flare 1.5 (Allied Signal); PAE2 (Schumacher); and PQ100 and PQ600 (Hitachi). In such instances, the annealing process may also be combined with the baking process required for the low-K dielectric.
  • The process illustrated in FIGS. 2A-2G indicate that the via 420 and trench 415 are formed together. However, it will be recognized that the structures may be generally formed and filled separately in accordance with the single-damascene process described above. In such instances, the via 420 is first plated in accordance with the steps set forth in FIGS. 2A-2F while the trench 415 is subsequently plated in accordance with the steps set forth in FIGS. 2A-2F after plating of the via 420 has been completed. In effect, the via 420 corresponds to the structure 405 during plating of the trench 415. The methods disclosed herein are suitable for both the single-damascene and dual-damascene processes described herein.
  • It is also possible to plate micro recessed structures other than those set forth above and employ the foregoing low temperature annealing processes. For example, recessed structures forming a pattern in a photoresist layer may be plated pursuant to other processes used to form copper micro-metallization layers and structures. In such processes, the seed/barrier layer is preferably only provided at the bottoms of the micro-structures and does not cover the photoresist sidewalls. After the plating of the recessed micro-structures, the copper is subject to annealing at room temperature or at an elevated temperature below about 100, substantially below the 400 degrees typically employed.
  • Numerous modifications may be made to the foregoing system without departing from the basic teachings thereof. Although the present invention has been described in substantial detail with reference to one or more specific embodiments, those of skill in the art will recognize that changes may be made thereto without departing from the scope and spirit of the invention as set forth in the appended claims.

Claims (19)

1. A semiconductor workpiece comprising:
a surface; and
recessed microstructures at the surface, wherein the recessed microstructures are filled with copper metal by depositing copper into recessed micro-structures using an electrochemical process that generated copper grains that are sufficiently small so as to substantially fill the recessed microstructures and subjecting the surface of the semiconductor workpiece with the deposited copper to an elevated temperature annealing process at a temperature below about 100 degrees Celsius for a time period that was sufficient to increase the grain size of the deposited copper.
2. The semiconductor workpiece in claim 1 wherein the copper was deposited using an electroplating process.
3. The semiconductor workpiece in claim 1 wherein an electroplating waveform was used, at least in part, to ensure the sufficiently small copper grain size generation within the recessed microstructures.
4. The semiconductor workpiece in claim 1 wherein an electroplating solution additive wass used, at least in part, to ensure the sufficiently small copper grain size generation within the recessed microstructures.
5. A semiconductor workpiece comprising:
a surface; and
recessed microstructures at the surface, wherein the recessed microstructures are filled with copper metal by depositing copper into the recessed microstructures using an electrochemical process generating copper grains that are sufficiently small so as to substantially fill the recessed microstructures and subjecting the surface of the semiconductor workpiece and the deposited copper to an elevated temperature annealing process at a temperature at or below about 250 degrees Celsius for a time period of no longer than 15 minutes, which time period was sufficient to increase the grain size of the deposited copper.
6. The semiconductor workpiece in claim 5 wherein an electroplating waveform was used, at least in part, to ensure the sufficiently small metal grain size.
7. The semiconductor workpiece in claim 5 wherein an electroplating solution additive was used, at least in part, to ensure the sufficiently small metal grain size.
8. A semiconductor workpiece comprising:
a surface; and
recessed microstructures at the surface, wherein the recessed microstructures are filled with copper metal by depositing copper into the recessed micro-structures using an electrochemical process generating copper grains that are sufficiently small so as to substantially fill the recessed microstructures and subjecting the surface of the semiconductor workpiece with the deposited copper to an elevated temperature annealing process at a temperature selected to be below a predetermined temperature at which the low-K dielectric layer would suffer substantial degradation.
9. A semiconductor workpiece comprising:
a surface;
a feature that is connected with copper metallization;
at least one low-K dielectric layer over the surface of the semiconductor workpiece including the feature;
recessed microstructures in the at least one low-K dielectric layer; and
a metal seed layer in the recessed microstructures that are filled with copper by electrochemically depositing a copper layer onto the surface of the workpiece using a process that generated copper grains that are sufficiently small to substantially fill the recessed microstructures and annealing the electrochemically deposited copper for a predetermined period of time at an elevated temperature selected to be below a predetermined temperature at which the low-K dielectric layer would substantially degrade and removing copper metallization from the surface of the workpiece except from the recessed microstructures, after the annealing of the copper.
10. The semiconductor workpiece of claim 9 further comprising at least one barrier layer over the dielectric layer and under the metal seed layer.
11. The semiconductor workpiece of claim 9 wherein the seed layer comprises a chemical vapor deposited seed layer.
12. The semiconductor workpiece of claim 9 further comprising at least one adhesion layer over the dielectric layer and under the metal seed layer.
13. A semiconductor workpiece comprising:
a surface;
a feature that is connected with copper metallization;
at least one low-K dielectric layer over the surface of the semiconductor workpiece including the feature;
recessed microstructures in the at least one low-K dielectric layer; and
a metal seed layer in the recessed microstructures that are filled with copper by electrolytically depositing a copper layer onto the surface of the workpiece using an electrolytic process that generated copper grains that are sufficiently small to substantially fill the recessed microstructures and subjecting the electrolytically deposited copper layer to an annealing process at a temperature at or below about 250 to 300 degrees Celsius to increase the copper grain size.
14. A semiconductor workpiece comprising:
a surface;
a feature that is connected with copper metallization;
at least one low-K dielectric layer over the surface of the semiconductor workpiece including the feature;
recessed microstructures in the at least one low-K dielectric layer;
a metal seed layer in the recessed microstructures that are filled with copper by electrolytically depositing a copper layer to the surface of the workpiece using an electrolytic process that generated copper grains having a size sufficiently small to substantially fill the recessed microstructures and subjecting the electrolytically deposited copper layer to an annealing process at a temperature below which the low-K dielectric layer substantially degrades; and
at least one barrier layer over the low-K dielectric layer and under the metal seed layer.
15. The semiconductor workpiece of claim 9 further comprising the annealing taking place at a temperature corresponding to a baking temperature of the low-K dielectric.
16. A semiconductor workpiece comprising;
a base having a surface;
a dielectric layer carried on the surface of the base;
recessed sub-micron structures formed in the dielectric layer;
a conductive seed layer on the dielectric layer and in the recessed sub-micron structures wherein the recessed sub-micron structures are filled with copper by contacting the conductive seed layer with a copper-containing electroplating solution, applying electroplating power to the seed layer at a first power level for a predetermined first period of time, then applying electroplating power to the seed layer a higher second power level for a time sufficient to electrolytically substantially fill the recessed sub-micron structures with copper metal and to deposit excess copper metal which extends above a surface of the dielectric layer; and
wherein a resistivity of the electrolytically deposited copper metal the recessed sub-micron structures was reduced by subjecting the workpiece to an elevated temperature annealing process at a temperature that is at or below about 250 degrees Celsius.
17. The semiconductor workpiece of claim 16 wherein the annealing process was carried out at a temperature that is at or below about 100 degrees Celsius.
18. The semiconductor workpiece of claim 16 wherein the annealing process was carried out at a temperature that is between about 60 degrees Celsius and about 100 degrees Celsius.
19. The semiconductor workpiece of claim 16 further comprising at least one barrier layer over the dielectric layer and under the conductive seed layer.
US11/439,720 1998-02-04 2006-05-23 Method for filling recessed micro-structures with metallization in the production of a microelectronic device Abandoned US20060208272A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/439,720 US20060208272A1 (en) 1998-02-04 2006-05-23 Method for filling recessed micro-structures with metallization in the production of a microelectronic device

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/018,783 US7244677B2 (en) 1998-02-04 1998-02-04 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10306198P 1998-10-05 1998-10-05
PCT/US1999/023189 WO2000020946A2 (en) 1998-10-05 1999-10-05 Inflatable and collapsible screen
US09/815,931 US6806186B2 (en) 1998-02-04 2001-03-23 Submicron metallization using electrochemical deposition
US10/882,664 US7144805B2 (en) 1998-02-04 2004-07-01 Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density
US11/439,720 US20060208272A1 (en) 1998-02-04 2006-05-23 Method for filling recessed micro-structures with metallization in the production of a microelectronic device

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US09/018,783 Continuation US7244677B2 (en) 1998-02-04 1998-02-04 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10/882,664 Continuation US7144805B2 (en) 1998-02-04 2004-07-01 Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density

Publications (1)

Publication Number Publication Date
US20060208272A1 true US20060208272A1 (en) 2006-09-21

Family

ID=21789765

Family Applications (7)

Application Number Title Priority Date Filing Date
US09/018,783 Expired - Fee Related US7244677B2 (en) 1998-02-04 1998-02-04 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US09/815,931 Expired - Fee Related US6806186B2 (en) 1998-02-04 2001-03-23 Submicron metallization using electrochemical deposition
US09/880,715 Abandoned US20030045095A1 (en) 1998-02-04 2001-06-12 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10/112,166 Expired - Lifetime US6753251B2 (en) 1998-02-04 2002-03-28 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10/882,664 Expired - Fee Related US7144805B2 (en) 1998-02-04 2004-07-01 Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density
US11/439,720 Abandoned US20060208272A1 (en) 1998-02-04 2006-05-23 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US11/593,362 Abandoned US20070114133A1 (en) 1998-02-04 2006-11-06 Method for filling recessed micro-structures with metallization in the production of a microelectronic device

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US09/018,783 Expired - Fee Related US7244677B2 (en) 1998-02-04 1998-02-04 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US09/815,931 Expired - Fee Related US6806186B2 (en) 1998-02-04 2001-03-23 Submicron metallization using electrochemical deposition
US09/880,715 Abandoned US20030045095A1 (en) 1998-02-04 2001-06-12 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10/112,166 Expired - Lifetime US6753251B2 (en) 1998-02-04 2002-03-28 Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US10/882,664 Expired - Fee Related US7144805B2 (en) 1998-02-04 2004-07-01 Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/593,362 Abandoned US20070114133A1 (en) 1998-02-04 2006-11-06 Method for filling recessed micro-structures with metallization in the production of a microelectronic device

Country Status (1)

Country Link
US (7) US7244677B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141767A1 (en) * 2004-12-29 2006-06-29 Han Jae W Metal wiring for semiconductor device and method for forming the same
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
WO2011126914A1 (en) * 2010-04-06 2011-10-13 Nexx Systems, Inc. Seed layer deposition in microscale features

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
DE69929967T2 (en) * 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara ELECTROPLATING SYSTEM AND METHOD FOR ELECTROPLATING ON SUBSTRATES
EP1091024A4 (en) * 1998-04-30 2006-03-22 Ebara Corp Method and device for plating substrate
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
EP1192298A4 (en) * 1999-04-13 2006-08-23 Semitool Inc System for electrochemically processing a workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7388289B1 (en) * 1999-09-02 2008-06-17 Micron Technology, Inc. Local multilayered metallization
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
WO2001084621A1 (en) * 2000-04-27 2001-11-08 Ebara Corporation Rotation holding device and semiconductor substrate processing device
TW562878B (en) * 2000-06-30 2003-11-21 Ebara Corp Copper-plating liquid, plating method and plating apparatus
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6432821B1 (en) * 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
WO2003018874A2 (en) * 2001-08-31 2003-03-06 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
WO2003088316A2 (en) * 2002-04-12 2003-10-23 Acm Research, Inc. Electropolishing and electroplating methods
US20040000488A1 (en) * 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20040149584A1 (en) * 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP4066820B2 (en) * 2003-01-17 2008-03-26 豊田合成株式会社 Manufacturing method for forming wiring layer mainly composed of copper (Cu) on semiconductor
US7001840B1 (en) * 2003-02-10 2006-02-21 Advanced Micro Devices, Inc. Interconnect with multiple layers of conductive material with grain boundary between the layers
JP2004315889A (en) * 2003-04-16 2004-11-11 Ebara Corp Method for plating semiconductor substrate
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7465661B2 (en) * 2003-05-28 2008-12-16 The United States Of America As Represented By The Secretary Of The Navy High aspect ratio microelectrode arrays
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7122466B2 (en) * 2003-07-28 2006-10-17 Texas Instruments Incorporated Two step semiconductor manufacturing process for copper interconnects
EP1667509A4 (en) * 2003-09-09 2009-05-20 Hoya Corp Method for manufacturing double-sided printed glass board
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US20060009047A1 (en) * 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
KR100639073B1 (en) * 2005-05-10 2006-10-30 한국과학기술원 Method of forming metal interconnect for semiconductor device by selective damascene process
KR100617070B1 (en) * 2005-09-13 2006-08-30 동부일렉트로닉스 주식회사 Method for cleaning electronic chemical planting cell
FR2890983B1 (en) * 2005-09-20 2007-12-14 Alchimer Sa ELECTRODEPOSITION COMPOSITION FOR COATING A SURFACE OF A SUBSTRATE WITH A METAL
FR2890984B1 (en) * 2005-09-20 2009-03-27 Alchimer Sa ELECTRODEPOSITION PROCESS FOR COATING A SURFACE OF A SUBSTRATE WITH A METAL
JP4764718B2 (en) * 2005-12-28 2011-09-07 新光電気工業株式会社 Through-hole filling method
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
KR100854910B1 (en) * 2006-12-28 2008-08-28 주식회사 하이닉스반도체 Method of forming a metal wire in a semiconductor device
US7656493B2 (en) * 2007-07-31 2010-02-02 Arthur Alan R Pixel well electrodes
US8784636B2 (en) * 2007-12-04 2014-07-22 Ebara Corporation Plating apparatus and plating method
US7727890B2 (en) * 2007-12-10 2010-06-01 International Business Machines Corporation High aspect ratio electroplated metal feature and method
US8661664B2 (en) 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
US8617982B2 (en) * 2010-10-05 2013-12-31 Novellus Systems, Inc. Subtractive patterning to define circuit components
JP5504147B2 (en) 2010-12-21 2014-05-28 株式会社荏原製作所 Electroplating method
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US9418937B2 (en) 2011-12-09 2016-08-16 Infineon Technologies Ag Integrated circuit and method of forming an integrated circuit
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
KR102049569B1 (en) 2013-08-01 2019-11-28 삼성디스플레이 주식회사 Method for constituting structure including micro pattern, method for constituting nano pattern, and method for manufacturing display panel for liquid crystal display
JP6350064B2 (en) * 2013-10-09 2018-07-04 日立化成株式会社 Manufacturing method of multilayer wiring board
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10154598B2 (en) 2014-10-13 2018-12-11 Rohm And Haas Electronic Materials Llc Filling through-holes
US9735051B2 (en) 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US10329683B2 (en) 2016-11-03 2019-06-25 Lam Research Corporation Process for optimizing cobalt electrofill using sacrificial oxidants
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US20210360797A1 (en) * 2018-09-20 2021-11-18 Industrial Technology Research Institute Copper metallization for through-glass vias on thin glass
JP2022521578A (en) 2019-02-21 2022-04-11 コーニング インコーポレイテッド Glass or glass-ceramic articles with copper metallized through holes and their manufacturing methods

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2443599A (en) * 1942-05-04 1948-06-22 Poor & Co Electroplating method employing pulsating current of adjustable wave form
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3658663A (en) * 1970-03-03 1972-04-25 Japan Electro Plating Co Method for effecting partial metal plating
US3664933A (en) * 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3715289A (en) * 1971-02-08 1973-02-06 Stauffer Chemical Co Brightener composition for acid copper electroplating baths
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3862891A (en) * 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US3878066A (en) * 1972-09-06 1975-04-15 Manfred Dettke Bath for galvanic deposition of gold and gold alloys
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
US4092176A (en) * 1975-12-11 1978-05-30 Nippon Electric Co., Ltd. Apparatus for washing semiconductor wafers
US4134802A (en) * 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4135636A (en) * 1976-05-15 1979-01-23 Udo Schutz Kg Outlet pipe closure for liquid containers
US4250004A (en) * 1980-02-25 1981-02-10 Olin Corporation Process for the preparation of low overvoltage electrodes
US4253919A (en) * 1980-01-21 1981-03-03 The International Nickel Company, Inc. Electrodeposition of cadmium-selenium semiconducting photoelectrodes from an acid citrate bath
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4384930A (en) * 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4385978A (en) * 1981-09-14 1983-05-31 Rca Corporation Cathode head
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4514265A (en) * 1984-07-05 1985-04-30 Rca Corporation Bonding pads for semiconductor devices
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4576689A (en) * 1979-06-19 1986-03-18 Makkaev Almaxud M Process for electrochemical metallization of dielectrics
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4810333A (en) * 1987-12-14 1989-03-07 Shipley Company Inc. Electroplating process
US4891069A (en) * 1986-06-06 1990-01-02 Techno Instruments Investments 1983 Ltd. Composition for the electrolytic coating of circuit boards without an electroless metal coating
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US4990224A (en) * 1988-12-21 1991-02-05 International Business Machines Corporation Copper plating bath and process for difficult to plate metals
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5115430A (en) * 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5207883A (en) * 1990-12-21 1993-05-04 De Nora Permelec S.P.A. Jumper switch means
US5209817A (en) * 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5277985A (en) * 1991-11-12 1994-01-11 Cornell Research Foundation Process for fabricating copper interconnects in ultra large scale integrated (ULSI) circuits
US5284548A (en) * 1993-03-03 1994-02-08 Microelectronics And Computer Technology Corporation Process for producing electrical circuits with precision surface features
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5302464A (en) * 1991-03-04 1994-04-12 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Method of plating a bonded magnet and a bonded magnet carrying a metal coating
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5314756A (en) * 1991-11-27 1994-05-24 Hitachi Metals, Ltd. Permanent magnet of rare-earth-element/transition-metal system having improved corrosion resistance and manufacturing method thereof
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5403468A (en) * 1991-03-13 1995-04-04 Kawasaki Steel Corporation Process for the manufacture of tinplate using a fused tin chloride electroplating bath
US5409587A (en) * 1993-09-16 1995-04-25 Micron Technology, Inc. Sputtering with collinator cleaning within the sputtering chamber
US5411076A (en) * 1993-02-12 1995-05-02 Dainippon Screen Mfg. Co., Ltd. Corp. Of Japan Substrate cooling device and substrate heat-treating apparatus
US5482891A (en) * 1995-03-17 1996-01-09 Motorola, Inc. VCSEL with an intergrated heat sink and method of making
US5484518A (en) * 1994-03-04 1996-01-16 Shipley Company Inc. Electroplating process
US5516416A (en) * 1994-12-14 1996-05-14 International Business Machines Corporation Apparatus and method for electroplating pin grid array packaging modules
US5600532A (en) * 1994-04-11 1997-02-04 Ngk Spark Plug Co., Ltd. Thin-film condenser
US5605615A (en) * 1994-12-05 1997-02-25 Motorola, Inc. Method and apparatus for plating metals
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5627102A (en) * 1993-03-23 1997-05-06 Kawasaki Steel Corporation Method for making metal interconnection with chlorine plasma etch
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5707466A (en) * 1995-03-31 1998-01-13 California Institute Of Technology Method and apparatus for selectively annealing heterostructures using microwave
US5718477A (en) * 1995-04-11 1998-02-17 Keiper Recaro Gmbh & Co. Rail pair for motor vehicle seats
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US5730854A (en) * 1996-05-30 1998-03-24 Enthone-Omi, Inc. Alkoxylated dimercaptans as copper additives and de-polarizing additives
US5744019A (en) * 1995-11-29 1998-04-28 Aiwa Research And Development, Inc. Method for electroplating metal films including use a cathode ring insulator ring and thief ring
US5747355A (en) * 1993-03-30 1998-05-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing a transistor using anodic oxidation
US5873992A (en) * 1995-04-17 1999-02-23 The Board Of Trustees Of The University Of Arkansas Method of electroplating a substrate, and products made thereby
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5892207A (en) * 1995-12-01 1999-04-06 Teisan Kabushiki Kaisha Heating and cooling apparatus for reaction chamber
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5893725A (en) * 1996-06-24 1999-04-13 Intel Corporation C4 substrate contact pad which has a layer of NI-B plating
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6036836A (en) * 1996-12-20 2000-03-14 Peeters; Joris Antonia Franciscus Process to create metallic stand-offs on an electronic circuit
US6043153A (en) * 1997-09-25 2000-03-28 Advanced Micro Devices, Inc. Method for reducing electromigration in a copper interconnect
US6062424A (en) * 1997-04-18 2000-05-16 Smithkline Beecham Corporation Convertible package dispenser
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6184068B1 (en) * 1994-06-02 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6228768B1 (en) * 1998-11-02 2001-05-08 Advanced Micro Devices, Inc. Storage-annealing plated CU interconnects
US20020000271A1 (en) * 1998-02-04 2002-01-03 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US20020004301A1 (en) * 1998-02-04 2002-01-10 Semitool, Inc. Submicron metallization using electrochemical deposition
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL291575A (en) 1962-04-16
BE758101A (en) * 1969-11-04 1971-04-28 Inst Francais Du Petrole ELIMINATION OF TRACES OF HYDROGEN SULFIDE AND MERCAPTANS IN GASES
BE788117A (en) 1971-08-30 1973-02-28 Perstorp Ab PROCESS FOR THE PRODUCTION OF ELEMENTS FOR PRINTED CIRCUITS
BE791401A (en) 1971-11-15 1973-05-14 Monsanto Co ELECTROCHEMICAL COMPOSITIONS AND PROCESSES
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
US3894918A (en) 1973-12-20 1975-07-15 Western Electric Co Methods of treating portions of articles
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
GB1526076A (en) 1975-03-11 1978-09-27 Oxy Metal Industries Corp Electrodeposition of copper
DE2512115C3 (en) 1975-03-19 1979-06-21 Siemens Ag, 1000 Berlin Und 8000 Muenchen Process for the production of microscopic metal and metal alloy structures for a cylindrical domain memory
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
JPS52141526A (en) * 1975-10-27 1977-11-25 Seiko Epson Corp Voltage and temperature compensating control of thermal printer
JPS5819350B2 (en) 1976-04-08 1983-04-18 富士写真フイルム株式会社 Spin coating method
JPS5321048A (en) 1976-08-10 1978-02-27 Nippon Electric Co Constant current density plating device
US4100054A (en) 1977-03-11 1978-07-11 Essex Group, Inc. Combination insulating sleeve and electrical contact member for electro-plating rack
US4138802A (en) * 1977-11-21 1979-02-13 Weisner Hassel L Method of growing plants in the roots of a live osmunda fern
US4279948A (en) 1978-05-25 1981-07-21 Macdermid Incorporated Electroless copper deposition solution using a hypophosphite reducing agent
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4401521A (en) 1980-11-28 1983-08-30 Asahi Kasei Kogyo Kabushiki Kaisha Method for manufacturing a fine-patterned thick film conductor structure
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4539222A (en) 1983-11-30 1985-09-03 International Business Machines Corporation Process for forming metal patterns wherein metal is deposited on a thermally depolymerizable polymer and selectively removed
US4624749A (en) 1985-09-03 1986-11-25 Harris Corporation Electrodeposition of submicrometer metallic interconnect for integrated circuits
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4869971A (en) 1986-05-22 1989-09-26 Nee Chin Cheng Multilayer pulsed-current electrodeposition process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4781801A (en) 1987-02-03 1988-11-01 Mcgean-Rohco, Inc. Method of copper plating gravure rolls
DE3719952A1 (en) 1987-06-15 1988-12-29 Convac Gmbh DEVICE FOR TREATING WAFERS IN THE PRODUCTION OF SEMICONDUCTOR ELEMENTS
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US4879007B1 (en) 1988-12-12 1999-05-25 Process Automation Int L Ltd Shield for plating bath
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5021129A (en) 1989-09-25 1991-06-04 International Business Machines Corporation Multilayer structures of different electroactive materials and methods of fabrication thereof
US5801444A (en) 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5160600A (en) 1990-03-05 1992-11-03 Patel Gordhanbai N Chromic acid free etching of polymers for electroless plating
US5222310A (en) 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5431803A (en) 1990-05-30 1995-07-11 Gould Electronics Inc. Electrodeposited copper foil and process for making same
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5145571A (en) 1990-08-03 1992-09-08 Bipolar Integrated Technology, Inc. Gold interconnect with sidewall-spacers
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5135636A (en) 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
JP2768390B2 (en) 1990-12-11 1998-06-25 インターナショナル・ビジネス・マシーンズ・コーポレイション Method of conditioning a substrate for electroless metal deposition
US5164332A (en) 1991-03-15 1992-11-17 Microelectronics And Computer Technology Corporation Diffusion barrier for copper features
US5310602A (en) 1991-11-12 1994-05-10 Cornell Research Foundation Self-aligned process for capping copper lines
JP2734269B2 (en) 1991-12-26 1998-03-30 日本電気株式会社 Semiconductor manufacturing equipment
JP3200468B2 (en) 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
JP2654314B2 (en) 1992-06-04 1997-09-17 東京応化工業株式会社 Backside cleaning device
US5685970A (en) 1992-07-01 1997-11-11 Gould Electronics Inc. Method and apparatus for sequentially metalized polymeric films and products made thereby
US5441618A (en) 1992-11-10 1995-08-15 Casio Computer Co., Ltd. Anodizing apparatus and an anodizing method
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5372848A (en) 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
DE4400200C2 (en) 1993-01-05 1997-09-04 Toshiba Kawasaki Kk Semiconductor device with improved wiring structure and method of manufacturing the same
TW262566B (en) 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
EP0634699A1 (en) 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5958207A (en) 1994-10-01 1999-09-28 Heidelberger Druckmaschinen Ag Process for applying a surface coating
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
JPH07193214A (en) 1993-12-27 1995-07-28 Mitsubishi Electric Corp Via-hole and its formation
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
KR100232506B1 (en) 1995-06-27 1999-12-01 포만 제프리 엘. Copper alloys for chip and package interconnections and method of making
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5741435A (en) 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5576052A (en) 1996-04-22 1996-11-19 Motorola, Inc. Method of metallizing high aspect ratio apertures
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5814557A (en) 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US6072160A (en) 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US5937142A (en) 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6099712A (en) * 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
DE69703798T2 (en) 1997-02-03 2001-08-02 Okuno Chem Ind Co METHOD FOR ELECTRO-COATING NON-CONDUCTIVE MATERIALS
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6157106A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Magnetically-levitated rotor system for an RTP chamber
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6054173A (en) 1997-08-22 2000-04-25 Micron Technology, Inc. Copper electroless deposition on a titanium-containing surface
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6073681A (en) 1997-12-31 2000-06-13 Temptronic Corporation Workpiece chuck
US6254758B1 (en) * 1998-02-02 2001-07-03 Shinko Electric Industries Co., Ltd. Method of forming conductor pattern on wiring board
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US5939788A (en) 1998-03-11 1999-08-17 Micron Technology, Inc. Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with cooper
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6126761A (en) 1998-06-10 2000-10-03 International Business Machines Corporation Process of controlling grain growth in metal films
JPH11354260A (en) 1998-06-11 1999-12-24 Shin Etsu Chem Co Ltd Multiple-layered ceramic heater
EP1099012A4 (en) 1998-07-10 2006-11-15 Semitool Inc Method and apparatus for copper plating using electroless plating and electroplating
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6297154B1 (en) 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6108937A (en) * 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6121141A (en) * 1998-11-24 2000-09-19 Advanced Micro Devices, Inc. Method of forming a void free copper interconnects
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6100195A (en) * 1998-12-28 2000-08-08 Chartered Semiconductor Manu. Ltd. Passivation of copper interconnect surfaces with a passivating metal layer
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6319831B1 (en) 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
US6130415A (en) 1999-04-22 2000-10-10 Applied Materials, Inc. Low temperature control of rapid thermal processes
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6278089B1 (en) * 1999-11-02 2001-08-21 Applied Materials, Inc. Heater for use in substrate processing
US6780374B2 (en) * 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6638849B2 (en) * 2001-05-30 2003-10-28 Winbond Electronics Corp. Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US6689686B2 (en) * 2001-09-27 2004-02-10 Texas Instruments Incorporated System and method for electroplating fine geometries
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2443599A (en) * 1942-05-04 1948-06-22 Poor & Co Electroplating method employing pulsating current of adjustable wave form
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3664933A (en) * 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3658663A (en) * 1970-03-03 1972-04-25 Japan Electro Plating Co Method for effecting partial metal plating
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3715289A (en) * 1971-02-08 1973-02-06 Stauffer Chemical Co Brightener composition for acid copper electroplating baths
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
US3878066A (en) * 1972-09-06 1975-04-15 Manfred Dettke Bath for galvanic deposition of gold and gold alloys
US3862891A (en) * 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4092176A (en) * 1975-12-11 1978-05-30 Nippon Electric Co., Ltd. Apparatus for washing semiconductor wafers
US4135636A (en) * 1976-05-15 1979-01-23 Udo Schutz Kg Outlet pipe closure for liquid containers
US4134802A (en) * 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4576689A (en) * 1979-06-19 1986-03-18 Makkaev Almaxud M Process for electrochemical metallization of dielectrics
US4253919A (en) * 1980-01-21 1981-03-03 The International Nickel Company, Inc. Electrodeposition of cadmium-selenium semiconducting photoelectrodes from an acid citrate bath
US4250004A (en) * 1980-02-25 1981-02-10 Olin Corporation Process for the preparation of low overvoltage electrodes
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
US4384930A (en) * 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4385978A (en) * 1981-09-14 1983-05-31 Rca Corporation Cathode head
US4435266A (en) * 1981-10-01 1984-03-06 Emi Limited Electroplating arrangements
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4514265A (en) * 1984-07-05 1985-04-30 Rca Corporation Bonding pads for semiconductor devices
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US4891069A (en) * 1986-06-06 1990-01-02 Techno Instruments Investments 1983 Ltd. Composition for the electrolytic coating of circuit boards without an electroless metal coating
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
US4810333A (en) * 1987-12-14 1989-03-07 Shipley Company Inc. Electroplating process
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US4990224A (en) * 1988-12-21 1991-02-05 International Business Machines Corporation Copper plating bath and process for difficult to plate metals
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5084412A (en) * 1989-10-02 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device with a copper wiring layer
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US5115430A (en) * 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5207883A (en) * 1990-12-21 1993-05-04 De Nora Permelec S.P.A. Jumper switch means
US5290361A (en) * 1991-01-24 1994-03-01 Wako Pure Chemical Industries, Ltd. Surface treating cleaning method
US5302464A (en) * 1991-03-04 1994-04-12 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Method of plating a bonded magnet and a bonded magnet carrying a metal coating
US5403468A (en) * 1991-03-13 1995-04-04 Kawasaki Steel Corporation Process for the manufacture of tinplate using a fused tin chloride electroplating bath
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5209817A (en) * 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5277985A (en) * 1991-11-12 1994-01-11 Cornell Research Foundation Process for fabricating copper interconnects in ultra large scale integrated (ULSI) circuits
US5314756A (en) * 1991-11-27 1994-05-24 Hitachi Metals, Ltd. Permanent magnet of rare-earth-element/transition-metal system having improved corrosion resistance and manufacturing method thereof
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5411076A (en) * 1993-02-12 1995-05-02 Dainippon Screen Mfg. Co., Ltd. Corp. Of Japan Substrate cooling device and substrate heat-treating apparatus
US5284548A (en) * 1993-03-03 1994-02-08 Microelectronics And Computer Technology Corporation Process for producing electrical circuits with precision surface features
US5627102A (en) * 1993-03-23 1997-05-06 Kawasaki Steel Corporation Method for making metal interconnection with chlorine plasma etch
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5747355A (en) * 1993-03-30 1998-05-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing a transistor using anodic oxidation
US5719447A (en) * 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5409587A (en) * 1993-09-16 1995-04-25 Micron Technology, Inc. Sputtering with collinator cleaning within the sputtering chamber
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5484518A (en) * 1994-03-04 1996-01-16 Shipley Company Inc. Electroplating process
US5600532A (en) * 1994-04-11 1997-02-04 Ngk Spark Plug Co., Ltd. Thin-film condenser
US6184068B1 (en) * 1994-06-02 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5605615A (en) * 1994-12-05 1997-02-25 Motorola, Inc. Method and apparatus for plating metals
US5516416A (en) * 1994-12-14 1996-05-14 International Business Machines Corporation Apparatus and method for electroplating pin grid array packaging modules
US5482891A (en) * 1995-03-17 1996-01-09 Motorola, Inc. VCSEL with an intergrated heat sink and method of making
US5707466A (en) * 1995-03-31 1998-01-13 California Institute Of Technology Method and apparatus for selectively annealing heterostructures using microwave
US5718477A (en) * 1995-04-11 1998-02-17 Keiper Recaro Gmbh & Co. Rail pair for motor vehicle seats
US5873992A (en) * 1995-04-17 1999-02-23 The Board Of Trustees Of The University Of Arkansas Method of electroplating a substrate, and products made thereby
US5744019A (en) * 1995-11-29 1998-04-28 Aiwa Research And Development, Inc. Method for electroplating metal films including use a cathode ring insulator ring and thief ring
US5892207A (en) * 1995-12-01 1999-04-06 Teisan Kabushiki Kaisha Heating and cooling apparatus for reaction chamber
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5730854A (en) * 1996-05-30 1998-03-24 Enthone-Omi, Inc. Alkoxylated dimercaptans as copper additives and de-polarizing additives
US5893725A (en) * 1996-06-24 1999-04-13 Intel Corporation C4 substrate contact pad which has a layer of NI-B plating
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US6036836A (en) * 1996-12-20 2000-03-14 Peeters; Joris Antonia Franciscus Process to create metallic stand-offs on an electronic circuit
US6062424A (en) * 1997-04-18 2000-05-16 Smithkline Beecham Corporation Convertible package dispenser
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6066892A (en) * 1997-05-08 2000-05-23 Applied Materials, Inc. Copper alloy seed layer for copper metallization in an integrated circuit
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
US6043153A (en) * 1997-09-25 2000-03-28 Advanced Micro Devices, Inc. Method for reducing electromigration in a copper interconnect
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US20020004301A1 (en) * 1998-02-04 2002-01-10 Semitool, Inc. Submicron metallization using electrochemical deposition
US20020000271A1 (en) * 1998-02-04 2002-01-03 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US20050051436A1 (en) * 1998-02-04 2005-03-10 Semitool, Inc. Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density
US20030045095A1 (en) * 1998-02-04 2003-03-06 Semitool, Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6508920B1 (en) * 1998-02-04 2003-01-21 Semitool, Inc. Apparatus for low-temperature annealing of metallization microstructures in the production of a microelectronic device
US20020022363A1 (en) * 1998-02-04 2002-02-21 Thomas L. Ritzdorf Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6228768B1 (en) * 1998-11-02 2001-05-08 Advanced Micro Devices, Inc. Storage-annealing plated CU interconnects
US6184137B1 (en) * 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US20020043466A1 (en) * 1999-07-09 2002-04-18 Applied Materials, Inc. Method and apparatus for patching electrochemically deposited layers using electroless deposited materials

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141767A1 (en) * 2004-12-29 2006-06-29 Han Jae W Metal wiring for semiconductor device and method for forming the same
US7397122B2 (en) * 2004-12-29 2008-07-08 Dongbu Electronics Co., Ltd. Metal wiring for semiconductor device and method for forming the same
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US7956463B2 (en) 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
WO2011126914A1 (en) * 2010-04-06 2011-10-13 Nexx Systems, Inc. Seed layer deposition in microscale features
CN103109365A (en) * 2010-04-06 2013-05-15 东京毅力科创尼克斯公司 Seed layer deposition in microscale features

Also Published As

Publication number Publication date
US20030045095A1 (en) 2003-03-06
US20020022363A1 (en) 2002-02-21
US7144805B2 (en) 2006-12-05
US20020102837A1 (en) 2002-08-01
US7244677B2 (en) 2007-07-17
US20050051436A1 (en) 2005-03-10
US6806186B2 (en) 2004-10-19
US20020004301A1 (en) 2002-01-10
US20070114133A1 (en) 2007-05-24
US6753251B2 (en) 2004-06-22

Similar Documents

Publication Publication Date Title
US7244677B2 (en) Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US7462269B2 (en) Method for low temperature annealing of metallization micro-structures in the production of a microelectronic device
US6074544A (en) Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
US6518184B1 (en) Enhancement of an interconnect
US6638410B2 (en) Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
EP1091024A1 (en) Method and device for plating substrate
US20030019755A1 (en) Dynamic pulse plating for high aspect ratio features
US7704880B1 (en) Method of forming contact layers on substrates
US7374584B2 (en) Interconnects forming method and interconnects forming apparatus
US20040188260A1 (en) Method of plating a semiconductor structure
US6994776B2 (en) Method and apparatus for low temperature annealing of metallization micro-structure in the production of a microelectronic device
US6746591B2 (en) ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
KR100363847B1 (en) Method of forming a metal wiring in a semiconductor device
US20060219566A1 (en) Method for fabricating metal layer
US7208415B2 (en) Plasma treatment method for electromigration reduction
US6652726B1 (en) Method for reducing wafer edge defects in an electrodeposition process
US7226860B2 (en) Method and apparatus for fabricating metal layer
US6797144B2 (en) Method for reducing surface defects in an electrodeposition process
US20070151859A1 (en) Method of forming copper interconnections in semiconductor devices

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION